TWI681491B - Substrate treating apparatus - Google Patents

Substrate treating apparatus Download PDF

Info

Publication number
TWI681491B
TWI681491B TW106105588A TW106105588A TWI681491B TW I681491 B TWI681491 B TW I681491B TW 106105588 A TW106105588 A TW 106105588A TW 106105588 A TW106105588 A TW 106105588A TW I681491 B TWI681491 B TW I681491B
Authority
TW
Taiwan
Prior art keywords
chamber
loading
substrate
gas
oxygen concentration
Prior art date
Application number
TW106105588A
Other languages
Chinese (zh)
Other versions
TW201802997A (en
Inventor
梁在均
李暢源
申東輝
Original Assignee
南韓商Psk有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商Psk有限公司 filed Critical 南韓商Psk有限公司
Publication of TW201802997A publication Critical patent/TW201802997A/en
Application granted granted Critical
Publication of TWI681491B publication Critical patent/TWI681491B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Abstract

Provided is a substrate treating apparatus comprises an equipment front end module for carrying in a substrate for a treatment and carrying out the substrate which has been treated, a processing module for processing the substrate, a transfer chamber for carrying in and out the substrate to the processing module and controlling an oxygen concentration, and a loadlock chamber positioned between the equipment front and end module and the transfer chamber for providing a path for the substrate to be moved and controlling an oxygen concentration.

Description

基板處理裝置 Substrate processing device

本揭露關於一種用於處理基板的裝置。 The present disclosure relates to a device for processing substrates.

在製造半導體裝置或液晶顯示器的方法中,例如光刻製程、蝕刻製程、灰化製程、離子注入製程、薄膜沉積製程和清潔製程的各種製程均是在基板上進行。這些製程中的光刻製程係用於在基板上形成電路圖案。光刻製程包括依次進行的塗覆製程、曝光製程和顯影製程。塗覆製程用於在基板上施加光阻,曝光製程用於將其上形成有光阻的基板上的電路圖案曝光,且顯影製程用於選擇性地顯影基板的光阻層部分。 In the method of manufacturing a semiconductor device or a liquid crystal display, various processes such as a photolithography process, an etching process, an ashing process, an ion implantation process, a thin film deposition process, and a cleaning process are all performed on the substrate. The lithography process in these processes is used to form circuit patterns on the substrate. The photolithography process includes a coating process, an exposure process, and a development process that are performed sequentially. The coating process is used to apply photoresist on the substrate, the exposure process is used to expose the circuit pattern on the substrate on which the photoresist is formed, and the development process is used to selectively develop the photoresist layer portion of the substrate.

本發明提供一種能夠有效處理基板的基板處理裝置。 The present invention provides a substrate processing apparatus capable of efficiently processing substrates.

本發明構思的示例性實施例可提供一種基板處理裝置,其包括用於載入要處理的基板及載出經處理的基板的設備前端模組;用於處理該基板的製程模組;用於將該基 板載入和載出到該製程模組及控制氧氣濃度的傳送腔室;以及位於該設備前端模組與該傳送腔室之間用於供要被移動的基板提供一路徑及控制氧氣濃度的裝載鎖定腔室。 Exemplary embodiments of the present inventive concept may provide a substrate processing apparatus including a device front-end module for loading a substrate to be processed and a processed substrate; a process module for processing the substrate; Base Loading and unloading the board into the process module and the transfer chamber for controlling oxygen concentration; and between the front-end module of the equipment and the transfer chamber for providing a path for the substrate to be moved and controlling the oxygen concentration Load the lock chamber.

在一示例性實施例,裝載鎖定腔室中的感測器可被提供來檢測其中的氧氣濃度。 In an exemplary embodiment, a sensor in the load lock chamber may be provided to detect the oxygen concentration therein.

在一示例性實施例,裝載鎖定腔室可包括載入腔室,用於供要從設備前端模組載入到該傳送腔室的基板提供一路徑;以及載出腔室,用於供要從該傳送腔室載出到該設備前端模組的基板提供一路徑;其中該載入腔室和該載出腔室中的氧氣濃度可被獨立地控制。 In an exemplary embodiment, the load lock chamber may include a loading chamber for providing a path for substrates to be loaded into the transfer chamber from the device front-end module; and a loading chamber for supplying The substrate carried out from the transfer chamber to the device front-end module provides a path; wherein the oxygen concentration in the loading chamber and the loading chamber can be independently controlled.

在一示例性實施例,載入腔室可提供有用於檢測載入腔室內的氧氣濃度的載入感測器、以及用於檢測該載出腔室內的氧氣濃度的載出感測器。 In an exemplary embodiment, the loading chamber may be provided with a loading sensor for detecting the oxygen concentration in the loading chamber, and a loading sensor for detecting the oxygen concentration in the loading chamber.

在一示例性實施例,載入腔室可連接至用於供給惰性氣體的載入供給管線及用於排出氣體的載入排氣管線。 In an exemplary embodiment, the loading chamber may be connected to a loading supply line for supplying inert gas and a loading exhaust line for exhausting gas.

在一示例性實施例,根據從載入感測器檢測到的氧氣濃度可控制惰性氣體的供給或氣體的排出。 In an exemplary embodiment, the supply of inert gas or the discharge of gas can be controlled based on the oxygen concentration detected from the loading sensor.

在一示例性實施例,載出腔室可連接至用於供給惰性 氣體的載出供給管線及用於排出氣體的載出排氣管線。 In an exemplary embodiment, the load-out chamber may be connected to A gas carrying supply line and a gas carrying exhaust line for exhausting gas.

在一示例性實施例,根據從載出感測器檢測到的氧氣濃度可控制惰性氣體的供給或氣體的排出。 In an exemplary embodiment, the supply of inert gas or the discharge of gas can be controlled based on the oxygen concentration detected from the load sensor.

在一示例性實施例,傳送腔室可提供有用於檢測傳送腔室內的氧氣濃度的感測器。 In an exemplary embodiment, the transfer chamber may be provided with a sensor for detecting the oxygen concentration in the transfer chamber.

在一示例性實施例,傳送腔室可連接至用於供給惰性氣體的供給管線及用於排出氣體的排氣管線,其中根據從感測器檢測到的氧氣濃度可控制惰性氣體的供給或氣體的排出。 In an exemplary embodiment, the transfer chamber may be connected to a supply line for supplying inert gas and an exhaust line for exhausting gas, wherein the supply or gas of inert gas may be controlled according to the oxygen concentration detected from the sensor Of discharge.

在一示例性實施例,可提供用於打開和關閉其中基板在該設備前端模組與該裝載鎖定腔室之間、或在該裝載鎖定腔室與該傳送腔室之間、或在該傳送腔室與該製程腔室之間被傳送之路徑的門。 In an exemplary embodiment, it may be provided to open and close the substrate between the front-end module of the apparatus and the load lock chamber, or between the load lock chamber and the transfer chamber, or in the transfer The door of the path that is transported between the chamber and the process chamber.

在一示例性實施例,門可包括屏蔽件,其中形成排出孔以在路徑被打開並被設置為板形時朝向路徑的外表面排出惰性氣體、以及用於移動屏蔽件的驅動件。 In an exemplary embodiment, the door may include a shield, in which an exhaust hole is formed to exhaust an inert gas toward an outer surface of the path when the path is opened and is set in a plate shape, and a driving member for moving the shield.

在一示例性實施例,可在對應於路徑之寬度的路徑的寬度方向上形成複數個排出孔。 In an exemplary embodiment, a plurality of discharge holes may be formed in the width direction of the path corresponding to the width of the path.

在一示例性實施例,連接至複數個排出孔的分布空間可形成在屏蔽件的內部。 In an exemplary embodiment, a distribution space connected to the plurality of discharge holes may be formed inside the shield.

在一示例性實施例,路徑各自垂直布置,且門可包括用於打開和關閉頂部路徑的第一門和用於打開和關閉底部路徑的第二門。 In an exemplary embodiment, the paths are each arranged vertically, and the doors may include a first door for opening and closing the top path and a second door for opening and closing the bottom path.

在一示例性實施例,第一門在頂部路徑被打開時移動到頂部,且第二門在底部路徑被打開時移動到底部。 In an exemplary embodiment, the first door moves to the top when the top path is opened, and the second door moves to the bottom when the bottom path is opened.

在一示例性實施例,第一門的第一排出孔和第二門的第二排出孔可形成在與從側面觀看時其中兩個門彼此垂直布置的陣列偏離的位置。 In an exemplary embodiment, the first discharge hole of the first door and the second discharge hole of the second door may be formed at positions offset from an array in which the two doors are arranged perpendicular to each other when viewed from the side.

1‧‧‧基板處理裝置 1‧‧‧Substrate processing device

4‧‧‧載體 4‧‧‧Carrier

6‧‧‧支撐件 6‧‧‧Support

10‧‧‧裝載埠 10‧‧‧ Loading port

20‧‧‧設備前端模組(EFEM) 20‧‧‧Equipment Front End Module (EFEM)

21‧‧‧傳送框架 21‧‧‧ Transmission frame

25‧‧‧第一傳送機器人 25‧‧‧ First transmission robot

27‧‧‧傳送軌道 27‧‧‧ Transmission Orbit

30‧‧‧製程單元 30‧‧‧Process unit

40‧‧‧裝載鎖定腔室 40‧‧‧Load lock chamber

41‧‧‧載入腔室 41‧‧‧ Loading chamber

42‧‧‧載出腔室 42‧‧‧ Loaded out of the chamber

50‧‧‧傳送腔室 50‧‧‧Transport chamber

53‧‧‧第二傳送機械人 53‧‧‧Second transmission robot

60‧‧‧製程模組 60‧‧‧Process module

100‧‧‧載入殼體 100‧‧‧ loaded into the shell

102‧‧‧載出殼體 102‧‧‧carry out the shell

110‧‧‧載入感測器 110‧‧‧ Load sensor

112‧‧‧載出感測器 112‧‧‧ Loaded sensor

200‧‧‧氣體供給構件 200‧‧‧Gas supply component

210‧‧‧載入供給管線 210‧‧‧ loading supply line

211‧‧‧載入供給控制裝置 211‧‧‧ Load supply control device

220‧‧‧載出供給管線 220‧‧‧ carrying out the supply pipeline

221‧‧‧載出供給控制裝置 221‧‧‧Contains supply control device

230‧‧‧供給管線 230‧‧‧Supply pipeline

231‧‧‧供給控制裝置 231‧‧‧ Supply control device

300‧‧‧排氣裝置 300‧‧‧Exhaust

310‧‧‧載入排氣管線 310‧‧‧ Loaded exhaust line

311‧‧‧載入排氣控制裝置 311‧‧‧ Loaded exhaust control device

320‧‧‧載出排氣管線 320‧‧‧Exhaust line

321‧‧‧載出排氣控制裝置 321‧‧‧Contains exhaust control device

330‧‧‧排氣管線 330‧‧‧Exhaust line

331‧‧‧載入排氣控制裝置 331‧‧‧ Loaded exhaust control device

500‧‧‧殼體 500‧‧‧Housing

510‧‧‧感測器 510‧‧‧Sensor

600‧‧‧門 600‧‧‧ door

601‧‧‧第一門 601‧‧‧The first door

602‧‧‧第二門 602‧‧‧The second door

610‧‧‧屏蔽件 610‧‧‧Shield

611‧‧‧頂板 611‧‧‧Top plate

612‧‧‧底板 612‧‧‧Bottom plate

615‧‧‧分布空間 615‧‧‧distribution space

616‧‧‧排出孔 616‧‧‧Discharge hole

620‧‧‧驅動件 620‧‧‧Drive parts

630‧‧‧密封件 630‧‧‧Seal

631‧‧‧管道 631‧‧‧Pipeline

641‧‧‧第一排出孔 641‧‧‧First discharge hole

642‧‧‧第二排出孔 642‧‧‧Second discharge hole

G‧‧‧閘門 G‧‧‧gate

G1‧‧‧閘門(前閘門) G1‧‧‧gate (front gate)

G2‧‧‧閘門(後閘門) G2‧‧‧gate (rear gate)

G3‧‧‧閘門 G3‧‧‧gate

P‧‧‧氣體供給裝置 P‧‧‧Gas supply device

W‧‧‧基板 W‧‧‧Substrate

圖1是根據本發明之實施例的用於處理基板的裝置的平面圖。 FIG. 1 is a plan view of an apparatus for processing a substrate according to an embodiment of the present invention.

圖2是載入腔室和傳送腔室的側視圖。 Figure 2 is a side view of the loading chamber and the transfer chamber.

圖3是載出腔室和傳送腔室的側視圖。 Figure 3 is a side view of the load-out chamber and the transfer chamber.

圖4顯示根據設置在傳送腔室或裝載鎖定腔室內的惰 性氣體的流量,傳送腔室或裝載鎖定腔室的氧氣濃度的相關性。 Figure 4 shows the inertia according to the setting in the transfer chamber or the load lock chamber The correlation between the flow rate of sex gas and the oxygen concentration in the transfer chamber or the load lock chamber.

圖5顯示根據在傳送腔室或裝載鎖定腔室內排出的氣體的壓力,傳送腔室或裝載鎖定腔室的相關氧氣濃度。 FIG. 5 shows the relative oxygen concentration of the transfer chamber or the load lock chamber according to the pressure of the gas discharged in the transfer chamber or the load lock chamber.

圖6顯示根據氧氣的量,基板之氧化物膜的厚度。 Fig. 6 shows the thickness of the oxide film of the substrate according to the amount of oxygen.

圖7是門的前視圖。 7 is a front view of the door.

圖8是圖7之門的後視圖。 Fig. 8 is a rear view of the door of Fig. 7.

圖9是經拆卸的門的立體圖。 9 is a perspective view of the disassembled door.

圖10顯示閘門被打開時門的移動。 Figure 10 shows the movement of the gate when the gate is opened.

圖11是根據本發明之另一實施例的門移動的側視圖。 11 is a side view of the door movement according to another embodiment of the present invention.

將在下文中參考隨附圖式更完全地描述各種示例性實施例,其中在圖式中顯示一些示例性實施例。然而,本發明可以不同的形式實施,並且不應被解釋為限於本文所闡述的實施例。相反地,提供這些實施例使得本揭露將是徹底且完整的,並且將對本案所屬技術領域中具有通常知識 者充分傳達本發明的範圍。因此,圖式的特徵被誇大以強調明確的解釋。 Various exemplary embodiments will be described more fully hereinafter with reference to the accompanying drawings, in which some exemplary embodiments are shown in the drawings. However, the invention can be implemented in different forms and should not be interpreted as being limited to the embodiments set forth herein. On the contrary, the provision of these embodiments makes the present disclosure complete and complete, and will have general knowledge in the technical field to which the case belongs The person fully conveys the scope of the present invention. Therefore, the features of the schema are exaggerated to emphasize a clear explanation.

圖1是根據本發明之實施例的用於處理基板的裝置的平面圖。 FIG. 1 is a plan view of an apparatus for processing a substrate according to an embodiment of the present invention.

參考圖1,基板處理裝置1包括設備前端模組(EFEM)20和製程單元30。EFEM 20和製程單元30沿著一個方向布置。將EFEM 20和製程單元30布置的方向稱為第一方向X,將從頂側觀看時垂直於該第一方向X的方向稱作為第二方向Y。 Referring to FIG. 1, the substrate processing apparatus 1 includes an equipment front end module (EFEM) 20 and a process unit 30. The EFEM 20 and the process unit 30 are arranged in one direction. The direction in which the EFEM 20 and the process unit 30 are arranged is referred to as the first direction X, and the direction perpendicular to the first direction X when viewed from the top side is referred to as the second direction Y.

EFEM20載入用於在基板處理裝置1內處理的基板,並從基板處理裝置1載出完成處理的基板。EMEM 20包括裝載埠10和傳送框架21。裝載埠10可沿著第一方向X布置在EFEM 20的前面。裝載埠10具有複數個支撐件6。每個支撐件6沿著第二方向Y布置且定位具有將被提供於製程的基板W和已完成處理的基板W的載體4(例如盒、FOUP等)。在載體4中,提供將被提供於製程的基板W和已完成處理的基板W。傳送框架21布置在裝載埠10和製程單元30之間。傳送框架21布置在其中,並且包括用於在裝載埠10和製程單元30之間傳送基板W的第一傳送機器人25。第一傳送機器人25可沿著傳送軌道27移動,該傳送軌道27沿著第二方向Y設置。 The EFEM 20 loads the substrate for processing in the substrate processing apparatus 1 and loads the processed substrate from the substrate processing apparatus 1. The EMEM 20 includes a loading port 10 and a transfer frame 21. The loading port 10 may be arranged in front of the EFEM 20 along the first direction X. The loading port 10 has a plurality of support members 6. Each support 6 is arranged along the second direction Y and positions the carrier 4 (eg, cassette, FOUP, etc.) having the substrate W to be provided in the process and the substrate W that has been processed. In the carrier 4, the substrate W to be provided in the process and the substrate W that has been processed are provided. The transfer frame 21 is arranged between the loading port 10 and the process unit 30. The transfer frame 21 is arranged therein, and includes a first transfer robot 25 for transferring the substrate W between the loading port 10 and the process unit 30. The first transfer robot 25 is movable along a transfer track 27 which is arranged along the second direction Y.

製程單元30包括裝載鎖定腔室40、傳送腔室50和製程模組60。 The process unit 30 includes a load lock chamber 40, a transfer chamber 50 and a process module 60.

裝載鎖定腔室40和傳送框架21相鄰地布置。在一實例中,裝載鎖定腔室40可布置在傳送腔室50和EFEM 20之間。提供裝載鎖定腔室40以能夠控制氧氣濃度。 The load lock chamber 40 and the transfer frame 21 are arranged adjacent to each other. In an example, the load lock chamber 40 may be disposed between the transfer chamber 50 and the EFEM 20. The load lock chamber 40 is provided to be able to control the oxygen concentration.

裝載鎖定腔室40可包括載入腔室41和載出腔室42。載入腔室41為在傳送到製程模組60時進行處理要提供的基板W提供備用空間。載出腔室42為在傳送到EFEM 20之前已完成處理的基板W提供備用空間。載入腔室41和載出腔室42係獨立於彼此設置。 The load lock chamber 40 may include a load chamber 41 and a load chamber 42. The loading chamber 41 provides a spare space for the substrate W to be provided for processing when being transferred to the process module 60. The load-out chamber 42 provides a spare space for the substrate W that has been processed before being transferred to the EFEM 20. The loading chamber 41 and the loading chamber 42 are provided independently of each other.

在載入腔室41和載出腔室42中分別提供閘門G1(前閘門G1)、閘門G2(後閘門G2)。閘門G1(前閘門G1)、閘門G2(後閘門G2)包括位於連接到EFEM 20的路徑中的前閘門G1和位於連接到稍後將描述的傳送腔室50的路徑中的後閘門G2。閘門G1(前閘門G1)、閘門G2(後閘門G2)提供為基板移動的路徑。閘門G1(前閘門G1)、閘門G2(後閘門G2)可藉由稍後將描述的門600打開和關閉。提供載入腔室41和載出腔室42以能夠控制其中的氧氣濃度。 A gate G1 (front gate G1) and a gate G2 (rear gate G2) are provided in the loading chamber 41 and the unloading chamber 42, respectively. The gate G1 (front gate G1) and the gate G2 (rear gate G2) include a front gate G1 located in a path connected to the EFEM 20 and a rear gate G2 located in a path connected to a transfer chamber 50 which will be described later. The gate G1 (front gate G1) and the gate G2 (rear gate G2) provide a path for the substrate to move. The gate G1 (front gate G1) and the gate G2 (rear gate G2) can be opened and closed by a door 600 which will be described later. The loading chamber 41 and the loading chamber 42 are provided to be able to control the oxygen concentration therein.

傳送腔室50和裝載鎖定腔室40相鄰地布置。當從頂 側觀看時,傳送腔室50可具有多邊形主體。在一實例中,當從頂側觀看時,傳送腔室可具有五邊形主體。在主體的外表面中,裝載鎖定腔室40和複數個製程模組60沿著主體的周圍布置。在主體的每個側壁中,形成用於基板W進入的通道,且該路經連接傳送腔室50、裝載鎖定腔室40或製程模組60。在傳送腔室50的內部空間,布置用於傳送基板W的第二傳送機器人53。第二傳送機械人53將在載入腔室41預備的未處理的基板W傳送到製程模組60,或將經處理的基板W從製程模組60傳送到載出腔室42。並且,為了在複數個製程模組中依序提供基板W,該基板W在製程模組60之間傳送。與圖1的示例一樣,當傳送腔室50具有五邊形主體時,裝載鎖定腔室40可以布置在與EFEM 20相鄰的側壁中,且製程模組60可以依次布置在該側壁的其餘部分中。傳送腔室50可以根據上述形式而不同,亦可以根據所需的製程模組的數量提供各種形式。傳送腔室50被提供成能夠控制其中的氧氣濃度。 The transfer chamber 50 and the load lock chamber 40 are arranged adjacent to each other. When from the top When viewed from the side, the transfer chamber 50 may have a polygonal body. In one example, when viewed from the top side, the transfer chamber may have a pentagonal body. In the outer surface of the main body, the load lock chamber 40 and a plurality of process modules 60 are arranged along the periphery of the main body. In each side wall of the main body, a channel for substrate W entry is formed, and the path is connected to the transfer chamber 50, the load lock chamber 40, or the process module 60. In the internal space of the transfer chamber 50, a second transfer robot 53 for transferring the substrate W is arranged. The second transfer robot 53 transfers the unprocessed substrate W prepared in the loading chamber 41 to the process module 60 or transfers the processed substrate W from the process module 60 to the load-out chamber 42. In addition, in order to sequentially provide the substrate W among the plurality of process modules, the substrate W is transferred between the process modules 60. As in the example of FIG. 1, when the transfer chamber 50 has a pentagonal body, the load lock chamber 40 may be arranged in the side wall adjacent to the EFEM 20, and the process module 60 may be arranged in the rest of the side wall in sequence in. The transfer chamber 50 may be different according to the above-mentioned forms, and various forms may be provided according to the number of required process modules. The transfer chamber 50 is provided to be able to control the oxygen concentration therein.

製程模組60沿著傳送腔室50的周圍布置。可提供複數個製程模組60。可在每個製程模組60中處理基板W。製程模組60從第二傳送機器人53接收基板W,處理基板W,及將經處理的基板W提供給該第二傳送機器人53。在其中基板在製程模組60與傳送腔室50之間傳送的路徑中提供了閘門G3。在每個製程模組60中進行的處理可以相同或不同。製程模組60執行的製程可以是在製造使用基板 W的半導體裝置或顯示器面板的製程。在一實例中,製程模組60中的一個或複數個可包括用於使用電漿處理基板W的電漿模組。 The process module 60 is arranged along the periphery of the transfer chamber 50. A plurality of process modules 60 can be provided. The substrate W can be processed in each process module 60. The process module 60 receives the substrate W from the second transfer robot 53, processes the substrate W, and supplies the processed substrate W to the second transfer robot 53. The gate G3 is provided in the path in which the substrate is transferred between the process module 60 and the transfer chamber 50. The processing performed in each process module 60 may be the same or different. The process performed by the process module 60 may be a substrate used in manufacturing W semiconductor device or display panel manufacturing process. In one example, one or more of the process modules 60 may include a plasma module for processing the substrate W using plasma.

圖2是載入腔室和傳送腔室的側視圖,且圖3是載出腔室和傳送腔室的側視圖。 2 is a side view of the loading chamber and the transfer chamber, and FIG. 3 is a side view of the loading chamber and the transfer chamber.

參考圖2和圖3,氣體供給構件200連接到裝載鎖定腔室40和傳送腔室50。氣體供給構件200向裝載鎖定腔室40和傳送腔室50提供惰性氣體。在一實例中,藉由氣體供給構件200提供的惰性氣體可以是氮氣。在圖的實施例中,載入腔室41、載出腔室42和傳送腔室50連接至一個氣體供給構件200。然而,它們可以分別連接至不同的氣體供給構件,或者它們中僅一個可以連接至不同的氣體供給構件。 2 and 3, the gas supply member 200 is connected to the load lock chamber 40 and the transfer chamber 50. The gas supply member 200 supplies inert gas to the load lock chamber 40 and the transfer chamber 50. In one example, the inert gas provided by the gas supply member 200 may be nitrogen. In the illustrated embodiment, the loading chamber 41, the loading chamber 42 and the transfer chamber 50 are connected to one gas supply member 200. However, they may be respectively connected to different gas supply members, or only one of them may be connected to different gas supply members.

用於供給惰性氣體到載入腔室41的載入供給管線210可被提供為可控制惰性氣體的供給及/或所提供的惰性氣體的量的結構。例如,用於打開和關閉載入供給管線210或控制流量的載入供給控制裝置211可提供在載入供給管線210中。載入供給控制裝置211可以是閥、MFC(質量流量控制器)等等。載入感測器110可提供在載入腔室41之載入殼體100中。載入感測器110可以檢測載入腔室41內的氧氣濃度。 The loading supply line 210 for supplying inert gas to the loading chamber 41 may be provided as a structure that can control the supply of inert gas and/or the amount of inert gas supplied. For example, the loading supply control device 211 for opening and closing the loading supply line 210 or controlling the flow rate may be provided in the loading supply line 210. The loading supply control device 211 may be a valve, MFC (Mass Flow Controller), or the like. The loading sensor 110 may be provided in the loading housing 100 of the loading chamber 41. The loading sensor 110 can detect the oxygen concentration in the loading chamber 41.

用於供給惰性氣體到載出腔室42的載出供給管線220可被提供為無論是否提供惰性氣體的結構及/或可控制被提供惰性氣體的量的結構。例如,用於打開和關閉載出供給管線220或控制流量的載出供給控制裝置221可提供在載出供給管線220中。載出供給控制裝置221可以是閥、MFC(質量流量控制器)等等。載出感測器112可提供在載出腔室42之載出殼體102中。載出感測器112可以檢測載出腔室42內的氧氣濃度。 The delivery supply line 220 for supplying the inert gas to the delivery chamber 42 may be provided as a structure regardless of whether the inert gas is supplied and/or a structure that can control the amount of the inert gas supplied. For example, a load-out supply control device 221 for opening and closing the load-out supply line 220 or controlling the flow rate may be provided in the load-out supply line 220. The carry-out supply control device 221 may be a valve, MFC (Mass Flow Controller), or the like. The load sensor 112 may be provided in the load housing 102 of the load chamber 42. The load sensor 112 may detect the oxygen concentration in the load chamber 42.

用於供給惰性氣體至傳送腔室50的供給管線230可被提供為無論是否提供惰性氣體的結構及/或可控制被提供惰性氣體的量的結構。例如,用於打開和關閉供給管線230或控制流量的供給控制裝置231可提供在供給管線230中。供給控制裝置231可以是閥、MFC(質量流量控制器)等等。感測器510可提供在傳送腔室50之殼體500中。感測器510可以檢測傳送腔室50內的氧氣濃度。 The supply line 230 for supplying the inert gas to the transfer chamber 50 may be provided as a structure regardless of whether the inert gas is supplied and/or a structure that can control the amount of the inert gas supplied. For example, a supply control device 231 for opening and closing the supply line 230 or controlling the flow rate may be provided in the supply line 230. The supply control device 231 may be a valve, MFC (mass flow controller), or the like. The sensor 510 may be provided in the housing 500 of the transfer chamber 50. The sensor 510 can detect the oxygen concentration in the transfer chamber 50.

裝載鎖定腔室40和傳送腔室50連接到排氣裝置300。排氣裝置300將裝載鎖定腔室40和傳送腔室50的氣體排出。在圖的實施例中,載入腔室41、載出腔室42和傳送腔室50連接到一個排氣裝置300。然而,它們可連接到每個排氣裝置300,或者它們中的僅一個可連接到不同的排氣裝置300。 The load lock chamber 40 and the transfer chamber 50 are connected to the exhaust device 300. The exhaust device 300 exhausts the gas in the load lock chamber 40 and the transfer chamber 50. In the illustrated embodiment, the loading chamber 41, the loading chamber 42 and the transfer chamber 50 are connected to one exhaust device 300. However, they may be connected to each exhaust device 300, or only one of them may be connected to a different exhaust device 300.

用於排出氣體到載入腔室41的載入排氣管線310可被提供為無論氣體是否排出的結構及/或可控制被排出氣體的量的結構。例如,用於打開和關閉載入排氣管線310或控制流量的載入排氣控制裝置311可提供在載入排氣管線310中。載入排氣控制裝置331可以是閥、MFC(質量流量控制器)等等。 The loading exhaust line 310 for exhausting gas to the loading chamber 41 may be provided as a structure regardless of whether the gas is exhausted and/or a structure that can control the amount of exhausted gas. For example, a loading exhaust control device 311 for opening and closing the loading exhaust line 310 or controlling the flow rate may be provided in the loading exhaust line 310. The loaded exhaust control device 331 may be a valve, MFC (Mass Flow Controller), or the like.

用於排出氣體到載出腔室42的載出排氣管線320可被提供為無論氣體是否排出的結構及/或可控制被排出氣體的量的結構。例如,用於打開和關閉載出排氣管線320或控制流量的載出排氣控制裝置321可提供在載出排氣管線320中。載出排氣控制裝置321可以是閥、MFC(質量流量控制器)等等。 The carrier exhaust line 320 for exhausting gas to the carrier chamber 42 may be provided as a structure regardless of whether the gas is exhausted and/or a structure that can control the amount of exhausted gas. For example, a carried-out exhaust gas control device 321 for opening and closing the carried-out exhaust gas line 320 or controlling the flow rate may be provided in the carried-out exhaust gas line 320. The carried-out exhaust gas control device 321 may be a valve, MFC (Mass Flow Controller), or the like.

用於排出氣體到傳送腔室50的排氣管線330可被提供為無論是否排出氣體的結構及/或可控制被排出氣體的量的結構。例如,用於打開和關閉排氣管線330或控制流量的載入排氣控制裝置331可提供在排氣管線330中。載入排氣控制裝置331可以是閥、MFC(質量流量控制器)等等。 The exhaust line 330 for exhausting the gas to the transfer chamber 50 may be provided as a structure regardless of whether the gas is exhausted and/or a structure that can control the amount of the exhausted gas. For example, a loading exhaust control device 331 for opening and closing the exhaust line 330 or controlling the flow rate may be provided in the exhaust line 330. The loaded exhaust control device 331 may be a valve, MFC (Mass Flow Controller), or the like.

圖4顯示根據設置在傳送腔室或裝載鎖定腔室內的惰性氣體的流量,傳送腔室或裝載鎖定腔室的氧氣濃度的相關性。 FIG. 4 shows the correlation of the oxygen concentration in the transfer chamber or the load lock chamber according to the flow rate of the inert gas provided in the transfer chamber or the load lock chamber.

氧氣可以流入裝載鎖定腔室40或傳送腔室50。具體地,前閘門G1在將基板從EFEM 20載入到載入腔室41期間或在將基板從載出腔室42載出到EFEM 20期間被打開,且基板處理裝置1的外部空氣可透過前閘門G1流動。此處,包含在外部空氣中的氧氣一起流動。並且當根據傳送基板的過程打開後閘門G2時,包括氧氣的外部空氣可流進傳送腔室50。 Oxygen can flow into the load lock chamber 40 or the transfer chamber 50. Specifically, the front gate G1 is opened during loading of the substrate from the EFEM 20 into the loading chamber 41 or during loading of the substrate from the loading chamber 42 to the EFEM 20, and the outside air of the substrate processing apparatus 1 is permeable The front gate G1 flows. Here, the oxygen contained in the outside air flows together. And when the rear gate G2 is opened according to the process of transferring the substrate, outside air including oxygen may flow into the transfer chamber 50.

當提供到裝載鎖定腔室40的惰性氣體的流量增加時,裝載鎖定腔室40中的氧氣的量可能會減少。這是藉由惰性氣體的流量在裝載鎖定腔室40中增加的壓力的效果,根據被供給的惰性氣體流量減少的外部空氣流入等等。由於與裝載鎖定腔室40相似的原因,當供給的惰性氣體的量增加時,傳送腔室50中的氧氣的量可能會減少。 When the flow rate of the inert gas supplied to the load lock chamber 40 increases, the amount of oxygen in the load lock chamber 40 may decrease. This is the effect of the increased pressure in the load lock chamber 40 by the flow of inert gas, the inflow of outside air that decreases according to the flow of inert gas supplied, and so on. For reasons similar to the load lock chamber 40, when the amount of inert gas supplied increases, the amount of oxygen in the transfer chamber 50 may decrease.

圖5顯示根據在傳送腔室或裝載鎖定腔室內排出的氣體的壓力,傳送腔室或裝載鎖定腔室的相關氧氣濃度。 FIG. 5 shows the relative oxygen concentration of the transfer chamber or the load lock chamber according to the pressure of the gas discharged in the transfer chamber or the load lock chamber.

當用於排出裝載鎖定腔室40中的氣體的壓力增加時,裝載鎖定腔室40中的氧氣的量可能會增加。這可解釋為,當用於排出氣體的壓力增加時,排出氣體的量增加,裝載鎖定腔室40中的氣體的量和壓力減小,從而外部空氣流量增加。由於與裝載鎖定腔室40相似的原因,當排出氣 體壓力的量增加時,傳送腔室50中的氧氣的量可能會減少。 When the pressure for exhausting the gas in the load lock chamber 40 increases, the amount of oxygen in the load lock chamber 40 may increase. This can be interpreted as that when the pressure for exhausting gas increases, the amount of exhausting gas increases, the amount and pressure of the gas in the load lock chamber 40 decrease, and thus the external air flow rate increases. For reasons similar to the load lock chamber 40, when the As the amount of body pressure increases, the amount of oxygen in the transfer chamber 50 may decrease.

圖6顯示根據氧氣的量,基板之氧化物膜的厚度。 Fig. 6 shows the thickness of the oxide film of the substrate according to the amount of oxygen.

基板可能被周圍的氧氧化。此處,當其他要求保持相同時,根據氧氣濃度的氧化物膜的厚度可與如圖6所示的根函數圖類似。因此,可藉由控制其中在基板中沒有特殊處理而放置基板的氧氣濃度來形成需要厚度的氧化物膜。 The substrate may be oxidized by surrounding oxygen. Here, when other requirements are kept the same, the thickness of the oxide film according to the oxygen concentration may be similar to the root function diagram shown in FIG. 6. Therefore, an oxide film with a required thickness can be formed by controlling the oxygen concentration in which the substrate is placed without special treatment in the substrate.

以下具體說明使用根據本發明之實施例的基板處理裝置1在基板形成氧化物膜的方法。 The method for forming an oxide film on a substrate using the substrate processing apparatus 1 according to an embodiment of the present invention is specifically described below.

載入供給管線210提供惰性氣體到載入腔室41。惰性氣體可以是設置在載入腔室41內部的狀態,而與前閘門G1和後閘門G2的開啟狀態無關。並且載入排氣管線310排出載入排氣腔室41的內部的氣體。在前閘門G1關閉時進行載入腔室41的氣體排出,且可在前閘門G1打開時停止。因此,可以減少載入腔室41中氣體排出的外部空氣流動。此外,當前閘門G1打開和關閉時,可在載入腔室41中進行氣體排出。此處,載入腔室41中氣體排出的量可根據前閘門G1的開啟狀態被控制,以根據外部氣體的流入控制載入腔室41的氧氣濃度。在一實例中,當前閘門G1打開時,載入腔室41中的氣體排出的量可被控制為比前閘 門G1關閉時減少。並且,根據在載入感測器110中檢測到的氧氣濃度可控制通過載入供給管線210的惰性氣體供給。並且,根據在載入感測器110中檢測到的氧氣濃度可控制通過載入排氣管線310的氣體排出。 The loading supply line 210 supplies inert gas to the loading chamber 41. The inert gas may be provided inside the loading chamber 41 regardless of the open state of the front gate G1 and the rear gate G2. And the loading exhaust line 310 exhausts the gas loaded inside the exhaust chamber 41. The gas discharge into the chamber 41 is performed when the front gate G1 is closed, and may be stopped when the front gate G1 is opened. Therefore, it is possible to reduce the flow of external air discharged from the gas loaded into the chamber 41. In addition, when the front gate G1 is opened and closed, gas can be discharged in the loading chamber 41. Here, the amount of gas discharged into the loading chamber 41 may be controlled according to the open state of the front gate G1 to control the oxygen concentration of the loading chamber 41 according to the inflow of external air. In one example, when the front gate G1 is opened, the amount of gas discharged into the chamber 41 can be controlled to be lower than that of the front gate Decrease when the door G1 is closed. Also, the supply of inert gas through the loading supply line 210 can be controlled according to the oxygen concentration detected in the loading sensor 110. And, the gas exhausted through the loading exhaust line 310 can be controlled according to the oxygen concentration detected in the loading sensor 110.

載入腔室41的前閘門G1被打開來用於從EFEM 20載入基板,並在載入基板之後關閉。可通過載入供給管線210的惰性氣體供給和通過載入排氣管線310的氣體排出控制載入腔室41的壓力以保持設定範圍。在一實例中,載入腔室41可在1托(torr)至850托(torr)內操作。 The front gate G1 of the loading chamber 41 is opened for loading the substrate from the EFEM 20, and is closed after loading the substrate. The pressure of the loading chamber 41 can be controlled by the supply of inert gas loaded into the supply line 210 and the exhaust of gas by loading into the exhaust line 310 to maintain the set range. In one example, the loading chamber 41 can be operated from 1 torr to 850 torr.

在基板載入到載入腔室41並設定時間推移之後,打開後閘門G2並將基板傳送到傳送腔室50。之後,當基板被載出時,可關閉後閘門G2。可進行供給惰性氣體到載入腔室41及排出載入腔室41的氣體而不需在後閘門G2為打開狀態。 After the substrate is loaded into the loading chamber 41 and the set time passes, the rear gate G2 is opened and the substrate is transferred to the transfer chamber 50. After that, when the substrate is carried out, the rear gate G2 may be closed. It is possible to supply inert gas to the loading chamber 41 and discharge the gas from the loading chamber 41 without the rear gate G2 being in an open state.

在基板被載進載入腔室41後,可在設定時間範圍內管理要被載出到傳送腔室50消耗的時間。此外,可藉由控制被供給的惰性氣體及/或氣體排出的量來控制載入腔室41內的氧氣濃度。因此,在處理模組中處理之前,可通過控制載入腔室41內的氧氣濃度來控制形成在基板上的氧化物膜的厚度。 After the substrate is loaded into the loading chamber 41, it is possible to manage the time it takes to be loaded into the transfer chamber 50 within a set time range. In addition, the oxygen concentration loaded into the chamber 41 can be controlled by controlling the amount of inert gas supplied and/or gas exhausted. Therefore, before processing in the processing module, the thickness of the oxide film formed on the substrate can be controlled by controlling the oxygen concentration loaded into the chamber 41.

供給管線230供給惰性氣體到傳送腔室50。惰性氣體可以是被提供在傳送腔室50內的狀態,而與後閘門G2和閘門G3的開啟狀態無關。可控制供給的惰性氣體的量,以控制在感測器510中檢測到的氧氣濃度。在一實例中,當傳送腔室50內的氧氣濃度低於設定濃度時,供給的惰性氣體的量可能會降低。此外,當傳送腔室50內的氧氣濃度高於設定濃度時,供給的惰性氣體的量可能會增加。 The supply line 230 supplies inert gas to the transfer chamber 50. The inert gas may be provided in the transfer chamber 50 regardless of the open state of the rear gate G2 and the gate G3. The amount of inert gas supplied can be controlled to control the oxygen concentration detected in the sensor 510. In one example, when the oxygen concentration in the transfer chamber 50 is lower than the set concentration, the amount of inert gas supplied may be reduced. In addition, when the oxygen concentration in the transfer chamber 50 is higher than the set concentration, the amount of inert gas supplied may increase.

排氣管線330排出傳送腔室50內的氣體。可進行氣體排出而不用在後閘門G2和閘門的打開狀態。可控制排出氣體的量以控制在檢測器510中檢測到的氧氣濃度。在一實例中,當傳送腔室50內的氧氣濃度低於設定濃度時,供給的惰性氣體的量可能會降低。此外,當傳送腔室50內的氧氣濃度高於設定濃度時,供給的惰性氣體的量可能會增加。 The exhaust line 330 exhausts the gas in the transfer chamber 50. The gas can be discharged without using the open state of the rear gate G2 and the gate. The amount of exhaust gas can be controlled to control the oxygen concentration detected in the detector 510. In one example, when the oxygen concentration in the transfer chamber 50 is lower than the set concentration, the amount of inert gas supplied may be reduced. In addition, when the oxygen concentration in the transfer chamber 50 is higher than the set concentration, the amount of inert gas supplied may increase.

可通過供給管線230的惰性氣體供給惰性氣體和通過排氣管線330的氣體排出控制載入腔室41的壓力以保持設定範圍。在一實例中,傳送腔室50可在700torr至850torr內操作。之後,在多於一個製程模組中處理基板,然後載出到載出腔室42。 The pressure of the inert gas can be supplied through the inert gas of the supply line 230 and the gas discharge through the exhaust line 330 can be controlled to maintain the set range. In one example, the transfer chamber 50 can be operated within 700 to 850 torr. After that, the substrate is processed in more than one process module and then loaded out into the loading chamber 42.

未處理的基板被載進到傳送腔室50並載出到製程腔室60消耗的時間及/或經處理的基板被載進到製程腔室60 載入傳送腔室50及載出到載出模組42消耗的時間可在設定時間範圍內管理。此外,可藉由控制供給的惰性氣體及/或排出氣體的量來控制傳送腔室50內的氧氣濃度。因此,通過控制傳送腔室50內的氧氣濃度,基板可控制在製程腔室60中處理之後在傳送腔室50中產生的氧化物膜的厚度及/或在製程腔室60中處理之前在傳送腔室50中產生的氧化物膜的厚度。 Unprocessed substrates are loaded into the transfer chamber 50 and out of the process chamber 60 and/or the time consumed by the processed substrate and/or processed substrates are loaded into the process chamber 60 The time consumed for loading into the transfer chamber 50 and loading out to the loading module 42 can be managed within a set time range. In addition, the oxygen concentration in the transfer chamber 50 can be controlled by controlling the amount of inert gas supplied and/or exhaust gas. Therefore, by controlling the oxygen concentration in the transfer chamber 50, the substrate can control the thickness of the oxide film generated in the transfer chamber 50 after processing in the process chamber 60 and/or before transferring in the process chamber 60 The thickness of the oxide film produced in the chamber 50.

載出供給管線220供給惰性氣體到載出腔室42。惰性氣體可以是提供在載出腔室42內的狀態,而與前閘門G1和後閘門G2的開啟狀態無關。載出排氣管線320排出載出腔室42內的氣體。並且載出排氣管線320排出載出腔室42內的氣體。在前閘門G1關閉時進行載出腔室42的氣體排出,且可在前閘門G1打開時停止。因此,可以減少載出腔室42中氣體排出的外部空氣流動。此外,當前閘門G1打開和關閉時,可在載出腔室42中進行氣體排出。此處,載出腔室42中氣體排出的量可根據前閘門G1的開啟狀態被控制,以根據外部氣體的流入控制載出腔室42的氧氣濃度。在一實例中,當前閘門G1打開時,載出腔室42中的氣體排出的量可被控制為比前閘門G1關閉時減少。 The delivery supply line 220 supplies the inert gas to the delivery chamber 42. The inert gas may be provided in the load-out chamber 42 regardless of the open state of the front gate G1 and the rear gate G2. The carrier exhaust line 320 exhausts the gas in the carrier chamber 42. And the carrying exhaust line 320 exhausts the gas in the carrying chamber 42. The gas discharging from the chamber 42 is discharged when the front gate G1 is closed, and can be stopped when the front gate G1 is opened. Therefore, it is possible to reduce the flow of outside air from which gas is discharged in the carrying chamber 42. In addition, when the front gate G1 is opened and closed, gas discharge can be performed in the load-out chamber 42. Here, the amount of gas exhausted in the carry-out chamber 42 may be controlled according to the open state of the front gate G1 to control the oxygen concentration of the carry-out chamber 42 according to the inflow of outside air. In one example, when the front gate G1 is opened, the amount of gas discharged from the load chamber 42 can be controlled to be reduced compared to when the front gate G1 is closed.

並且,根據在載出感測器112中檢測到的氧氣濃度可控制通過載出供給管線220的惰性氣體供給。並且,根據在載出感測器112中檢測到的氧氣濃度可控制通過載出排 氣管線320的氣體排出。 Also, the supply of inert gas through the delivery supply line 220 can be controlled according to the oxygen concentration detected in the delivery sensor 112. In addition, according to the oxygen concentration detected in the load sensor 112, the discharge through the load can be controlled. The gas in the gas line 320 is discharged.

載出腔室42的後閘門G2被打開來用於從傳送腔室50載入基板,並在載入基板之後關閉。可進行供給惰性氣體到載出腔室42及排出載出腔室42的氣體而不需在後閘門G2為打開狀態。可通過載出供給管線220的惰性氣體供給和通過載出排氣管線320的氣體排出控制載出腔室41的壓力以保持設定範圍。在一實例中,載出腔室42可在1torr至850torr內操作。 The rear gate G2 of the loading chamber 42 is opened for loading the substrate from the transfer chamber 50 and closed after loading the substrate. It is possible to supply the inert gas to the load-out chamber 42 and discharge the gas from the load-out chamber 42 without opening the rear gate G2. The pressure of the carrier chamber 41 can be controlled by the inert gas supply of the carrier supply line 220 and the gas discharge by the carrier exhaust line 320 to maintain the set range. In one example, the carry-out chamber 42 can be operated from 1 torr to 850 torr.

在基板載入到載出腔室42並設定時間推移之後,打開前閘門G1並將基板傳送到EFEM 20。之後,當基板被載出時,關閉前閘門G1。 After the substrate is loaded into the load-out chamber 42 and the time lapse is set, the front gate G1 is opened and the substrate is transferred to the EFEM 20. After that, when the substrate is carried out, the front gate G1 is closed.

在基板被載進載出腔室42後,可在設定時間範圍內管理要被載出到EFEM 20消耗的時間。此外,可藉由控制被供給的惰性氣體及/或氣體排出的量來控制載出腔室42內的氧氣濃度。因此,在處理模組中處理之後,可通過控制載出腔室42內的氧氣濃度來控制形成在基板上的氧化物膜的厚度。 After the substrate is loaded into the load-out chamber 42, the time to be loaded into the EFEM 20 can be managed within a set time range. In addition, the oxygen concentration in the load-out chamber 42 can be controlled by controlling the amount of inert gas supplied and/or gas exhausted. Therefore, after processing in the processing module, the thickness of the oxide film formed on the substrate can be controlled by controlling the oxygen concentration in the carry-out chamber 42.

圖7是門的前視圖。圖8是圖7之門的後視圖。 7 is a front view of the door. Fig. 8 is a rear view of the door of Fig. 7.

可提供門600以打開和關閉前閘門G1、後閘門G2或 閘門G3。門600包括屏蔽件610和驅動件620。 Door 600 can be provided to open and close the front gate G1, rear gate G2 or Gate G3. The door 600 includes a shield member 610 and a driving member 620.

屏蔽件610可提供為具有設定厚度的板形式。驅動件620可連接到屏蔽件610的外側,且在設置方向(上和下或左和右)移動屏蔽件610。在下文中,解釋當驅動件620連接到屏蔽件610的前面並且上下移動屏蔽件610時的實例。 The shield 610 may be provided in the form of a plate having a set thickness. The driving member 620 may be connected to the outside of the shield member 610 and move the shield member 610 in the setting direction (up and down or left and right). Hereinafter, an example when the driving member 620 is connected to the front of the shield 610 and the shield 610 is moved up and down is explained.

密封件630設置在屏蔽件610的後側。當屏蔽件610排列在閘門G上以關閉閘門(G1、G2或G3;以下稱為G)時,可設置密封件630以圍繞閘門G的外周,且可藉由門600增強閘門G的密封。 The seal 630 is provided on the rear side of the shield 610. When the shield 610 is arranged on the gate G to close the gate (G1, G2, or G3; hereinafter referred to as G), a seal 630 may be provided to surround the outer circumference of the gate G, and the seal of the gate G may be enhanced by the door 600.

圖9是經拆卸的門的立體圖。 9 is a perspective view of the disassembled door.

參考圖9,在屏蔽件610中形成分布空間615和排出孔616。 Referring to FIG. 9, a distribution space 615 and a discharge hole 616 are formed in the shield 610.

分布空間615形成為具有屏蔽件610的設定容積內側。在一實例中,分布空間615可在底板612的頂側上具有凹槽形狀的空間,並且底板612的頂部可以藉由頂板611封閉。在屏蔽件610中,形成有將分布空間615與外側連接的排出孔616。當屏蔽件610移動以打開閘門G時,排出孔616沿著朝向閘門G的方向形成。因此,當屏蔽件610被設置成向上移動以打開閘門G時,排出孔616形成在屏 蔽件610的底表面處。複數個排出孔616可沿著閘門G的寬度形成屏蔽件610的外表面,以對應於閘門G的寬度。 The distribution space 615 is formed to have the set volume inside of the shield 610. In an example, the distribution space 615 may have a groove-shaped space on the top side of the bottom plate 612, and the top of the bottom plate 612 may be closed by the top plate 611. In the shield 610, a discharge hole 616 connecting the distribution space 615 to the outside is formed. When the shield 610 moves to open the gate G, the discharge hole 616 is formed in a direction toward the gate G. Therefore, when the shield 610 is set to move upward to open the gate G, the discharge hole 616 is formed in the screen At the bottom surface of the shield 610. The plurality of discharge holes 616 may form the outer surface of the shield 610 along the width of the gate G to correspond to the width of the gate G.

圖10顯示閘門被打開時門的移動。 Figure 10 shows the movement of the gate when the gate is opened.

氣體通過管道631流到分布空間615。在一實例中,管道631可形成在驅動件620和屏蔽件610交叉。並且,管道631的端部可連接到氣體供給裝置P。在一實例中,氣體供給裝置P可以是管道。氣體供給裝置P供給惰性氣體。惰性氣體可以是氮氣。此外,管道631可以形成為連接屏蔽件610的外側和分布空間615,且氣體供給裝置亦可連接到屏蔽610件的外側。 The gas flows to the distribution space 615 through the pipe 631. In an example, the pipe 631 may be formed where the driving member 620 and the shield member 610 cross. Also, the end of the pipe 631 may be connected to the gas supply device P. In an example, the gas supply device P may be a pipe. The gas supply device P supplies an inert gas. The inert gas may be nitrogen. In addition, the duct 631 may be formed to connect the outside of the shield 610 and the distribution space 615, and the gas supply device may also be connected to the outside of the shield 610.

排出孔616朝向被打開的閘門G排放氣體。在一實例中,當屏蔽件610移動時閘門G被打開,氣體供給裝置P可從排出孔616排出的氣體的氣體供給到閘門G。此外,氣體供給裝置P可供給與閘門G的開啟狀態無關的氣體,使得當屏蔽件610置於其中閘門G打開的位置時,從排出孔616排出的氣體屏蔽閘門G的前方。而且,氣體供給裝置P亦可將用於要被選擇地排出的氣體的氣體供給到閘門G。 The exhaust hole 616 exhausts gas toward the opened gate G. In one example, when the shield 610 moves, the gate G is opened, and the gas supply device P may supply the gas of the gas discharged from the discharge hole 616 to the gate G. In addition, the gas supply device P may supply gas irrespective of the open state of the gate G, so that when the shield 610 is placed in a position where the gate G is opened, the gas discharged from the discharge hole 616 shields the front of the gate G. Moreover, the gas supply device P may also supply gas for the gas to be selectively exhausted to the gate G.

當閘門G的前方被氣體屏蔽時,閘門G可停止氣體運動,使得允許基板移動的氣體移動最小化。 When the front of the gate G is shielded by the gas, the gate G may stop the gas movement, so that the movement of the gas allowing the movement of the substrate is minimized.

圖11是根據本發明之另一實施例的門移動的側視圖。 11 is a side view of the door movement according to another embodiment of the present invention.

參考圖11,兩個閘門G可以分別上下布置。並且,可以分別在每個閘門G中設置打開和關閉閘門G的第一門601、第二門602。此處,當閘門G打開時,置於上側的第一門601可移動到頂側。當閘門G打開時,置於底側的第二門602可移動到底側。當置於頂部的閘門G打開時,第一門601的第一排出孔641可朝向閘門G排出氣體。並且,當置於底部的閘門G關閉時,第二門602的第二排出孔642可朝向置於頂部的閘門G排出氣體。因此,在頂部閘門G的前方,從第一門610和第二門602排出的氣體可能流動。當從側面觀看時,形成在相應方向上的第一門601的第一排出孔641和第二門602的第二排出孔642可以形成在從兩個門垂直布置的陣列偏離的位置。因此,從第一排出孔641和第二排出孔642排出的氣體不會在閘門G的前方碰撞並流動。 Referring to FIG. 11, the two gates G may be arranged up and down respectively. Also, a first door 601 and a second door 602 that open and close the gate G may be provided in each gate G, respectively. Here, when the gate G is opened, the first door 601 placed on the upper side may move to the top side. When the gate G is opened, the second door 602 placed on the bottom side may move to the bottom side. When the gate G placed at the top is opened, the first exhaust hole 641 of the first door 601 may exhaust gas toward the gate G. And, when the gate G placed at the bottom is closed, the second exhaust hole 642 of the second door 602 may exhaust gas toward the gate G placed at the top. Therefore, in front of the top gate G, the gas discharged from the first door 610 and the second door 602 may flow. When viewed from the side, the first discharge hole 641 of the first door 601 and the second discharge hole 642 of the second door 602 formed in the corresponding direction may be formed at positions deviated from the array in which the two doors are vertically arranged. Therefore, the gas discharged from the first discharge hole 641 and the second discharge hole 642 does not collide and flow in front of the gate G.

第二門602可以移動到置於底部處打開閘門G的方向,且當置於頂部的閘門G關閉時,第一門601可以類似於上述描述操作。 The second door 602 may move to the direction of opening the gate G placed at the bottom, and when the gate G placed at the top is closed, the first door 601 may operate similarly to the above description.

前述實施例是本發明的示例。此外,上述內容僅示出和描述較佳的實施例,並且實施例可以包括各種組合、改 變和環境。此即,本案所屬技術領域中具有通常知識者將理解,在不背離由所附申請專利範圍及其等同物限定其範圍的原理和精神的情況下,可以對這些實施例進行替換、修改和改變。此外,不意欲本申請的範圍限於這些具體實施例或其具體特徵或益處。相反地,意在將本申請的範圍僅限於現在遵循的申請專利範圍及其等同物。 The aforementioned embodiment is an example of the present invention. In addition, the above content only shows and describes preferred embodiments, and the embodiments may include various combinations, modifications Change and environment. That is, those with ordinary knowledge in the technical field to which this case belongs will understand that these embodiments can be replaced, modified, and changed without departing from the principle and spirit of defining the scope of the appended patent application and its equivalents . Furthermore, it is not intended that the scope of the application be limited to these specific embodiments or their specific features or benefits. On the contrary, it is intended to limit the scope of this application to the scope of patent applications and their equivalents that are now followed.

1‧‧‧基板處理裝置 1‧‧‧Substrate processing device

4‧‧‧載體 4‧‧‧Carrier

6‧‧‧支撐件 6‧‧‧Support

10‧‧‧裝載埠 10‧‧‧ Loading port

20‧‧‧設備前端模組(EFEM) 20‧‧‧Equipment Front End Module (EFEM)

21‧‧‧傳送框架 21‧‧‧ Transmission frame

25‧‧‧第一傳送機器人 25‧‧‧ First transmission robot

27‧‧‧傳送軌道 27‧‧‧ Transmission Orbit

30‧‧‧製程單元 30‧‧‧Process unit

40‧‧‧裝載鎖定腔室 40‧‧‧Load lock chamber

41‧‧‧載入腔室 41‧‧‧ Loading chamber

42‧‧‧載出腔室 42‧‧‧ Loaded out of the chamber

50‧‧‧傳送腔室 50‧‧‧Transport chamber

53‧‧‧第二傳送機械人 53‧‧‧Second transmission robot

60‧‧‧製程模組 60‧‧‧Process module

G1‧‧‧閘門(前閘門) G1‧‧‧gate (front gate)

G2‧‧‧閘門(後閘門) G2‧‧‧gate (rear gate)

G3‧‧‧閘門 G3‧‧‧gate

W‧‧‧基板 W‧‧‧Substrate

Claims (14)

一種基板處理裝置,包括:設備前端模組,用於載入要處理的基板及載出經處理的基板;製程模組,用於處理該基板;傳送腔室,用於將該基板載入和載出到該製程模組及控制氧氣濃度;以及裝載鎖定腔室,其位於該設備前端模組與該傳送腔室之間,用於供要被移動的基板提供一路徑及控制氧氣濃度;該裝載鎖定腔室包括:載入腔室,用於供要從該設備前端模組載入到該傳送腔室的基板提供一路徑;以及載出腔室,用於供要從該傳送腔室載出到該設備前端模組的基板提供一路徑;其中該載入腔室和該載出腔室係獨立於彼此設置;其中該載入腔室提供有載入感測器,用於檢測該載入腔室內的氧氣濃度;其中該載出腔室提供有載出感測器,用於檢測該載出腔室內的氧氣濃度;其中該傳送腔室提供有感測器,用於檢測該傳送腔室內的氧氣濃度;以及 其中該載入腔室和該載出腔室中的氧氣濃度被獨立地控制。 A substrate processing device includes: a device front-end module for loading a substrate to be processed and a processed substrate; a process module for processing the substrate; a transfer chamber for loading the substrate and Carried out to the process module and control the oxygen concentration; and a loading lock chamber, which is located between the front-end module of the equipment and the transfer chamber, is used to provide a path for the substrate to be moved and control the oxygen concentration; The loading lock chamber includes: a loading chamber for providing a path for the substrate to be loaded into the transfer chamber from the device front-end module; and a loading chamber for loading from the transfer chamber The substrate out of the front-end module of the device provides a path; wherein the loading chamber and the loading chamber are provided independently of each other; wherein the loading chamber is provided with a loading sensor for detecting the loading Oxygen concentration into the chamber; wherein the load-out chamber is provided with a load-out sensor for detecting the oxygen concentration in the load-out chamber; wherein the transfer chamber is provided with a sensor for detecting the transfer chamber Indoor oxygen concentration; and The oxygen concentration in the loading chamber and the loading chamber is independently controlled. 如請求項1所記載之基板處理裝置,其中該裝載鎖定腔室提供有一感測器,以檢測其中的氧氣濃度。 The substrate processing apparatus according to claim 1, wherein the load lock chamber is provided with a sensor to detect the oxygen concentration therein. 如請求項1所記載之基板處理裝置,其中該載入腔室連接至載入供給管線,用於供給惰性氣體、及連到至載入排氣管線,用於排出氣體。 The substrate processing apparatus according to claim 1, wherein the loading chamber is connected to a loading supply line for supplying an inert gas, and is connected to a loading exhaust line for discharging gas. 如請求項3所記載之基板處理裝置,其中根據從該載入感測器檢測到的氧氣濃度控制該惰性氣體的供給或該氣體的排出。 The substrate processing apparatus according to claim 3, wherein the supply of the inert gas or the discharge of the gas is controlled according to the oxygen concentration detected from the loading sensor. 如請求項1所記載之基板處理裝置,其中該載出腔室連接至載出供給管線,用於供給惰性氣體、及連到至載出排氣管線,用於排出氣體。 The substrate processing apparatus according to claim 1, wherein the load-out chamber is connected to a load-out supply line for supplying an inert gas, and is connected to a load-out exhaust line for exhausting gas. 如請求項5所記載之基板處理裝置,其中根據從該載出感測器檢測到的氧氣濃度控制該惰性氣體的供給或該氣體的排出。 The substrate processing apparatus according to claim 5, wherein the supply of the inert gas or the discharge of the gas is controlled according to the oxygen concentration detected from the load sensor. 如請求項1所記載之基板處理裝置,其中該傳送腔室連接至供給管線,用於供給惰性氣體、及連到至排氣管線,用於排出氣體,其中根據從該感測器檢測到的氧氣濃度控制該惰性氣體的供給或該氣體的排出。 The substrate processing apparatus according to claim 1, wherein the transfer chamber is connected to a supply line for supplying an inert gas, and is connected to an exhaust line for exhausting gas, wherein according to the detection from the sensor The oxygen concentration controls the supply of the inert gas or the discharge of the gas. 如請求項1所記載之基板處理裝置,其進一步包括一門,用於打開和關閉其中該基板在該設備前端模組與該裝載鎖定腔室之間、或在該裝載鎖定腔室與該傳送 腔室之間、或在該傳送腔室與該製程腔室之間被傳送之路徑。 The substrate processing apparatus according to claim 1, further comprising a door for opening and closing the substrate between the front-end module of the apparatus and the load lock chamber, or between the load lock chamber and the transfer The path that is transferred between the chambers, or between the transfer chamber and the process chamber. 如請求項8所記載之基板處理裝置,其中該門包括:屏蔽件,其中形成排出孔以在該路徑被打開並被設置為板形時朝向該路徑的外表面排出惰性氣體;以及驅動件,用於移動該屏蔽件。 The substrate processing apparatus according to claim 8, wherein the door includes: a shield member in which a discharge hole is formed to discharge an inert gas toward an outer surface of the path when the path is opened and set in a plate shape; and a driving member, Used to move the shield. 如請求項9所記載之基板處理裝置,其中在對應於該路徑之寬度的路徑的寬度方向上形成有複數個排出孔。 The substrate processing apparatus according to claim 9, wherein a plurality of discharge holes are formed in the width direction of the path corresponding to the width of the path. 如請求項10所記載之基板處理裝置,其中該屏蔽件包括連接至其中的複數個排出孔的分布空間。 The substrate processing apparatus according to claim 10, wherein the shield includes a distribution space connected to a plurality of discharge holes therein. 如請求項9所記載之基板處理裝置,其中該路徑各自垂直布置,且該門包括用於打開和關閉頂部路徑的第一門和用於打開和關閉底部路徑的第二門。 The substrate processing apparatus as recited in claim 9, wherein the paths are each vertically arranged, and the door includes a first door for opening and closing the top path and a second door for opening and closing the bottom path. 如請求項12所記載之基板處理裝置,其中該第一門在該頂部路徑被打開時移動到頂部,且該第二門在該底部路徑被打開時移動到底部。 The substrate processing apparatus according to claim 12, wherein the first door moves to the top when the top path is opened, and the second door moves to the bottom when the bottom path is opened. 如請求項13所記載之基板處理裝置,其中該第一門的第一排出孔和該第二門的第二排出孔形成在與從側面觀看時該第一門和該第二門彼此垂直布置的陣列偏離的位置。 The substrate processing apparatus according to claim 13, wherein the first discharge hole of the first door and the second discharge hole of the second door are formed to be arranged perpendicular to each other when viewed from the side The position of the array is off.
TW106105588A 2016-04-15 2017-02-20 Substrate treating apparatus TWI681491B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2016-0046116 2016-04-15
??10-2016-0046116 2016-04-15
KR1020160046116A KR20170118397A (en) 2016-04-15 2016-04-15 Substrate treating apparatus

Publications (2)

Publication Number Publication Date
TW201802997A TW201802997A (en) 2018-01-16
TWI681491B true TWI681491B (en) 2020-01-01

Family

ID=60299981

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106105588A TWI681491B (en) 2016-04-15 2017-02-20 Substrate treating apparatus

Country Status (3)

Country Link
KR (1) KR20170118397A (en)
SG (1) SG10201700825QA (en)
TW (1) TWI681491B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102413739B1 (en) 2017-09-15 2022-06-29 현대모비스 주식회사 Pressure sensing apparatus for air bag
TWI815827B (en) * 2017-11-07 2023-09-21 日商東京威力科創股份有限公司 Substrate processing equipment
KR102080016B1 (en) * 2018-09-17 2020-02-21 주식회사 에이케이테크 Gaseous nitrogen spray structure to wafer for equipment front end module and equipment front end module comprising the wafer cooling structure for equipment front end module

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074202A (en) * 1997-12-24 2000-06-13 Shin Etsu Handotai, Co., Ltd. Apparatus for manufacturing a semiconductor material
JP2002057133A (en) * 2000-08-15 2002-02-22 Tokyo Electron Ltd Wafer treatment apparatus
US20040026036A1 (en) * 2001-02-23 2004-02-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
KR20110010928A (en) * 2009-07-27 2011-02-08 피에스케이 주식회사 Roadlock chamber and substrate treating facility including the chamber, and method for treating substrate with the facility
KR20160038948A (en) * 2014-09-30 2016-04-08 주식회사 원익아이피에스 Gate valve for processing substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074202A (en) * 1997-12-24 2000-06-13 Shin Etsu Handotai, Co., Ltd. Apparatus for manufacturing a semiconductor material
JP2002057133A (en) * 2000-08-15 2002-02-22 Tokyo Electron Ltd Wafer treatment apparatus
US20040026036A1 (en) * 2001-02-23 2004-02-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
KR20110010928A (en) * 2009-07-27 2011-02-08 피에스케이 주식회사 Roadlock chamber and substrate treating facility including the chamber, and method for treating substrate with the facility
KR20160038948A (en) * 2014-09-30 2016-04-08 주식회사 원익아이피에스 Gate valve for processing substrate

Also Published As

Publication number Publication date
KR20170118397A (en) 2017-10-25
TW201802997A (en) 2018-01-16
SG10201700825QA (en) 2017-11-29

Similar Documents

Publication Publication Date Title
US10741365B2 (en) Low volume showerhead with porous baffle
KR100809126B1 (en) Processed object processing apparatus
JP4985183B2 (en) Substrate processing apparatus, substrate processing method, and storage medium
JP5208948B2 (en) Vacuum processing system
JP4601080B2 (en) Substrate processing equipment
JP4979079B2 (en) Substrate processing equipment
TWI821435B (en) Side storage pods, equipment front end modules, and methods for operating equipment front end modules
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
US20170372926A1 (en) Substrate treating unit, baking apparatus including the same, and substrate treating method using baking apparatus
TWI681491B (en) Substrate treating apparatus
JP2009062604A (en) Vacuum treatment system, and method for carrying substrate
JP2007035874A (en) Vacuum processing system
TW202023932A (en) Side storage pods, equipment front end modules, and methods for operating efems
JP4961893B2 (en) Substrate transport apparatus and substrate transport method
KR20170085977A (en) Vacuum processing apparatus and operation method thereof
TWI631620B (en) Substrate processing apparatus and substrate processing method
US8794896B2 (en) Vacuum processing apparatus and zonal airflow generating unit
JP2007149948A (en) Vacuum treatment device
KR20090058774A (en) Exhaust unit and method, and apparatus for treating substrate with the exhaust unit
JP2009194014A (en) Process treatment apparatus
JP2018174210A (en) Processing system
KR102378336B1 (en) Bake apparatus and bake method
JP2021072449A (en) Apparatus and method for treating substrate
KR20080054759A (en) Apparatus and method for treating substrate
TWI832350B (en) Substrate processing apparatus and method of driving door assembly