KR20080054759A - Apparatus and method for treating substrate - Google Patents

Apparatus and method for treating substrate Download PDF

Info

Publication number
KR20080054759A
KR20080054759A KR1020060127283A KR20060127283A KR20080054759A KR 20080054759 A KR20080054759 A KR 20080054759A KR 1020060127283 A KR1020060127283 A KR 1020060127283A KR 20060127283 A KR20060127283 A KR 20060127283A KR 20080054759 A KR20080054759 A KR 20080054759A
Authority
KR
South Korea
Prior art keywords
transfer chamber
chamber
substrate
load lock
transfer
Prior art date
Application number
KR1020060127283A
Other languages
Korean (ko)
Inventor
김학필
한석현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060127283A priority Critical patent/KR20080054759A/en
Publication of KR20080054759A publication Critical patent/KR20080054759A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Abstract

An apparatus and a method for treating a substrate are provided to increase the wafer throughput and decrease the foot print by equipping a lot of process chambers more than a conventional method. A substrate treatment apparatus comprises a transfer chamber(40), a plurality of process chambers(50) which is installed around the transfer chamber, a first and a second load lock chambers(32,34) which are installed around the transfer chamber, a load port(10) which settles a storage member for storing the plural substrates, and an EFEM(Equipment Front End Module)(20) which transfers the substrate between the load port and the first load lock chamber, and between the load port and the second load lock chamber. Wherein, the transfer chamber comprises a first and a second transfer chambers which are located adjacent to each other.

Description

기판 처리 장치 및 방법{APPARATUS AND METHOD FOR TREATING SUBSTRATE}Substrate processing apparatus and method {APPARATUS AND METHOD FOR TREATING SUBSTRATE}

도 1은 종래 기술에 따른 기판 처리 장치의 구성도이다.1 is a block diagram of a substrate processing apparatus according to the prior art.

도 2는 본 발명에 따른 기판 처리 장치의 구성도이다.2 is a block diagram of a substrate processing apparatus according to the present invention.

*도면의 주요 부분에 대한 부호 설명** Description of symbols on the main parts of the drawings *

1' : 기판 처리 장치1 ': substrate processing apparatus

10 : 로드 포트10: load port

20 : 이에프이엠20: if fem

30 : 로드락 챔버30: load lock chamber

40 : 트랜스퍼 챔버40: transfer chamber

50 : 공정챔버50: process chamber

본 발명은 기판 처리 장치 및 방법에 관한 것으로, 보다 상세하게는 반도체 기판을 처리하는 장치 및 방법에 관한 것이다.The present invention relates to a substrate processing apparatus and method, and more particularly, to an apparatus and method for processing a semiconductor substrate.

반도체 제조 장치 중 멀티챔버(multi-chamber) 방식의 기판 처리 장치는 하 나의 장치에 복수의 공정챔버들을 구비하여 낱장의 웨이퍼마다 반도체 공정을 실시함으로써 정밀도가 높은 공정 처리를 수행한다. 이러한 멀티챔버 방식의 기판 처리 장치는 화학기상증착(CVD:Chemical Vapor Deposition) 장치, 건식식각(Dry Eching) 장치, 스퍼터링(Sputtering) 장치 그리고 스텝퍼(Stepper) 장치 등에 사용된다.Among the semiconductor manufacturing apparatuses, a multi-chamber type substrate processing apparatus includes a plurality of process chambers in one apparatus to perform a semiconductor process with high precision by performing a semiconductor process for each wafer. Such a multi-chamber substrate processing apparatus is used for a chemical vapor deposition (CVD) apparatus, a dry etching apparatus, a sputtering apparatus, a stepper apparatus, and the like.

도 1은 일반적인 멀티챔버 방식의 기판 처리 장치(1)를 보여주는 도면이다. 도 1을 참조하면, 기판 처리 장치(1)는 설비전방종단모듈(EFEM:Equipment Front End Module : 이하 "이에프이엠"이라 함)(2), 로드락 챔버(load-lock chamber)(4), 트랜스퍼 챔버(transfer chamber)(6), 그리고 복수의 공정챔버들(process chamber)(8)을 포함한다. 이에프이엠(2)은 복수의 웨이퍼를 수납하는 카세트(cassette)(C)와 로드락 챔버(4) 상호간에 기판을 이송한다. 로드락 챔버(4)는 이에프이엠(2)과 트랜스퍼 챔버(6) 상호간에 기판의 인터페이스 기능을 수행한다. 트랜스퍼 챔버(6)는 로드락 챔버(4)와 각각의 공정챔버들(8) 상호간에 기판을 이송한다. 공정챔버들은 트랜스퍼 챔버(6)의 둘레를 따라 배치된다. 각각의 공정챔버들은 트랜스퍼 챔버(6)로부터 이송받은 기판상에 반도체 제조 공정을 수행한다.1 is a view showing a general multi-chamber substrate processing apparatus (1). Referring to FIG. 1, the substrate processing apparatus 1 includes an equipment front end module (EFEM) 2, a load-lock chamber 4, A transfer chamber 6, and a plurality of process chambers 8. The EMP 2 transfers the substrate between the cassette C which accommodates the plurality of wafers and the load lock chamber 4. The load lock chamber 4 performs an interface function of the substrate between the EPM 2 and the transfer chamber 6. The transfer chamber 6 transfers the substrate between the load lock chamber 4 and the respective process chambers 8. Process chambers are arranged along the circumference of the transfer chamber 6. Each of the process chambers performs a semiconductor manufacturing process on a substrate transferred from the transfer chamber 6.

그러나, 상술한 구조의 기판 처리 장치(1)는 웨이퍼 처리량(Throughput)이 적다. 즉, 트랜스퍼 챔버(6)는 로드락 챔버(4)와 복수의 공정챔버들(8)에 상호간에 웨이퍼를 이송하여야 하는 구조이므로, 공정 처리량은 트랜스퍼 챔버(6)의 웨이퍼 처리 속도에 비례한다. 그러나, 트랜스퍼 챔버(6)의 웨이퍼 처리 속도를 무리하게 높일 경우에는 웨이퍼의 이송 오류 및 웨이퍼의 정렬 오류, 웨이퍼의 로딩 및 언로딩의 불량 등이 발생되어 공정 효율이 저하된다. 따라서, 트랜스퍼 챔버(6)의 웨이 퍼 처리 속도는 한계를 가지며, 이로 인해 기판 처리 장치(1)의 공정 처리량에는 한계가 있다. 따라서, 반도체 제조 라인에서는 복수의 기판 처리 장치(1)를 설치하여 웨이퍼 처리량을 향상시키고 있으나, 복수의 기판 처리 장치(1)를 구비하는 방식은 풋 프린트(foot-print)가 크고, 반도체 제조 라인을 구축하는데 많은 비용이 소모된다.However, the substrate processing apparatus 1 having the above-described structure has a low wafer throughput. That is, since the transfer chamber 6 has a structure in which the wafer must be transferred between the load lock chamber 4 and the plurality of process chambers 8, the process throughput is proportional to the wafer processing speed of the transfer chamber 6. However, if the wafer processing speed of the transfer chamber 6 is excessively increased, a wafer transfer error, a wafer alignment error, a wafer loading and unloading defect, or the like may occur, thereby lowering the process efficiency. Therefore, the wafer processing speed of the transfer chamber 6 has a limit, and therefore, the process throughput of the substrate processing apparatus 1 has a limit. Therefore, in the semiconductor manufacturing line, a plurality of substrate processing apparatuses 1 are provided to improve wafer throughput. However, the method of providing the plurality of substrate processing apparatuses 1 has a large footprint and a semiconductor manufacturing line. Costly to build.

또한, 상술한 기판 처리 장치(1)는 트랜스퍼 챔버(6)에 이상이 발생하면, 장치(1) 전체의 공정이 중단되는 구조이다. 즉, 트랜스퍼 챔버(6)에 구비되는 로봇암의 동작에 오류가 발생되거나 공정챔버들(8)과 트랜스퍼 챔버(6) 사이에 구비되는 슬릿도어(slit-door)와 같은 개폐부재의 오동작시에는 장치(1) 전체의 공정이 중단되므로 설비 가동률이 저하된다. In addition, the substrate processing apparatus 1 described above has a structure in which the process of the entire apparatus 1 is stopped when an abnormality occurs in the transfer chamber 6. That is, when an error occurs in the operation of the robot arm provided in the transfer chamber 6 or when the opening / closing member such as a slit-door provided between the process chambers 8 and the transfer chamber 6 malfunctions, Since the process of the whole apparatus 1 is interrupted, a facility operation rate falls.

상술한 문제점을 해결하기 위해 본 발명은 공정 처리량을 향상시키는 기판 처리 장치 및 방법을 제공하는 것을 목적으로 한다.SUMMARY OF THE INVENTION In order to solve the above problems, an object of the present invention is to provide a substrate processing apparatus and method for improving the processing throughput.

또한, 본 발명은 설비의 이상 발생시 모든 공정챔버들의 공정이 중단되는 것을 방지하는 기판 처리 장치 및 방법을 제공하는 것을 목적으로 한다.It is also an object of the present invention to provide a substrate processing apparatus and method for preventing the process of all process chambers from being interrupted when an abnormality occurs in a facility.

또한, 본 발명은 풋 프린트를 줄이는 기판 처리 장치 및 방법을 제공하는 것을 목적으로 한다.It is also an object of the present invention to provide a substrate processing apparatus and method for reducing footprint.

상술한 목적을 달성하기 위한 본 발명에 따른 기판 처리 장치는 기판을 이송하는 트랜스퍼 챔버, 상기 트랜스퍼 챔버의 둘레에 설치되고, 반도체 제조 공정을 수행하는 복수의 공정챔버들, 상기 트랜스퍼 챔버의 둘레에 설치되는 제1 로드락 챔버 및 제2 로드락 챔버, 복수의 기판을 수납하는 수납 부재를 안착시키는 로드 포트, 그리고 상기 로드 포트와 상기 제1 로드락 챔버 및 상기 로드 포트와 상기 제2 로드락 챔버 상호간에 기판을 이송하는 이에프이엠을 포함하되, 상기 트랜스퍼 챔버는 서로 인접하게 배치되는 제1 트랜스퍼 챔버 및 제2 트랜스퍼 챔버를 포함한다.A substrate processing apparatus according to the present invention for achieving the above object is a transfer chamber for transferring a substrate, a plurality of process chambers are installed around the transfer chamber, performing a semiconductor manufacturing process, installed around the transfer chamber A first load lock chamber and a second load lock chamber, a load port for seating an accommodating member for accommodating a plurality of substrates, and the load port, the first load lock chamber, the load port, and the second load lock chamber. An IFM for transferring a substrate to the transfer chamber, wherein the transfer chamber includes a first transfer chamber and a second transfer chamber disposed adjacent to each other.

본 발명의 실시예에 따르면, 상기 제1 트랜스퍼 챔버는 상기 제1 로드락 챔버와 상기 제1 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들 상호간에 기판을 이송하는 제1 로봇암을 구비하고, 상기 제2 트랜스퍼 챔버는 상기 제2 로드락 챔버와 상기 제2 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들 상호간에 기판을 이송하는 제2 로봇암을 구비한다.According to an embodiment of the present invention, the first transfer chamber includes a first robot arm for transferring a substrate between the first load lock chamber and process chambers disposed around the first transfer chamber, The second transfer chamber includes a second robot arm for transferring a substrate between the second load lock chamber and the process chambers disposed around the second transfer chamber.

본 발명의 실시예에 따르면, 상기 트랜스퍼 챔버는 내부에 상기 제1 로봇암 및 제2 로봇암이 설치되는 공간을 제공하는 하우징, 상기 제1 트랜스퍼 챔버 및 상기 제2 트랜스퍼 챔버 내부의 배기를 공통으로 수행하는 배기부재, 그리고 상기 제1 트랜스퍼 챔버 및 상기 제2 트랜스퍼 챔버 내부의 온도를 공통으로 조절하는 온도조절부재를 더 포함한다.According to an embodiment of the present invention, the transfer chamber has a housing which provides a space in which the first robot arm and the second robot arm are installed, and exhausts inside the first transfer chamber and the second transfer chamber in common. The exhaust member further includes a temperature adjusting member for controlling the temperature in the first transfer chamber and the second transfer chamber in common.

상술한 목적을 달성하기 위한 본 발명에 따른 기판 처리 방법은 기판을 이송하는 트랜스퍼 챔버, 상기 트랜스퍼 챔버의 둘레에 설치되는 복수의 공정챔버들, 그리고 상기 트랜스퍼 챔버의 둘레에 설치되는 제1 로드락 챔버 및 제2 로드락 챔버를 구비하여 기판을 처리하되, 상기 공정챔버들 중에서 선택된 공정챔버들과 상 기 제1 로드락 챔버 상호간의 기판 이송 및 상기 공정챔버들 중에서 상기 선택된 공정챔버들을 제외한 공정챔버들과 상기 제2 로드락 챔버 상호간의 기판 이송은 상기 트랜스퍼 챔버 내부에 설치되는 서로 다른 로봇암에 의해 이루어진다.The substrate processing method according to the present invention for achieving the above object is a transfer chamber for transferring a substrate, a plurality of process chambers provided around the transfer chamber, and a first load lock chamber installed around the transfer chamber And a second load lock chamber to process the substrate, wherein the process chambers are selected from the process chambers and transfer the substrate between the first load lock chamber and the process chambers excluding the selected process chambers from the process chambers. Substrate transfer between the second load lock chamber and the second load lock chamber is performed by different robot arms installed in the transfer chamber.

본 발명의 실시예에 따르면, 상기 트랜스퍼 챔버는 상기 로봇암을 구비하는 제1 트랜스퍼 챔버 및 제2 트랜스퍼 챔버를 포함하고, 상기 제1 트랜스퍼 챔버 및 상기 제2 트랜스퍼 챔버 내부의 배기 및 온도 조절은 동일한 조건으로 이루어진다.According to an embodiment of the present invention, the transfer chamber includes a first transfer chamber and a second transfer chamber including the robot arm, and exhaust and temperature control inside the first transfer chamber and the second transfer chamber are the same. Is made of conditions.

본 발명의 일 실시예에 따르면, 상기 제1 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들과 상기 제2 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들을 제외한 공정챔버들은 서로 동일한 반도체 제조 공정을 수행한다.According to an embodiment of the present invention, the process chambers except for the process chambers disposed around the first transfer chamber and the process chambers disposed around the second transfer chamber perform the same semiconductor manufacturing process.

본 발명의 다른 실시예에 따르면, 상기 제1 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들과 상기 제2 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들은 서로 상이한 반도체 제조 공정을 수행한다.According to another embodiment of the present invention, the process chambers arranged around the first transfer chamber and the process chambers arranged around the second transfer chamber perform different semiconductor manufacturing processes.

본 발명의 다른 실시예에 따르면, 상기 선택된 공정챔버들은 플라즈마를 발생시켜 기판상의 폴리머 박막을 식각하는 공정을 수행하고, 상기 선택된 공정챔버들을 제외한 공정챔버들은 플라즈마를 발생시켜 기판상의 옥사이드 박막을 식각하는 공정을 수행한다.According to another embodiment of the present invention, the selected process chambers perform a process of etching a polymer thin film on a substrate by generating a plasma, and process chambers except the selected process chambers generate a plasma to etch an oxide thin film on a substrate. Perform the process.

이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein but may be embodied in other forms. Rather, the embodiments introduced herein are provided so that the disclosure may be made thorough and complete, and to fully convey the spirit of the invention to those skilled in the art. Portions denoted by like reference numerals denote like elements throughout the specification.

또한, 본 실시예에서는 반도체 기판을 처리하는 장치를 예로 들어 설명하였으나, 본 발명의 기술은 평판디스플레이 및 유기발광소자 제조용 유리 기판을 처리하는 장치에도 적용될 수 있다.In addition, although the present embodiment has been described with an apparatus for processing a semiconductor substrate as an example, the technique of the present invention can be applied to an apparatus for processing a glass substrate for manufacturing a flat panel display and an organic light emitting device.

(실시예)(Example)

도 2는 본 발명에 따른 기판 처리 장치의 구성도이다. 도 2를 참조하면, 본 발명에 따른 기판 처리 장치(apparatus for treating substrate)(1')는 로드 포트(load port)(10), 설비전방종단모듈(EFEM:Equipment Front End Module : 이하 "이에프이엠"이라 함)(20), 로드락 챔버(load-lock chamber)(30), 트랜스퍼 챔버(transfer chamber)(40), 그리고 복수의 공정챔버들(process chambers)(50)을 포함한다. 로드 포트(10)는 이에프이엠(20)의 일측면에 인접하게 배치되며, 로드락 챔버(30)는 이에프이엠(20)의 타측면에 인접하게 배치된다. 로드락 챔버(30)는 제1 로드락 챔버(32) 및 제2 로드락 챔버(34)를 포함하고, 트랜스퍼 챔버(40)는 제1 트랜스퍼 챔버(42) 및 제2 트랜스퍼 챔버(44)로 포함한다. 그리고, 공정챔버들(50)은 제1 챔버들(52) 및 제2 챔버들(54)로 나뉜다. 제1 챔버들(52) 및 제2 챔버들(54) 각각은 세 개의 공정챔버들을 포함한다. 그러나, 제1 챔버들(52) 및 제2 챔버들(54)이 구비하는 공정챔버들의 수는 다양하게 변경될 수 있다.2 is a block diagram of a substrate processing apparatus according to the present invention. Referring to FIG. 2, an apparatus for treating substrate 1 ′ according to the present invention includes a load port 10 and an equipment front end module (EFEM). 20, a load-lock chamber 30, a transfer chamber 40, and a plurality of process chambers 50. The load port 10 is disposed adjacent to one side of the EMP 20, and the load lock chamber 30 is disposed adjacent to the other side of the EFM 20. The load lock chamber 30 includes a first load lock chamber 32 and a second load lock chamber 34, and the transfer chamber 40 is connected to the first transfer chamber 42 and the second transfer chamber 44. Include. The process chambers 50 are divided into first chambers 52 and second chambers 54. Each of the first chambers 52 and the second chambers 54 includes three process chambers. However, the number of process chambers included in the first chambers 52 and the second chambers 54 may vary.

제1 로드락 챔버(32), 제2 로드락 챔버(34), 제1 챔버들(52), 그리고 제2 챔 버들(54)은 트랜스퍼 챔버(40)의 둘레를 따라 배치된다. 여기서, 제1 로드락 챔버(32)와 제2 로드락 챔버(34), 제1 트랜스퍼 챔버(42)와 제2 트랜스퍼 챔버(44), 그리고 제1 챔버들(52)과 제2 챔버들(54)은 서로 대칭되도록 배치된다. 즉, 기판 처리 장치(1)는 후술할 하우징(41)의 격벽(41a)을 수직하게 가로지르는 선(X)을 기준으로 좌우가 대칭되는 구조를 가진다.The first load lock chamber 32, the second load lock chamber 34, the first chambers 52, and the second chambers 54 are disposed along the circumference of the transfer chamber 40. Here, the first load lock chamber 32 and the second load lock chamber 34, the first transfer chamber 42 and the second transfer chamber 44, and the first chambers 52 and the second chambers ( 54 are arranged to be symmetrical to each other. That is, the substrate processing apparatus 1 has a structure in which the left and right are symmetric with respect to the line X vertically crossing the partition 41a of the housing 41 to be described later.

계속해서, 상술한 기판 처리 장치(1)의 각각의 구성들에 대해 상세히 설명한다. 로드 포트(10)는 공정시 복수의 반도체 기판(W)을 수납하는 수납부재를 안착시킨다. 수납 부재로는 카세트(Cassette)(C)가 사용된다. 로드 포트(10)는 제1 포트(first port)(12) 및 제2 포트(second port)(14)를 포함한다. 제1 포트(12)는 기판처리공정을 수행할 기판들을 수납한 카세트(C)가 안착되는 포트(12a)와 기판처리공정이 완료된 기판들을 수납할 카세트(C)가 안착되는 포트(12b)를 포함한다. 동일한 방식으로서, 제2 포트(14)는 기판처리공정을 수행할 기판들을 수납한 카세트(C)가 안착되는 포트(14a)와 기판처리공정이 완료된 기판들을 수납할 카세트(C)가 안착되는 포트(14b)를 포함한다.Subsequently, respective configurations of the substrate processing apparatus 1 described above will be described in detail. The load port 10 seats an accommodating member accommodating a plurality of semiconductor substrates W during the process. A cassette C is used as the housing member. The load port 10 includes a first port 12 and a second port 14. The first port 12 includes a port 12a on which the cassette C containing the substrates to be subjected to the substrate processing is placed, and a port 12b on which the cassette C to accommodate the substrates on which the substrate processing is completed is seated. Include. In the same manner, the second port 14 is a port 14a on which the cassette C containing the substrates to be subjected to the substrate processing is seated and a port on which the cassette C to accommodate the substrates on which the substrate processing is completed is seated. (14b).

이에프이엠(20)은 공정시 로드 포트(10)와 로드락 챔버(20) 상호간에 기판을 이송한다. 이에프이엠(20)은 하우징(housing)(12), 제1 이송유닛(first transfer unit)(22) 및 제2 이송유닛(second transfer unit)(24), 그리고 가이드 레일(guide rail)(26)을 포함한다. 하우징(12)은 내부에 기판을 이송하는 공간을 제공한다. 제1 및 제2 이송유닛(22, 24)은 하우징(12) 내부에 설치된다. 제1 이송유닛(22)은 제1 포트(12)와 제1 로드락 챔버(32) 상호간에 기판을 이송하고, 제2 이송유닛(24)은 제2 포트(14)와 제2 로드락 챔버(34) 상호간에 기판을 이송한다. 가이드 레일(26)은 제1 이송유닛(22) 및 제2 이송유닛(24)의 이동을 안내한다. 제1 이송유닛(22)은 공정시 가이드 레일(26)을 따라 이동되면서, 제1 포트(12)에 안착된 각각의 카세트들(C) 및 제1 로드락 챔버(32)와 대향되는 위치에 위치된다. 제2 이송유닛(24)은 공정시 가이드 레일(26)을 따라 이동되면서, 제2 포트(14)에 안착된 카세트(C) 및 제2 로드락 챔버(34)와 대향되는 위치에 위치된다.The EMP 20 transfers the substrate between the load port 10 and the load lock chamber 20 during the process. The EMP 20 has a housing 12, a first transfer unit 22 and a second transfer unit 24, and a guide rail 26. It includes. The housing 12 provides a space for transferring the substrate therein. The first and second transfer units 22 and 24 are installed in the housing 12. The first transfer unit 22 transfers the substrate between the first port 12 and the first load lock chamber 32, and the second transfer unit 24 transfers the second port 14 and the second load lock chamber to each other. (34) Transfer the substrates to each other. The guide rail 26 guides the movement of the first transfer unit 22 and the second transfer unit 24. The first transfer unit 22 is moved along the guide rail 26 during the process, in a position opposite to the respective cassettes C and the first load lock chamber 32 seated in the first port 12. Is located. The second transfer unit 24 moves along the guide rail 26 during the process and is positioned at a position opposite to the cassette C seated on the second port 14 and the second load lock chamber 34.

로드락 챔버(30)는 이에프이엠(20)과 트랜스퍼 챔버(40) 상호간에 기판의 이송을 위한 인터페이스 기능을 수행한다. 로드락 챔버(30)는 제1 로드락 챔버(first loadlock chamber)(32) 및 제2 로드락 챔버(second loadlock chamber)(34)를 포함한다. 제1 로드락 챔버(32)는 제1 이송유닛(22)으로부터 트랜스퍼 챔버(40)로 기판을 이송하기 위한 챔버(32a)와 트랜스퍼 챔버(40)로부터 제1 이송유닛(22)으로 기판을 반송하기 위한 챔버(32b)를 포함한다. 동일한 방식으로서, 제2 로드락 챔버(34)는 제2 이송유닛(24)으로부터 트랜스퍼 챔버(40)로 기판을 이송하기 위한 챔버(34a)와 트랜스퍼 챔버(40)로부터 제2 이송유닛(24)으로 기판을 반송하기 위한 챔버(34b)를 포함한다.The load lock chamber 30 performs an interface function for transporting the substrate between the EPM 20 and the transfer chamber 40. The load lock chamber 30 includes a first load lock chamber 32 and a second load lock chamber 34. The first load lock chamber 32 transfers the substrate from the first transfer unit 22 to the transfer chamber 40 and the substrate 32a and the transfer chamber 40 from the transfer chamber 40 to the first transfer unit 22. And a chamber 32b for the purpose. In the same manner, the second load lock chamber 34 is the chamber 34a for transferring the substrate from the second transfer unit 24 to the transfer chamber 40 and the second transfer unit 24 from the transfer chamber 40. A chamber 34b for transporting the substrate.

트랜스퍼 챔버(40)는 로드락 챔버(30)와 공정챔버들(50) 상호간에 기판을 이송한다. 트랜스퍼 챔버(40)는 하우징(housing)(41), 제1 트랜스퍼 챔버(first transfer chamber)(42) 및 제2 트랜스퍼 챔버(second transfer chamber)(44)를 포함한다. 하우징(41)은 내부에 제1 트랜스퍼 챔버(42) 및 제2 트랜스퍼 챔버(44)가 설치되는 공간을 제공한다. 하우징(41) 내 공간은 제1 트랜스퍼 챔버(42)가 설치되 는 영역과 제2 트랜스퍼 챔버(44)가 설치되는 영역이 격벽(41a)에 의해 구획된다. 격벽(41a)은 제1 트랜스퍼 챔버(42) 내 공정 조건(온도, 압력, 그리고 파티클 관리)과 제2 트랜스퍼 챔버(44) 내 공정 조건이 서로 영향을 받지 않도록 하기 위함이다. 본 실시예에서는 하우징(41) 내부가 격벽(41a)에 의해 구획되는 것을 예로 들어 설명하였으나, 하우징(41)은 격벽(41a)이 없는 구조일 수도 있다. 즉, 하우징(41) 내부는 제1 트랜스퍼 챔버(42)가 설치되는 영역과 제2 트랜스퍼 챔버(44)가 설치되는 영역이 서로 연통되는 구조일 수 있다. The transfer chamber 40 transfers the substrate between the load lock chamber 30 and the process chambers 50. The transfer chamber 40 includes a housing 41, a first transfer chamber 42, and a second transfer chamber 44. The housing 41 provides a space in which the first transfer chamber 42 and the second transfer chamber 44 are installed. The space in the housing 41 is partitioned by the partition 41a between the area where the first transfer chamber 42 is installed and the area where the second transfer chamber 44 is installed. The partition 41a is to prevent the process conditions (temperature, pressure, and particle management) in the first transfer chamber 42 and the process conditions in the second transfer chamber 44 from being influenced by each other. In the present exemplary embodiment, the inside of the housing 41 is divided by the partition wall 41a as an example, but the housing 41 may have a structure without the partition wall 41a. That is, the inside of the housing 41 may have a structure in which an area where the first transfer chamber 42 is installed and an area where the second transfer chamber 44 is installed communicate with each other.

제1 트랜스퍼 챔버(42)는 제1 로봇암(first robot arm)(42a)을 구비하고, 제2 트랜스퍼 챔버(44)는 제2 로봇암(second robot arm)(44b)을 구비한다. 제1 로봇암(42a)은 제1 로드락 챔버(32)와 공정챔버들(50) 중 제1 챔버들(52) 상호간에 기판을 이송하고, 제2 로봇암(44a)은 제2 로드락 챔버(34)와 공정챔버들(50) 중 제2 챔버들(54) 상호간에 기판을 이송한다. 제1 로봇암(42a)과 제2 로봇암(44a)은 그 구성이 서로 동일하며, 좌우 대칭되는 구조를 가진다. 또는, 선택적으로 제1 로봇암(42a)과 제2 로봇암(44a) 각각은 대응되는 제1 챔버들(52) 및 제2 챔버들(54)의 공정 특성에 맞게 그 구조가 변경 및 변형될 수 있다.The first transfer chamber 42 has a first robot arm 42a and the second transfer chamber 44 has a second robot arm 44b. The first robot arm 42a transfers the substrate between the first load lock chamber 32 and the first chambers 52 of the process chambers 50, and the second robot arm 44a moves the second load lock. The substrate is transferred between the chambers 34 and the second chambers 54 of the process chambers 50. The first robot arm 42a and the second robot arm 44a have the same configuration and have a symmetrical structure. Alternatively, the structure of the first robot arm 42a and the second robot arm 44a may be changed and modified to suit the process characteristics of the corresponding first chambers 52 and the second chambers 54. Can be.

여기서, 트랜스퍼 챔버(40)의 하우징(41) 내 배기는 공통적으로 수행된다. 이를 위해, 트랜스퍼 챔버(40)는 하우징(41) 내 배기를 통합적으로 조절하는 배기부재(exhaust member)(미도시됨)를 구비한다. 배기부재는 제1 트랜스퍼 챔버(42)의 제1 로봇암(42a)이 설치되는 하우징(41) 내 영역과 제2 트랜스퍼 챔버(44)의 제2 로봇암(44a)이 설치되는 하우징(41) 내 영역을 통합하여 공통적으로 배기시킨다. 예컨대, 배기부재로는 하우징(41)의 상부벽에서 회전가능하게 설치되는 팬(fan)과 하부벽에 설치되는 중공구조의 배기판(exhaust plate), 그리고 상기 팬을 통해 하우징(41) 내부로 필터링(filtering)된 공기를 공급하고 상기 배기판을 이를 통해 회수하여 상기 하우징(41) 내 공기를 순환시키는 순환라인(circulation line)을 포함할 수 있다.Here, the exhaust in the housing 41 of the transfer chamber 40 is commonly performed. To this end, the transfer chamber 40 has an exhaust member (not shown) which integrally regulates the exhaust in the housing 41. The exhaust member has a housing 41 in which an area within the housing 41 in which the first robot arm 42a of the first transfer chamber 42 is installed and a second robot arm 44a in the second transfer chamber 44 are installed. Integrate the internal zones to allow common exhaust. For example, the exhaust member includes a fan rotatably installed on the upper wall of the housing 41, a hollow exhaust plate installed on the lower wall, and filtering into the housing 41 through the fan. It may include a circulation line (circulation line) for circulating the air in the housing 41 by supplying filtered air and recovering the exhaust plate through it.

또한, 트랜스퍼 챔버(40) 내부 온도 조절은 공통적으로 수행된다. 이를 위해, 트랜스퍼 챔버(40)는 하우징(41) 내부 온도를 통합적으로 조절하는 온도조절부재(temperature control member)(미도시됨)를 구비한다. 온도조절부재는 제1 로봇암(42a)이 설치되는 하우징(41) 내 영역과 제2 로봇암(44a)이 설치되는 하우징(41) 내 영역의 온도를 공통적으로 조절한다. 온도조절부재로는 적어도 하나의 히터(heater)가 사용될 수 있다.In addition, temperature control inside the transfer chamber 40 is commonly performed. To this end, the transfer chamber 40 has a temperature control member (not shown) which integrally regulates the temperature inside the housing 41. The temperature regulating member commonly adjusts the temperature of the region in the housing 41 in which the first robot arm 42a is installed and the region in the housing 41 in which the second robot arm 44a is installed. At least one heater may be used as the temperature regulating member.

공정챔버들(50) 각각은 반도체 제조 공정을 수행한다. 제1 챔버들(52)은 제1 반도체 제조 공정(이하, '제1 공정'이라 함)을 수행하고, 제2 챔버들(54)은 제2 반도체 제조 공정(이하, '제2 공정'이라 함)을 수행한다. 제1 공정과 제2 공정은 서로 동일한 반도체 제조 공정일 수 있다. 예컨대, 제1 공정과 제2 공정은 플라즈마를 발생시켜 기판상의 박막 또는 이물질을 식각 공정을 수행한다. 이러한 구조의 기판 처리 장치(1)는 여섯 개의 공정챔버들(50) 각각의 식각 공정 처리를 연속적으로 수행할 수 있어 공정 처리량이 향상된다.Each of the process chambers 50 performs a semiconductor manufacturing process. The first chambers 52 perform a first semiconductor manufacturing process (hereinafter referred to as 'first process'), and the second chambers 54 are referred to as a second semiconductor manufacturing process (hereinafter referred to as 'second process'). To execute. The first process and the second process may be the same semiconductor manufacturing process. For example, the first process and the second process generate plasma to etch thin films or foreign substances on the substrate. The substrate processing apparatus 1 having this structure can continuously perform the etching process treatment of each of the six process chambers 50, thereby improving the process throughput.

또는, 제1 챔버들(52) 및 제2 챔버들(54)은 서로 상이한 반도체 제조 공정을 수행할 수 있다. 예컨대, 본 발명의 다른 실시예로서, 제1 챔버들(52)은 기판상에 폴리머 박막을 식각하는 공정을 수행하고, 제2 챔버들(54)은 기판상에 옥사이드 박막을 식각하는 공정을 수행할 수 있다. 이러한 기판 처리 장치는 식각 공정 중에서 제거하고자 하는 박막의 종류에 따라 선택적으로 공정을 수행할 수 있다. 즉, 본 발명은 폴리머 박막의 식각 공정과 옥사이드 박막의 식각 공정을 하나의 장치에서 선택적으로 수행할 수 있다.Alternatively, the first chambers 52 and the second chambers 54 may perform different semiconductor manufacturing processes. For example, as another embodiment of the present invention, the first chambers 52 perform a process of etching a polymer thin film on a substrate, and the second chambers 54 perform a process of etching an oxide thin film on a substrate. can do. The substrate processing apparatus may selectively perform the process according to the type of thin film to be removed in the etching process. That is, the present invention can selectively perform the etching process of the polymer thin film and the etching process of the oxide thin film in one device.

또는, 본 발명의 또 다른 실시예로서, 제1 챔버들(52)은 기판상에 박막을 형성하는 증착(deposition) 공정을 수행하고, 제2 챔버들(54)은 기판상에 박막을 식각하는 공정을 수행할 수 있다. 이러한 기판 처리 장치는 제1 챔버들(52)에서 증착공정이 완료된 기판들을 다시 제2 챔버들(54)로 이송시켜 식각공정을 수행함으로써, 일련의 반도체 제조 공정을 하나의 설비에서 연속적으로 수행할 수 있다.Alternatively, as another embodiment of the present invention, the first chambers 52 perform a deposition process of forming a thin film on the substrate, and the second chambers 54 may etch the thin film on the substrate. The process can be carried out. The substrate processing apparatus transfers the substrates in which the deposition process is completed in the first chambers 52 to the second chambers 54 to perform an etching process, thereby continuously performing a series of semiconductor manufacturing processes in one facility. Can be.

상술한 바와 같이, 본 발명에 따른 기판 처리 장치(1') 및 방법은 하나의 장치에서 여섯개의 공정챔버들을 구비하여 공정 처리를 수행함으로써, 기판의 처리량을 향상시킨다. 특히, 본 발명에 따른 기판 처리 장치(1')는 종래 기술의 기판 처리 장치(1)와 비해 유사한 풋 프린트(foot-print)를 갖지만 공정 처리량은 크게 향상되는 것을 알 수 있다. 이러한 기판 처리 장치(1')는 종래의 세 개의 기판 처리 장치(1)를 합친 풋 프린트의 대략 70% 정도의 풋 프린트를 갖지만, 공정 처리량은 세 개의 기판 처리 장치(1)를 합친 공정 처리량과 유사한 공정 처리량을 가진다. 특히, 세 개의 기판 처리 장치(1)를 설치하기 위해서는 유지 보수를 위해 장치들 상호간에 일정 거리를 유지시켜 설치되어야 하는 것이 일반적이다. 따라서, 이를 감안하면 본 발명에 따른 기판 처리 장치(1')는 세 개의 기판 처리 장치(1)를 구비 하는 방식에 비해 풋 프린트를 크게 줄일 수 있다. As described above, the substrate processing apparatus 1 'and the method according to the present invention improve the throughput of the substrate by carrying out the process processing with six process chambers in one apparatus. In particular, it can be seen that the substrate processing apparatus 1 ′ according to the present invention has a similar foot-print as the substrate processing apparatus 1 of the prior art, but the process throughput is greatly improved. The substrate processing apparatus 1 ′ has a footprint of about 70% of the footprint of the three conventional substrate processing apparatuses 1 combined, but the process throughput is the process throughput of the three substrate processing apparatuses 1 combined. Have similar process throughput. In particular, in order to install the three substrate processing apparatuses 1, it is generally required to maintain a certain distance between the apparatuses for maintenance. Therefore, in consideration of this, the substrate processing apparatus 1 ′ according to the present invention can significantly reduce the footprint compared to the method of having three substrate processing apparatuses 1.

또한, 본 발명은 하나의 기판 처리 장치(1')로서 세 개의 기판 처리 장치(1)를 사용한 것과 비슷한 공정 처리량을 얻을 수 있으므로, 반도체 제조 라인의 구축 비용을 크게 절감할 수 있으며, 본 발명은 제1 트랜스퍼 챔버(42) 또는 제2 트랜스퍼 챔버(44) 중 어느 하나에 이상이 발생하여도, 다른 트랜스퍼 챔버가 공정을 수행할 수 있어 트랜스퍼 챔버의 오류로 인한 설비 가동률을 저하를 줄일 수 있다.In addition, since the present invention can obtain a process throughput similar to that of using three substrate processing apparatuses 1 as one substrate processing apparatus 1 ', the construction cost of a semiconductor manufacturing line can be greatly reduced. Even if an abnormality occurs in either the first transfer chamber 42 or the second transfer chamber 44, another transfer chamber can perform the process, thereby reducing the operation rate of the equipment due to the error of the transfer chamber.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내고 설명하는 것에 불과하며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉, 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위 내에서 변경 또는 수정이 가능하다. 전술한 실시예는 본 발명을 실시하는데 있어 최선의 상태를 설명하기 위한 것이며, 본 발명과 같은 다른 발명을 이용하는데 당업계에 알려진 다른 상태로의 실시, 그리고 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서, 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The foregoing detailed description illustrates the present invention. In addition, the foregoing description merely shows and describes preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications, and environments. That is, changes or modifications may be made within the scope of the concept of the invention disclosed in this specification, the scope equivalent to the disclosed contents, and / or the skill or knowledge in the art. The above-described embodiments are intended to illustrate the best state in carrying out the present invention, the use of other inventions such as the present invention in other state known in the art, and the specific fields of application and uses of the present invention. Various changes are also possible. Accordingly, the detailed description of the invention is not intended to limit the invention to the disclosed embodiments. Also, the appended claims should be construed to include other embodiments.

상술한 바와 같이, 본 발명에 따른 기판 처리 장치 및 방법은 기판의 공정 처리량을 향상시킨다.As described above, the substrate processing apparatus and method according to the present invention improve the processing throughput of the substrate.

또한, 본 발명에 따른 기판 처리 장치 및 방법은 서로 상이한 공정을 하나의 장치에서 연속적 또는 선택적으로 수행할 수 있다.In addition, the substrate processing apparatus and method according to the present invention can perform different or different processes continuously or selectively in one apparatus.

또한, 본 발명에 따른 기판 처리 장치 및 방법은 장치의 풋 프린트를 줄일 수 있다.In addition, the substrate processing apparatus and method according to the present invention can reduce the footprint of the apparatus.

또한, 본 발명에 따른 가판 처리 장치 및 방법은 장치의 이상 발생시 모든 공정챔버들의 공정이 중단되는 것을 방지하여 설비 가동률을 향상시킨다.In addition, the sheet processing apparatus and method according to the present invention prevents the process of all process chambers from being interrupted in the event of an abnormality of the apparatus, thereby improving the facility operation rate.

Claims (6)

기판을 처리하는 장치에 있어서,In the apparatus for processing a substrate, 기판을 이송하는 트랜스퍼 챔버와,A transfer chamber for transferring the substrate, 상기 트랜스퍼 챔버의 둘레에 설치되고, 반도체 제조 공정을 수행하는 복수의 공정챔버들과,A plurality of process chambers installed around the transfer chamber and performing a semiconductor manufacturing process; 상기 트랜스퍼 챔버의 둘레에 설치되는 제1 로드락 챔버 및 제2 로드락 챔버와,A first load lock chamber and a second load lock chamber installed around the transfer chamber; 복수의 기판을 수납하는 수납 부재를 안착시키는 로드 포트와,A load port for seating a storage member for storing a plurality of substrates; 상기 로드 포트와 상기 제1 로드락 챔버 및 상기 로드 포트와 상기 제2 로드락 챔버 상호간에 기판을 이송하는 이에프이엠을 포함하되,And an EMP to transfer a substrate between the load port, the first load lock chamber, and the load port and the second load lock chamber. 상기 트랜스퍼 챔버는,The transfer chamber, 서로 인접하게 배치되는 제1 트랜스퍼 챔버 및 제2 트랜스퍼 챔버를 포함하는 것을 특징으로 하는 기판 처리 장치.Substrate processing apparatus comprising a first transfer chamber and a second transfer chamber disposed adjacent to each other. 제 1 항에 있어서,The method of claim 1, 상기 제1 트랜스퍼 챔버는,The first transfer chamber, 상기 제1 로드락 챔버와 상기 제1 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들 상호간에 기판을 이송하는 제1 로봇암을 구비하고,A first robot arm for transferring a substrate between process chambers disposed around the first load lock chamber and the first transfer chamber, 상기 제2 트랜스퍼 챔버는,The second transfer chamber is, 상기 제2 로드락 챔버와 상기 제2 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들 상호간에 기판을 이송하는 제2 로봇암을 구비하는 것을 특징으로 하는 기판 처리 장치.And a second robot arm for transferring a substrate between the process chambers arranged around the second load lock chamber and the second transfer chamber. 제 2 항에 있어서,The method of claim 2, 상기 트랜스퍼 챔버는,The transfer chamber, 내부에 상기 제1 로봇암 및 제2 로봇암이 설치되는 공간을 제공하는 하우징과,A housing providing a space in which the first robot arm and the second robot arm are installed; 상기 제1 트랜스퍼 챔버 및 상기 제2 트랜스퍼 챔버 내부의 배기를 공통으로 수행하는 배기부재와,An exhaust member which performs exhaust in the first transfer chamber and the second transfer chamber in common; 상기 제1 트랜스퍼 챔버 및 상기 제2 트랜스퍼 챔버 내부의 온도를 공통으로 조절하는 온도조절부재를 더 포함하는 것을 특징으로 하는 기판 처리 장치.And a temperature adjusting member for controlling a temperature in the first transfer chamber and the second transfer chamber in common. 기판을 이송하는 트랜스퍼 챔버, 상기 트랜스퍼 챔버의 둘레에 설치되는 복수의 공정챔버들, 그리고 상기 트랜스퍼 챔버의 둘레에 설치되는 제1 로드락 챔버 및 제2 로드락 챔버를 구비하여 기판을 처리하되, The substrate is provided with a transfer chamber for transferring the substrate, a plurality of process chambers installed around the transfer chamber, and a first load lock chamber and a second load lock chamber installed around the transfer chamber, 상기 공정챔버들 중에서 선택된 공정챔버들과 상기 제1 로드락 챔버 상호간의 기판 이송 및 상기 공정챔버들 중에서 상기 선택된 공정챔버들을 제외한 공정챔버들과 상기 제2 로드락 챔버 상호간의 기판 이송은 상기 트랜스퍼 챔버 내부에 설치되는 서로 다른 로봇암에 의해 이루어지는 것을 특징으로 하는 기판 처리 장치.Substrate transfer between the process chambers selected from the process chambers and the first load lock chamber and substrate transfer between the process chambers except the selected process chambers from the process chambers and the second load lock chamber may be performed in the transfer chamber. Substrate processing apparatus, characterized in that made by different robot arms installed therein. 제 4 항에 있어서,The method of claim 4, wherein 상기 트랜스퍼 챔버는,The transfer chamber, 상기 로봇암을 구비하는 제1 트랜스퍼 챔버 및 제2 트랜스퍼 챔버를 포함하고,A first transfer chamber and a second transfer chamber having the robot arm, 상기 제1 트랜스퍼 챔버 및 상기 제2 트랜스퍼 챔버 내부의 배기 및 온도 조절은 동일한 조건으로 이루어지는 것을 특징으로 하는 기판 처리 방법.And evacuating and controlling temperature inside the first transfer chamber and the second transfer chamber under the same conditions. 제 5 항에 있어서,The method of claim 5, wherein 상기 제1 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들과 상기 제2 트랜스퍼 챔버의 둘레에 배치되는 공정챔버들은,Process chambers disposed around the first transfer chamber and process chambers disposed around the second transfer chamber may include: 서로 상이한 반도체 제조 공정을 수행하는 것을 특징으로 하는 기판 처리 방법.A substrate processing method comprising performing a semiconductor manufacturing process different from each other.
KR1020060127283A 2006-12-13 2006-12-13 Apparatus and method for treating substrate KR20080054759A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060127283A KR20080054759A (en) 2006-12-13 2006-12-13 Apparatus and method for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060127283A KR20080054759A (en) 2006-12-13 2006-12-13 Apparatus and method for treating substrate

Publications (1)

Publication Number Publication Date
KR20080054759A true KR20080054759A (en) 2008-06-19

Family

ID=39801666

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060127283A KR20080054759A (en) 2006-12-13 2006-12-13 Apparatus and method for treating substrate

Country Status (1)

Country Link
KR (1) KR20080054759A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013147481A1 (en) * 2012-03-28 2013-10-03 국제엘렉트릭코리아 주식회사 Apparatus and cluster equipment for selective epitaxial growth
KR101431087B1 (en) * 2013-03-19 2014-08-21 국제엘렉트릭코리아 주식회사 apparatus for Selctive Epitaxial Growth and Cluster Apparatus
WO2023086848A1 (en) * 2021-11-11 2023-05-19 Lam Research Corporation Nesting atmospheric robot arms for high throughput

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013147481A1 (en) * 2012-03-28 2013-10-03 국제엘렉트릭코리아 주식회사 Apparatus and cluster equipment for selective epitaxial growth
US10006146B2 (en) 2012-03-28 2018-06-26 Kookje Electric Korea Co., Ltd. Cluster apparatus for treating substrate
KR101431087B1 (en) * 2013-03-19 2014-08-21 국제엘렉트릭코리아 주식회사 apparatus for Selctive Epitaxial Growth and Cluster Apparatus
WO2023086848A1 (en) * 2021-11-11 2023-05-19 Lam Research Corporation Nesting atmospheric robot arms for high throughput

Similar Documents

Publication Publication Date Title
US7090741B2 (en) Semiconductor processing system
KR100847888B1 (en) Apparatus for fabricating semiconductor device
JP5048352B2 (en) Substrate processing method and substrate processing apparatus
US7993458B2 (en) Vacuum processing apparatus and method
TW201923929A (en) Apparatus for processing substrates or wafers
US20070119393A1 (en) Vacuum processing system
US20100193132A1 (en) Multi-workpiece processing chamber and workpiece processing system including the same
KR20030032034A (en) Double dual slot load lock for process equipment
JP2007035874A (en) Vacuum processing system
JP4634918B2 (en) Vacuum processing equipment
KR20080054759A (en) Apparatus and method for treating substrate
TWI681491B (en) Substrate treating apparatus
TW202145411A (en) Bottom purge for semiconductor processing system
KR20080011903A (en) Apparatus for transfering substrates, apparatus for treating substrates, and method for cooling substrates
JP2004304116A (en) Substrate processing apparatus
KR101065350B1 (en) Buffer chamber and semi-conductor manufacturing system having it.
KR102241600B1 (en) Loadlock chamber and system for treating substrate with the loadlock chamber
KR20070075935A (en) Vacuum pumping system of substrate processing apparatus and method of vacuum pumping transfer chamber using the same
US20230133714A1 (en) Apparatus for treating substrate and method for treating substrate
KR102382926B1 (en) Water vapor processing apparatus and water vapor processing method
KR102517603B1 (en) Substrate transporting method and substrate processing apparatus
US20230311153A1 (en) Substrate processing apparatus
KR20080071680A (en) Inline system for manufacturing semiconductor device
KR102277545B1 (en) Apparatus and Method for treating a substrate
KR20230134978A (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination