TWI675395B - 利用氧電漿清潔循環之電漿除污固體顆粒迴避法 - Google Patents

利用氧電漿清潔循環之電漿除污固體顆粒迴避法 Download PDF

Info

Publication number
TWI675395B
TWI675395B TW106112529A TW106112529A TWI675395B TW I675395 B TWI675395 B TW I675395B TW 106112529 A TW106112529 A TW 106112529A TW 106112529 A TW106112529 A TW 106112529A TW I675395 B TWI675395 B TW I675395B
Authority
TW
Taiwan
Prior art keywords
decontamination
oxygen
reactant
containing gas
plasma source
Prior art date
Application number
TW106112529A
Other languages
English (en)
Other versions
TW201802871A (zh
Inventor
柯林約翰 迪辛森
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201802871A publication Critical patent/TW201802871A/zh
Application granted granted Critical
Publication of TWI675395B publication Critical patent/TWI675395B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Optics & Photonics (AREA)
  • Treating Waste Gases (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)

Abstract

於此揭露的實施例包括電漿除污製程,其從處理腔室取出流出物並藉由將水蒸氣反應物注入到前級管線或電漿源而將流出物與放置在前級管線中的電漿源內的水蒸氣反應物反應。材料存在於流出物中,且水蒸氣反應物藉由電漿源而激發,將材料轉化成氣體物種,諸如藉由典型的水洗滌除污技術而易於洗滌的HF。相對於水蒸氣注入,含氧氣體被週期性地注入到前級管線或電漿源中,以減少或避免固體顆粒的產生。除污製程具有帶有最小化固體顆粒產生的良好的破壞移除效率(DRE)。

Description

利用氧電漿清潔循環之電漿除污固體顆粒迴避法
本揭露的實施例通常涉及半導體處理配備的除污。更具體地,本揭露的實施例涉及用於除污存在於半導體製造製程的流出物中的全氟化碳(PFC)氣體的技術。
在半導體製造製程期間所產生的流出物包括由於監管要求和環境及安全性考量而在丟棄之前必須除污或處理的許多化合物。這些化合物中有PFC和含鹵素化合物,其使用於(例如)蝕刻或清洗製程中。
PFC(諸如CF4 、C2 F6 、NF3 和SF6 )通常使用於半導體和平板顯示器製造產業中,例如,在介電層蝕刻和腔室清潔中。在製造或清潔製程之後,從處理工具泵送的流出物氣流中通常存在殘留的PFC含量。PFC難以從流出物流中移除,且它們釋放到環境中是非期望的,因為已知它們具有較高的溫室活性。遠端電漿源(RPS)或在線電漿源(IPS)已用於除污PFC和其他全球暖化氣體。
用於除污PFC的當前除污技術的設計利用單獨的水蒸氣或附加的氫氣任一者作為反應物。水蒸氣為PFC氣體提供了極好的破壞能力,但在某些應用中,固體顆粒在電漿源、電漿源下游的排氣管線和泵中產生。因此,需要改進除污製程。
在一個實施例中,一種方法包括以下步驟:將流出物從處理腔室流入到除污系統中,流出物包括鹵素,且除污系統包括前級管線和電漿源。方法進一步包括以下步驟:將除污反應物注入到除污系統中,及使用電漿源形成電漿。流出物和除污反應物被激發以形成除污材料。方法進一步包括以下步驟:相對於除污反應物的注入而週期性地將含氧氣體注入到除污系統中。
在另一個實施例中,一種方法包括以下步驟:將流出物從處理腔室流入到前級管線中,且流出物包括鹵素。方法進一步包括以下步驟:將除污反應物注入到前級管線中,及使用電漿源形成電漿。流出物和除污反應物被激發以形成除污材料。方法進一步包括以下步驟:相對於除污反應物的注入而週期性地將含氧氣體注入到除污系統中。
在另一個實施例中,一種方法包括以下步驟:將流出物從處理腔室流入到電漿源中,且流出物包括鹵素。方法進一步包括以下步驟:將除污反應物注入到電漿源中,及使用電漿源形成電漿。流出物和除污反應物被激發以形成除污材料。方法進一步包括以下步驟:相對於除污反應物的注入而週期性地將含氧氣體注入到電漿源中。
100‧‧‧處理腔室
101‧‧‧處理系統
102‧‧‧除污系統
104‧‧‧腔室排氣埠
106‧‧‧前級管線
107‧‧‧注入埠
108‧‧‧注入埠
109‧‧‧控制器
110‧‧‧電漿源
112‧‧‧泵
114‧‧‧排氣管線
116‧‧‧閥
118‧‧‧除污反應物輸送系統
119‧‧‧閥
120‧‧‧系統控制器
121‧‧‧含氧氣體輸送系統
122‧‧‧控制器
124‧‧‧注入埠
126‧‧‧注入埠
128‧‧‧導管
130‧‧‧導管
132‧‧‧第一導管
136‧‧‧導管
140‧‧‧第二端
150‧‧‧導管
152‧‧‧導管
154‧‧‧導管
156‧‧‧導管
158‧‧‧導管
160‧‧‧注入埠
162‧‧‧蓋
164‧‧‧蒸氣頭空間
200‧‧‧方法
202‧‧‧方塊
204‧‧‧方塊
206‧‧‧方塊
208‧‧‧方塊
210‧‧‧方塊
212‧‧‧方塊
使得可詳細地理解本揭露書的以上所載的特徵的方式,可藉由參考實施例而獲得對簡要概述於上的本揭露書的更具體的描述,其中一些實施例顯示在附隨的圖式中。然而,應當注意附隨的圖式僅顯示了這份揭露書的典型實施例,且因此不被認為是限制其範圍,因為本揭露書可承認其他等效的實施例。
第1A圖是根據於此所述的一個實施例的處理系統的示意圖。
第1B圖是根據於此所述的另一個實施例的處理系統的示意圖。
第1C圖是根據於此描述的另一個實施例的處理系統的示意圖。
第1D圖是根據於此描述的另一個實施例的處理系統的示意圖。
第1E圖是根據於此描述的另一個實施例的處理系統的示意圖。
第1F圖是根據於此描述的另一個實施例的處理系統的示意圖。
第2圖是顯示根據於此所述的一個實施例的用於除污來自處理腔室的流出物的一種方法的流程圖。
為促進理解,在可能的情況下,已使用相同的元件符號來指定共用於圖式的相同元件。此外,一個實施方案的元件可有利適用於在於此所述的其他實施例中使用。
於此所揭露的實施例包括電漿除污製程,其從處理腔室(諸如沉積室、蝕刻室或其它真空處理腔室)取出流出物,並藉由將水蒸氣反應物注入到前級管線或電漿源中而將流出物與位於前級管線中的電漿源內的水蒸氣反應物反應。材料存在於流出物中,且水蒸氣反應物藉由電漿源而激發,將材料轉化成氣體物種,諸如藉由典型的水洗滌除污技術而易於洗滌的HF。當水蒸氣注入被暫時地停止時,含氧氣體被週期性地注入到前級管線或電漿源中。藉由移除由水蒸氣所提供的氫自由基流出物,使用氧氣使得存在較高濃度的氟自由基,以減少或避免產生固體顆粒。除污製程具有帶有最小化固體顆粒產生的良好的破壞移除效率(DRE)。
第1A圖是根據於此所述的一個實施例的處理系統101的示意圖。如第1A圖中所示,處理系統101包括處理腔室100和除污系統102。處理腔室100通常經配置以執行至少一個積體電路製造製程,諸如沉積製程、清洗製程、蝕刻製程、電漿處理製程、預清洗製程、離子佈植製程,或其他積體電路製造製程。在處理腔室100中執行的製程可為電漿輔助的。例如,在處理腔室100中執行的製程可為用於蝕刻矽基材料的電漿蝕刻製程。在一個實施例中,處理腔室100是用於沉積矽基材料的電漿強化化學氣相沉積(PECVD)腔室。
處理腔室100具有耦接到除污系統102的前級管線106的腔室排氣埠104。可在腔室排氣埠104附近放置節流閥(未顯示),以控制在處理腔室100內側的壓力。第一注入埠108和第二注入埠107可形成在前級管線106中。除污系統102進一步包括耦接到前級管線106的第二端140的真空泵112。電漿源110在前級管線106中耦接在注入埠108和真空泵112之間的位置處。電漿源110可為RPS及IPS或任何合適的電漿源。排氣管線114耦接到泵112且可連接到設施排氣埠(未顯示)。
形成在前級管線106中的第一注入埠108用於將除污反應物引入到前級管線106中。第一注入埠108可經由導管150而連接到除污反應物輸送系統118。除污反應物輸送系統118含有除污反應物,且一或多個閥116可放置在除污反應物輸送系統118和第一注入埠108之間的導管150中,以控制除污反應物的流動。例如,在除污反應物輸送系統118和第一注入埠108之間的閥116可包括隔離閥和針閥。閥116可連接到控制器122,且控制器122可連接到系統控制器120。在一個實施例中,除污反應物輸送系統118是低壓鍋爐,且液體除污反應物(諸如液態水)設置在低壓鍋爐中。替代地,除污反應物輸送系統118可為能夠將液態水轉化成水蒸氣的急速蒸發器。蒸氣形式的除污反應物(諸如水蒸氣)經由第一注入埠108而注入到前級管線106中。位準感測器(未顯示)可位於除污反應物輸送系統118中,以提供信號給控制器 122,控制器122選擇性地打開填充閥(未顯示),以維持在除污反應物輸送系統118內側的水位。
流入到前級管線106中的除污反應物的流速可取決於在處理腔室100中所形成的PFC或含鹵素化合物的量。除污反應物的流速可藉由操作一或多個閥116而控制。一或多個閥116可為用於控制除污反應物的流動的任何合適的閥。在一個實施例中,一或多個閥116包括用於微調除污反應物的流動的控制的針閥。關於在處理腔室100中所形成的PFC或含鹵素化合物的量的資訊可藉由系統控制器120而獲得,系統控制器120又傳送訊號給控制器122,以控制一或多個閥116。
除污反應物(諸如水蒸氣)被注入到前級管線中,且接著流入到電漿源110中。電漿從電漿源110內的除污反應物而產生,從而激發除污反應物,且在一些實施例中,亦激發流出物。在一些實施例中,夾帶在流出物中的除污反應物及/或材料的至少一些被至少部分地解離。除污反應物的特性、除污反應物的流速、前級氣體注入參數及電漿產生條件可基於夾帶在流出物中的材料的組成而確定,且可藉由控制器122而控制。在一些應用(諸如蝕刻矽基材料)中,離開處理腔室100的流出物可包括矽,且固體顆粒(諸如氧化矽)可在電漿源110和電漿源110下游的排氣管線114及泵112中形成。
為了減少或避免固體顆粒的產生,相對於除污反應物的注入,含氧氣體經由第二注入埠107而週期性地 注入到前級管線106中。換言之,經由第二注入埠107將含氧氣體週期性地注入到前級管線106中,同時暫時停止除污反應物的注入。含氧氣體輸送系統121經由導管152而連接到第二注入埠107。含氧氣體輸送系統121可為用於產生含氧氣體(諸如氧氣)的任何合適的系統。一或多個閥119可放置在含氧氣體輸送系統121和第二注入埠107之間的導管152中,以控制含氧氣體的流動。例如,在含氧氣體輸送系統121和第二注入埠107之間的閥119可包括隔離閥和針閥。閥119可連接到控制器109,且控制器109可連接到系統控制器120。
第1B圖是根據於此所述的一個實施例的處理系統101的示意圖。如第1B圖中所示,除污反應物輸送系統118和含氧氣體輸送系統121分別經由導管154、156而連接到電漿源110。電漿源110可包括第一注入埠124,且除污反應物輸送系統118經由導管154而連接到第一注入埠124,用於將除污反應物注入到電漿源110中。電漿源110可包括第二注入埠126,且含氧氣體輸送系統121經由導管156而連接到第二注入埠126,用於將含氧氣體注入到電漿源110中。一或多個閥116可放置在除污反應物輸送系統118和第一注入埠124之間的導管154中,以控制除污反應物的流動。一或多個閥119可放置在含氧氣體輸送系統121和第二注入埠126之間的導管156中,以控制含氧氣體的流動。處理腔室100和除污 系統102的剩餘部分可與第1A圖中所示的處理系統相同。
第1C圖是根據於此所述的一個實施例的處理系統101的示意圖。如第1C圖中所示,除污反應物輸送系統118和含氧氣體輸送系統121連接到前級管線106中的注入埠108。第一導管132可連接到注入埠108。第二導管128可連接到第一導管132和一或多個閥119。第三導管130可連接到第一導管132和一或多個閥116。一或多個閥116可放置在除污反應物輸送系統118和注入埠108之間,以控制除污反應物的流動。一或多個閥119可放置在含氧氣體輸送系統121和注入埠108之間,以控制含氧氣體的流動。在操作期間,閥116是打開的,用於經由注入埠108而將除污反應物注入到前級管線106中,或閥119是打開,用於經由注入埠108而將含氧氣體注入到前級管線106中。處理腔室100和除污系統102的剩餘部分可與第1A圖中所示的處理系統相同。
第1D圖是根據於此所述的一個實施例的處理系統101的示意圖。如第1D圖中所示,除污反應物輸送系統118和含氧氣體輸送系統121連接到電漿源110中的注入埠124。導管136可連接到注入埠124。導管128可連接到導管136和一或多個閥119。導管130可連接到導管136和一或多個閥116。一或多個閥116可放置在除污反應物輸送系統118和注入埠124之間,以控制除污反應物的流動。一或多個閥119可放置在含氧氣體輸送系統 121和注入埠124之間,以控制含氧氣體的流動。在操作期間,閥116是打開的,用於經由注入埠124而將除污反應物注入到電漿源110中,或閥119是打開的,用於經由注入埠124而將含氧氣體注入到電漿源110中。處理腔室100和除污系統102的剩餘部分可與第1A圖中所示的處理系統相同。
第1E圖是根據於此所述的另一個實施例的處理系統101的示意圖。如第1E圖中所示,含氧氣體輸送系統121不連接到前級管線106,而是將含氧氣體輸送系統121經由導管158而連接到除污反應物輸送系統118。除污反應物輸送系統118經由導管150而連接到注入埠108,且一或多個閥116可放置在除污反應物輸送系統118和注入埠108之間的導管150中,以控制除污反應物或含氧氣體的流動。導管158連接到位於除污反應物輸送系統118的蓋162上的注入埠160。一或多個閥119可放置在含氧氣體輸送系統121和除污反應物輸送系統118之間的導管158中,以控制進入到除污反應物輸送系統118中的含氧氣體的流動。在操作期間,閥116是打開的,用於經由注入埠108而將除污反應物或含氧氣體注入到前級管線106中。閥119是打開的,用於將含氧氣體注入到除污反應物輸送系統118的蒸氣頭空間164中。含氧氣體被加入到除污反應物輸送系統118的蒸氣頭空間164中,這又增加除污反應物輸送系統118中的壓力。增加的壓力抑制在除污反應物輸送系統118中的除污反應 物的沸騰。因此,含氧氣體是經由導管150而流入到前級管線106中,且沒有除污反應物流入到前級管線106中。閥116總是打開的,以允許除污反應物或含氧氣體任一者流入到前級管線106中。閥119是打開的,以允許含氧氣體流入到除污反應物輸送系統118和前級管線106中。處理腔室100和除污系統102的剩餘部分可與第1A圖中所示的處理系統相同。
第1F圖是根據於此所述的另一個實施例的處理系統101的示意圖。如第1F圖中所示,含氧氣體輸送系統121不連接到前級管線106,而是將含氧氣體輸送系統121經由導管158而連接到除污反應物輸送系統118。除污反應物輸送系統118經由導管154而連接到注入埠124,且一或多個閥116可放置在除污反應物輸送系統118和注入埠124之間的導管154中,以控制除污反應物或含氧氣體的流動。導管158連接到位於除污反應物輸送系統118的蓋162上的注入埠160。一或多個閥119可放置在含氧氣體輸送系統121和除污反應物輸送系統118之間的導管158中,以控制氧氣體進入到除污輸送系統118中的含氧氣體的流動。在操作期間,閥116是打開的,用於經由注入埠124而將除污反應物或含氧氣體注入到電漿源110中。閥119是打開的,用於將含氧氣體注入到除污反應物輸送系統118的蒸氣頭空間164中。含氧氣體被添加到除污反應物輸送系統118的蒸氣頭空間164中,這又增加了除污反應物輸送系統118內側的壓力。增 加的壓力抑制除污反應物輸送系統118中除污反應物的沸騰。因此,含氧氣體經由導管150而流入到電漿源110中,且沒有除污反應物流入到電漿源110中。閥116總是打開的,以允許除污反應物或含氧氣體流入到電漿源110中。閥119是打開的,以允許含氧氣體流入到除污反應物輸送系統118和電漿源110中。處理腔室100和除污系統102的剩餘部分可與第1A圖中所示的處理系統相同。
第2圖是顯示用於自處理腔室離開的流出物除污PFC或含鹵素化合物的方法200的一個實施例的流程圖。方法200藉由將來自處理腔室(諸如處理腔室100)的流出物流入到電漿源(諸如電漿源110)中而開始於方塊202處,且流出物包括PFC或含鹵素化合物,諸如SiF4。在方塊204處,方法藉由經由注入埠(諸如注入埠108或124)將除污反應物注入到前級管線(諸如前級管線106)或電漿源(諸如電漿源110)中而繼續。除污反應物可為水蒸氣且可在除污反應物輸送系統(諸如除污反應物輸送系統118)中產生。在方塊206處,方法藉由使用電漿源而形成電漿而繼續,且流出物和除污反應物經反應並激發,以將流出物中的PFCs或含鹵素化合物轉化為除污的材料。在一些實施例中,夾帶在流出物中的除污反應物及/或材料的至少一些被至少部分地解離。在包括在電漿源中所形成的除污反應物的電漿存在下,將流出物中的目標材料轉化為除污材料。流出物中的材料可接著離開電漿源並流入到泵(諸如泵112)中及/或被進一步處理。
在方塊204和206處所述的方法可在當基板在處理腔室中被處理或處理腔室被清潔時進行。例如,在方塊204和206處所述的方法可在當在處理腔室中的基板上進行蝕刻處理時進行。當處理腔室閒置時,諸如不在設置在處理腔室中的基板上進行處理,或在將基板傳送進出於處理腔室時,可停止方塊204和206處所述的方法。因此,在方塊208處,停止將除污反應物注入到前級管線或電漿源中。
固體顆粒可在電漿源中形成,這是由於反應和激發除污反應物和流出物的結果。接下來,在方塊210處,含氧氣體經由注入埠(諸如注入埠107、108、124或126的一或多個)而注入到前級管線或電漿源中。含氧氣體(諸如氧氣或臭氧)可在含氧氣體輸送系統(諸如含氧氣體輸送系統121)中產生。接下來,在方塊212處,在電漿源中形成電漿,且含氧氣體在電漿源中激發。被激發的含氧氣體減少或避免在電漿源和電漿源下游的配備中產生固體顆粒。
在方塊210和212處所述的方法可在當處理腔室閒置時或當在處理腔室內處理基板時執行。在一個例子中,在除污反應物停止注入到前級管線或電漿源之後,將含氧氣體注入到前級管線或電漿源中。將除污反應物注入到前級管線或電漿源中,以將PFC或含鹵素化合物轉化為除污材料。將含氧氣體注入到前級管線或電漿源中,以減少或避免產生藉由使除污反應物和PFC或含鹵素化合 物反應和激發所形成的固體顆粒。在一個例子中,除污反應物和含氧氣體不同時注入到前級管線或電漿源中。除污反應物和含氧氣體在不同時間被注入前級管線或電漿源中,以便更有效地執行不同的任務。
在一個例子中,在第一時間週期期間將除污反應物注入到前級管線或電漿源中,且在第二時間週期期間將含氧氣體注入到前級管線或電漿源中。第一時間週期可為第一和第二時間週期的總和的10至90%,第二時間週期可為第一和第二時間週期的總和的10至90%。第一和第二時間週期不重疊。在一個例子中,在第一時間週期期間不注入含氧氣體,而在第二時間週期期間不注入除污反應物。替代地,可在一個週期或兩個週期之間的過渡期間注入含氧氣體和除污反應物兩者。在又另一個實施例中,除污反應物可在兩個時間週期期間注入,而含氧氣體僅在第二時間週期期間注入。在一些實施例中,第二時間週期可能發生於在處理腔室內處理基板時,而在其它實施例中,第二時間週期發生於處理腔室閒置時間期間。在一個實施例中,第一時間週期是第一和第二時間週期的總和的75%,且第二時間週期是第一和第二時間週期的總和的25%。第一時間週期和第二時間週期中的時間量可由系統控制器120而控制。
儘管前述內容涉及所揭露的裝置、方法和系統的實施例,但是所揭露的裝置、方法和系統的其他和進一 步的實施例可經設計而不背離其基本範圍,且其範圍由以下的申請專利範圍所決定。

Claims (16)

  1. 一種用於電漿除污的方法,包含以下步驟:將一流出物(effluent)從一處理腔室流入到一除污系統中,其中該流出物包含一鹵素,且其中該除污系統包含一前級管線和一電漿源;將一除污反應物(abating reagent)從一除污反應物輸送系統注入到該除污系統中;使用該電漿源形成一電漿,其中該流出物和該除污反應物被激發以形成一除污材料;將一含氧氣體注入到該除污反應物輸送系統,其中將該含氧氣體注入增加了該除污反應物輸送系統內的壓力且使該除污反應物停止流進該除污系統中;及將該含氧氣體注入該除污系統。
  2. 如請求項1所述之方法,其中該除污反應物包含水蒸氣。
  3. 如請求項1所述之方法,其中該含氧氣體包含氧氣或臭氧。
  4. 如請求項1所述之方法,其中該除污反應物在第一時間週期期間被注入到該除污系統中,且該含氧氣體在第二時間週期期間被注入到該除污系統中。
  5. 如請求項4所述之方法,其中該第一時間週期是該第一和第二時間週期之總和的約10至90%,且該第二時間週期是該第一和第二時間週期之總和的約10至90%。
  6. 一種用於電漿除污的方法,包含以下步驟:將一流出物從一處理腔室流入到一前級管線中,其中該流出物包含一鹵素;將一除污反應物從一除污反應物輸送系統注入到該前級管線中;使用一電漿源形成一電漿,該電漿源連接在該前級管線中,其中該流出物和該除污反應物被激發以形成一除污材料;將一含氧氣體注入到該除污反應物輸送系統,其中將該含氧氣體注入增加了該除污反應物輸送系統內的壓力且使該除污反應物停止流進該前級管線中;及將該含氧氣體注入該前級管線中。
  7. 如請求項6所述之方法,其中該除污反應物包含水蒸氣。
  8. 如請求項6所述之方法,其中該含氧氣體包含氧氣或臭氧。
  9. 如請求項6所述之方法,其中該除污反應物在第一時間週期期間被注入到該前級管線中,且該含氧氣體在第二時間週期期間被注入到該前級管線中。
  10. 如請求項9所述之方法,其中該第一時間週期是該第一和第二時間週期之總和的約10至90%,且該第二時間週期是該第一和第二時間週期之總和的約10至90%。
  11. 如請求項9所述之方法,其中該第一時間週期和該第二時間週期不重疊。
  12. 一種用於電漿除污的方法,包含以下步驟:將一流出物從一處理腔室流入到一電漿源中,其中該流出物包含一鹵素;將一除污反應物從一除污反應物輸送系統注入到該電漿源中;使用該電漿源形成一電漿,其中該流出物和該除污反應物被激發以形成一除污材料;將一含氧氣體注入到該除污反應物輸送系統,其中將該含氧氣體注入增加了該除污反應物輸送系統內的壓力且使該除污反應物停止流進該電漿源中;及將該含氧氣體注入該電漿源。
  13. 如請求項12所述之方法,其中該除污反應物包含水蒸氣。
  14. 如請求項12所述之方法,其中該含氧氣體包含氧氣或臭氧。
  15. 如請求項12所述之方法,其中該除污反應物在第一時間週期期間被注入到該電漿源中,且該含氧氣體在第二時間週期期間被注入到該電漿源中。
  16. 如請求項15所述之方法,其中該第一時間週期是該第一和第二時間週期之總和的約10至90%,且該第二時間週期是該第一和第二時間週期之總和的約10至90%。
TW106112529A 2016-04-15 2017-04-14 利用氧電漿清潔循環之電漿除污固體顆粒迴避法 TWI675395B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662323582P 2016-04-15 2016-04-15
US62/323,582 2016-04-15
US201662352240P 2016-06-20 2016-06-20
US62/352,240 2016-06-20

Publications (2)

Publication Number Publication Date
TW201802871A TW201802871A (zh) 2018-01-16
TWI675395B true TWI675395B (zh) 2019-10-21

Family

ID=60039788

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106112529A TWI675395B (zh) 2016-04-15 2017-04-14 利用氧電漿清潔循環之電漿除污固體顆粒迴避法

Country Status (7)

Country Link
US (1) US10625312B2 (zh)
JP (1) JP6698871B2 (zh)
KR (1) KR102102615B1 (zh)
CN (1) CN109155233B (zh)
SG (1) SG11201809018UA (zh)
TW (1) TWI675395B (zh)
WO (1) WO2017180322A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102210393B1 (ko) 2017-02-09 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 수증기 및 산소 시약을 이용하는 플라즈마 저감 기술
US11221182B2 (en) 2018-07-31 2022-01-11 Applied Materials, Inc. Apparatus with multistaged cooling
WO2020123050A1 (en) 2018-12-13 2020-06-18 Applied Materials, Inc. Heat exchanger with multi stag ed cooling

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US20110135552A1 (en) * 2009-12-03 2011-06-09 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6361706B1 (en) 1999-08-13 2002-03-26 Philips Electronics North America Corp. Method for reducing the amount of perfluorocompound gas contained in exhaust emissions from plasma processing
US6576573B2 (en) * 2001-02-09 2003-06-10 Advanced Technology Materials, Inc. Atmospheric pressure plasma enhanced abatement of semiconductor process effluent species
JP2002273168A (ja) * 2001-03-15 2002-09-24 Alpha Tekku:Kk 除害装置及び除害方法
JP2003282465A (ja) * 2002-03-26 2003-10-03 Hitachi Ltd 半導体装置の製造方法
FR2898066B1 (fr) * 2006-03-03 2008-08-15 L'air Liquide Procede de destruction d'effluents
JP5102217B2 (ja) * 2005-10-31 2012-12-19 アプライド マテリアルズ インコーポレイテッド プロセス削減反応器
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20080102011A1 (en) * 2006-10-27 2008-05-01 Applied Materials, Inc. Treatment of effluent containing chlorine-containing gas
US20100258510A1 (en) * 2009-04-10 2010-10-14 Applied Materials, Inc. Methods and apparatus for treating effluent
US8992689B2 (en) * 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
KR102050496B1 (ko) * 2013-02-21 2019-12-02 주성엔지니어링(주) 반도체 프로세싱 챔버의 세정방법
CN106030755B (zh) * 2014-03-06 2020-01-03 应用材料公司 含有重原子的化合物的等离子体减量
WO2015134156A1 (en) * 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma foreline thermal reactor system
CN106605451A (zh) * 2014-09-12 2017-04-26 应用材料公司 用于处理半导体处理设备排放物的控制器
WO2016048526A1 (en) * 2014-09-25 2016-03-31 Applied Materials, Inc. Vacuum foreline reagent addition for fluorine abatement
KR20170094439A (ko) * 2014-12-16 2017-08-17 어플라이드 머티어리얼스, 인코포레이티드 수소 또는 수소 함유 가스들과 함께 수증기를 사용하는 플라즈마 저감

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US20110135552A1 (en) * 2009-12-03 2011-06-09 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system

Also Published As

Publication number Publication date
CN109155233B (zh) 2023-05-23
JP6698871B2 (ja) 2020-05-27
US20170297066A1 (en) 2017-10-19
KR20180125046A (ko) 2018-11-21
KR102102615B1 (ko) 2020-04-21
CN109155233A (zh) 2019-01-04
SG11201809018UA (en) 2018-11-29
US10625312B2 (en) 2020-04-21
JP2019514223A (ja) 2019-05-30
WO2017180322A1 (en) 2017-10-19
TW201802871A (zh) 2018-01-16

Similar Documents

Publication Publication Date Title
TWI675395B (zh) 利用氧電漿清潔循環之電漿除污固體顆粒迴避法
KR102510935B1 (ko) 가스 부산물 저감 및 포어라인 세정을 위한 장치
JP7021237B2 (ja) 水蒸気および酸素の反応物を利用するプラズマ軽減技術
TW201632224A (zh) 使用水蒸氣連同氫氣或含氫氣體之電漿減量
TWI675699B (zh) 用於氟減量之真空前級管線試劑添加
WO2018216446A1 (ja) 排ガスの減圧除害装置
TW201906657A (zh) 排氣系設備系統
JP2010207771A (ja) 排ガス処理装置および排ガス処理方法
KR101792633B1 (ko) 반도체 제조 설비의 배기 유체 처리 장치
WO2018141088A1 (en) Plasma abatement of nitrous oxide from semiconductor process effluents
JP6874957B2 (ja) 排ガス除害排出システム
KR20190124781A (ko) 배기 가스의 감압 제해 방법 및 그 장치
KR20140010686A (ko) 반도체 공정 펌프 및 배기라인의 부산물 제어 방법
KR102114042B1 (ko) 가열 챔버와 건식 스크러버 챔버를 갖는 하이브리드 스크러버 및 상기 하이브리드 스크러버의 운용 방법
US20200273682A1 (en) Reduction of br2 and cl2 in semiconductor processes
KR20170032690A (ko) 원격플라즈마 세정 장치 및 이를 이용한 세정방법
JP2006332339A (ja) 真空装置及び除害システム
JP2008153564A (ja) Cvd装置
US20100329956A1 (en) Exhaust gas treatment method and system
JPH07243681A (ja) クリーンルームのクリーン化方法