TWI646653B - Laterally diffused metal oxide semiconductor field effect transistor - Google Patents

Laterally diffused metal oxide semiconductor field effect transistor Download PDF

Info

Publication number
TWI646653B
TWI646653B TW106146235A TW106146235A TWI646653B TW I646653 B TWI646653 B TW I646653B TW 106146235 A TW106146235 A TW 106146235A TW 106146235 A TW106146235 A TW 106146235A TW I646653 B TWI646653 B TW I646653B
Authority
TW
Taiwan
Prior art keywords
region
effect transistor
semiconductor field
diffused metal
conductivity type
Prior art date
Application number
TW106146235A
Other languages
Chinese (zh)
Other versions
TW201931559A (en
Inventor
維克 韋
陳柏安
Original Assignee
新唐科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新唐科技股份有限公司 filed Critical 新唐科技股份有限公司
Priority to TW106146235A priority Critical patent/TWI646653B/en
Priority to CN201811209280.1A priority patent/CN109599439B/en
Application granted granted Critical
Publication of TWI646653B publication Critical patent/TWI646653B/en
Publication of TW201931559A publication Critical patent/TW201931559A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0259Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using bipolar transistors as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions

Abstract

本發明實施例提供一種橫向擴散金屬氧化物半導體場效電晶體包括:本體區,位於基板之上部,具有第一導電類型;飄移區,位於基板之上部,具有第二導電類型,本體區與飄移區之間設有第一隔離區;閘極,位於基板之上;源極區,位於本體區中;汲極區,位於飄移區中,包括相鄰設置之第一汲極區及第二汲極區,第一汲極區具有第二導電類型,第二汲極區具有第一導電類型;第二隔離區,設於第一隔離區與汲極區之間的飄移區中;及第一摻雜區,位於第一隔離區及第二隔離區之間的基板之中,具有第一導電類型;第一摻雜區與飄移區構成第一二極體。 An embodiment of the present invention provides a laterally diffused metal oxide semiconductor field effect transistor including: a body region, which is located above the substrate, and has a first conductivity type; a drift region, which is located above the substrate, and has a second conductivity type; the body region and drift A first isolation region is provided between the regions; a gate electrode is located on the substrate; a source region is located in the body region; a drain region is located in the drift region, and the first drain region and the second drain region are arranged adjacent to each other. A first drain region having a second conductivity type and a second drain region having a first conductivity type; a second isolation region provided in a drift region between the first isolation region and the drain region; and a first The doped region is located in the substrate between the first isolation region and the second isolation region and has a first conductivity type; the first doped region and the drift region form a first diode.

Description

橫向擴散金屬氧化物半導體場效電晶體 Laterally diffused metal oxide semiconductor field effect transistor

本發明實施例係有關於一種半導體技術,特別是有關於一種橫向擴散金屬氧化物半導體場效電晶體。 Embodiments of the present invention relate to a semiconductor technology, and particularly to a laterally diffused metal oxide semiconductor field effect transistor.

高壓半導體元件適用於高電壓與高功率的積體電路領域。傳統高壓半導體元件包括橫向擴散金屬氧化物半導體場效電晶體(lateral diffused metal oxide semiconductor,LDMOS)。高壓半導體元件的優點在於易相容於其他製程,符合成本效益,因此廣泛應用於電源供應器、電力管理、顯示器驅動IC元件、通訊、車用電子、工業控制等領域中。 The high-voltage semiconductor element is suitable for the field of integrated circuits of high voltage and high power. A conventional high-voltage semiconductor device includes a lateral diffused metal oxide semiconductor (LDMOS). The advantage of high-voltage semiconductor components is that they are easily compatible with other processes and are cost-effective. Therefore, they are widely used in power supply, power management, display drive IC components, communications, automotive electronics, industrial control and other fields.

當橫向擴散金屬氧化物半導體場效電晶體連接至交流電源(AC power)時,可能累積大量的靜電電荷,而這些靜電電荷可能於任意兩端點流動,而產生靜電放電(electrostatic discharge,ESD)電流。靜電放電電流若未獲得妥善控制,則可能燒毀積體電路,造成元件損害。舉例而言,若靜電放電電流由元件的汲極流向源極,則亦可能流向元件的閘極,而造成閘極損傷。 When a laterally diffused metal oxide semiconductor field effect transistor is connected to an AC power source, a large amount of electrostatic charges may accumulate, and these electrostatic charges may flow at any two ends, resulting in electrostatic discharge (ESD). Current. If the electrostatic discharge current is not properly controlled, it may burn the integrated circuit and cause damage to the components. For example, if the electrostatic discharge current flows from the drain to the source of the element, it may also flow to the gate of the element, causing damage to the gate.

綜上所述,雖然現有的橫向擴散金屬氧化物半導體場效電晶體大致符合需求,但並非各方面皆令人滿意,特別 是橫向擴散金屬氧化物半導體場效電晶體之靜電放電電流仍需進一步改善。 In summary, although the existing laterally diffused metal oxide semiconductor field effect transistors are generally in line with the requirements, they are not satisfactory in all aspects. The electrostatic discharge current of a laterally diffused metal oxide semiconductor field effect transistor still needs to be further improved.

本發明實施例提供一種橫向擴散金屬氧化物半導體場效電晶體,包括:基板,具有第一導電類型;本體區(body region),位於基板之上部,本體區具有第一導電類型;飄移區(drift region),位於基板之上部,本體區與飄移區之間設有第一隔離區,飄移區具有與第一導電類型相反之第二導電類型;閘極,位於基板之上,且部分覆蓋本體區;源極區,位於本體區中,源極區具有第二導電類型;汲極區,位於飄移區中,包括相鄰設置之第一汲極區及第二汲極區,第一汲極區具有第二導電類型,且第二汲極區具有第一導電類型;第二隔離區,設於第一隔離區與汲極區之間的飄移區中;第一摻雜區,位於第一隔離區及第二隔離區之間的基板之中,第一摻雜區具有第一導電類型;其中第一摻雜區與飄移區構成第一二極體。 An embodiment of the present invention provides a laterally diffused metal oxide semiconductor field effect transistor, which includes a substrate having a first conductivity type; a body region located above the substrate; the body region having the first conductivity type; and a drift region ( drift region), located on the upper part of the substrate, a first isolation region is provided between the body region and the drift region, and the drift region has a second conductivity type opposite to the first conductivity type; the gate electrode is located on the substrate and partially covers the body Source region, located in the body region, the source region has a second conductivity type; drain region, located in the drift region, includes a first drain region and a second drain region adjacent to each other, the first drain region Region has a second conductivity type, and the second drain region has a first conductivity type; a second isolation region is provided in the drift region between the first isolation region and the drain region; a first doped region is located at the first In the substrate between the isolation region and the second isolation region, the first doped region has a first conductivity type; wherein the first doped region and the drift region form a first diode.

為讓本發明之上述目的、特徵及優點能更明顯易懂,下文特舉數個實施例,並配合所附圖式,作詳細說明如下。 In order to make the above-mentioned objects, features, and advantages of the present invention more comprehensible, several embodiments are described below in detail, in conjunction with the accompanying drawings, as follows.

100、200、300、400、500、600‧‧‧橫向擴散金屬氧化物半導體場效電晶體 100, 200, 300, 400, 500, 600‧‧‧ laterally diffused metal oxide semiconductor field effect transistors

102‧‧‧基板 102‧‧‧ substrate

104‧‧‧本體區 104‧‧‧Body area

106‧‧‧飄移區 106‧‧‧ Drifting Zone

106E‧‧‧邊緣 106E‧‧‧Edge

108‧‧‧源極區 108‧‧‧Source area

110‧‧‧第一汲極區 110‧‧‧first drain region

112‧‧‧第二汲極區 112‧‧‧Second Drain Region

114、114A、114B‧‧‧摻雜區 114, 114A, 114B ‧‧‧ doped regions

116‧‧‧基極區 116‧‧‧base region

118、118A、118B、118C‧‧‧隔離區 118, 118A, 118B, 118C

120‧‧‧閘極 120‧‧‧Gate

122‧‧‧層間介電層 122‧‧‧ Interlayer dielectric layer

124‧‧‧接點 124‧‧‧contact

126‧‧‧金屬 126‧‧‧ Metal

228‧‧‧井區 228‧‧‧well area

330、530‧‧‧頂摻雜區 330, 530‧‧‧Top doped regions

DA、DB‧‧‧距離 DA, DB‧‧‧ Distance

D、D1、D2‧‧‧二極體 D, D1, D2‧‧‧‧diodes

TH、TH1、TH2‧‧‧水平雙極性電晶體 TH, TH1, TH2‧‧‧Horizontal Bipolar Transistors

TV‧‧‧垂直雙極性電晶體 TV‧‧‧Vertical Bipolar Transistor

AA’、BB’‧‧‧線段 AA ’, BB’‧‧‧ line segments

以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 The embodiments of the present invention will be described in detail below with reference to the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale and are for illustration purposes only. In fact, it is possible to arbitrarily enlarge or reduce the size of the element to clearly show the characteristics of the embodiment of the present invention.

第1圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之上視圖。 FIG. 1 is a top view of a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第2A圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 2A is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第2B圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 2B is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第3A圖係根據另一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 3A is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to other embodiments.

第3B圖係根據另一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 3B is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to other embodiments.

第4A圖係根據又一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 4A is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to still other embodiments.

第4B圖係根據又一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 4B is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to still other embodiments.

第5A圖係根據又一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 5A is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to still other embodiments.

第5B圖係根據又一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 5B is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to still other embodiments.

第6A圖係根據再一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 6A is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to still other embodiments.

第6B圖係根據再一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 6B is a cross-sectional view of a laterally diffused metal oxide semiconductor field effect transistor according to still other embodiments.

第7圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之上視圖。 FIG. 7 is a top view of a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第8A圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 8A is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第8B圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 8B is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

以下公開許多不同的實施方法或是例子來實行本發明實施例之不同特徵,以下描述具體的元件及其排列的實施例以闡述本發明實施例。當然這些實施例僅用以例示,且不該以此限定本發明實施例的範圍。例如,在說明書中提到第一特徵形成於第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,亦即,第一特徵與第二特徵並非直接接觸。此外,在不同實施例中可能使用重複的標號或標示,這些重複僅為了簡單清楚地敘述本發明實施例,不代表所討論的不同實施例及/或結構之間有特定的關係。 Many different implementation methods or examples are disclosed below to implement the different features of the embodiments of the present invention. The following describes specific embodiments of the elements and their arrangements to illustrate the embodiments of the present invention. Of course, these embodiments are only for illustration, and the scope of the embodiments of the present invention should not be limited by this. For example, it is mentioned in the description that the first feature is formed on the second feature, which includes the embodiment in which the first feature and the second feature are in direct contact, and also includes the other between the first feature and the second feature. An embodiment of a feature, that is, the first feature and the second feature are not in direct contact. In addition, repeated reference numerals or signs may be used in different embodiments. These repetitions are only for simply and clearly describing the embodiments of the present invention, and do not represent a specific relationship between the different embodiments and / or structures discussed.

此外,其中可能用到與空間相關用詞,例如「在...下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。 In addition, space-related terms such as "below", "below", "lower", "above", "higher" and similar terms may be used. These space-related terms Words are used to facilitate the description of the relationship between one or more elements or features and other elements or features in the illustration. These spatially related terms include different positions of the device in use or operation, as well as in the drawings. The described orientation. When the device is turned to a different orientation (rotated 90 degrees or other orientation), the spatially related adjectives used in it will also be interpreted in terms of the orientation after turning.

在此,「約」、「大約」、「大抵」之用語通常表示在一給定值或範圍的20%之內,較佳是10%之內,且更佳是5%之內,或3%之內,或2%之內,或1%之內,或0.5%之內。應注意的是,說明書中所提供的數量為大約的數量,亦即在沒有特定 說明「約」、「大約」、「大抵」的情況下,仍可隱含「約」、「大約」、「大抵」之含義。 Here, the terms "about", "approximately", and "mostly" generally indicate within a given value or range within 20%, preferably within 10%, and more preferably within 5%, or 3 Within%, or within 2%, or within 1%, or within 0.5%. It should be noted that the quantity provided in the description is an approximate quantity, that is, In the case of "about", "approximately" and "probably", the meanings of "approximately", "approximately" and "probably" may still be implied.

本發明實施例提供一種橫向擴散金屬氧化物半導體(lateral diffused metal oxide semiconductor,LDMOS)場效電晶體,利用形成水平雙極性電晶體(bipolar junction transistor,BJT)、垂直雙極性電晶體、及二極體(diode),可釋放靜電放電(electrostatic discharge,ESD)電流,而避免損害閘極,同時亦不改變其直流電性效能(DC performance)。 An embodiment of the present invention provides a lateral diffused metal oxide semiconductor (LDMOS) field effect transistor, which is used to form a horizontal bipolar junction transistor (BJT), a vertical bipolar transistor, and a bipolar transistor. Diode, which can release electrostatic discharge (ESD) current without damaging the gate, and does not change its DC performance.

第1圖繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體100之上視圖,第2A及2B圖繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體100之剖面圖。第2A圖係第1圖中沿線段AA’之剖面圖,第2B圖係第1圖中沿線段BB’之剖面圖。 FIG. 1 illustrates a top view of a laterally diffused metal oxide semiconductor field effect transistor 100 according to some embodiments of the present invention, and FIGS. 2A and 2B illustrate a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments of the present invention. A cross-sectional view of the crystal 100. Figure 2A is a cross-sectional view along line AA 'in Figure 1 and Figure 2B is a cross-sectional view along line BB' in Figure 1.

如第1圖所示,橫向擴散金屬氧化物半導體場效電晶體100包括源極108、第一汲極區110、以及閘極120。在第l圖所示的實施例中,源極區108與第一汲極區110呈指狀交叉(interdigitated fingers)。橫向擴散金屬氧化物半導體場效電晶體100更包括摻雜區114與第二汲極區112,摻雜區114鄰近源極區108的尖部,而第二汲極區112位於第一汲極區110的凹部。摻雜區114與第二汲極區112有助於釋放靜電放電電流,而不損傷閘極120(將於後詳述)。 As shown in FIG. 1, the lateral diffusion metal oxide semiconductor field effect transistor 100 includes a source 108, a first drain region 110, and a gate 120. In the embodiment shown in FIG. 1, the source region 108 and the first drain region 110 interdigitate fingers. The laterally diffused metal oxide semiconductor field effect transistor 100 further includes a doped region 114 and a second drain region 112. The doped region 114 is adjacent to the tip of the source region 108, and the second drain region 112 is located on the first drain. The recess of the region 110. The doped region 114 and the second drain region 112 help to discharge the electrostatic discharge current without damaging the gate 120 (to be described in detail later).

根據一些實施例,如第2A及2B圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100包括一基板102。此基板102可為半導體基板,其可包括元素半導體,例如矽(Si)、鍺(Ge) 等;化合物半導體,例如氮化鎵(GaN)、碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb)等;合金半導體,例如矽鍺合金(SiGe)、磷砷鎵合金(GaAsP)、砷鋁銦合金(AlInAs)、砷鋁鎵合金(AlGaAs)、砷銦鎵合金(GaInAs)、磷銦鎵合金(GaInP)、磷砷銦鎵合金(GaInAsP)、或上述材料之組合。此外,基板102也可以是絕緣層上覆半導體(semiconductor on insulator)基板。在一些實施例中,基板102具有第一導電類型。 According to some embodiments, as shown in FIGS. 2A and 2B, the laterally diffused metal oxide semiconductor field effect transistor 100 includes a substrate 102. The substrate 102 may be a semiconductor substrate, which may include an element semiconductor such as silicon (Si), germanium (Ge) Etc .; compound semiconductors such as gallium nitride (GaN), silicon carbide (SiC), gallium arsenide (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), indium antimonide (InSb), etc .; alloy semiconductors, such as silicon germanium alloy (SiGe), gallium arsenide (GaAsP), aluminum arsenide (AlInAs), aluminum arsenic (AlGaAs), arsenic (GaInAs), indium phosphide Gallium alloy (GaInP), GaAsAs, or a combination of the above materials. In addition, the substrate 102 may be a semiconductor on insulator substrate. In some embodiments, the substrate 102 has a first conductivity type.

根據一些實施例,如第2A及2B圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100包括設置於基板102的上部的本體區104及飄移區106。在一些實施例中,本體區104、飄移區106透過圖案化罩幕對基板102進行離子佈植所形成。在一些實施例中,本體區104具有第一導電類型,而飄移區106具有與第一導電類型相反的第二導電類型。舉例來說,當第一導電類型為P型時,第二導電類型為N型。在其他實施例中,當第一導電類型為N型時,第二導電類型為P型。在一些實施例中,P型摻質可包括硼、鎵、鋁、銦、三氟化硼離子(BF3 +)、或前述之組合,N型摻質可包括磷、砷、氮、銻、或前述之組合。在一些實施例中,本體區104之摻雜濃度介於1e16/cm3至5e18/cm3之間,飄移區106之摻雜濃度介於1e15/cm3至5e17/cm3之間。在一些實施例中,如第1圖之上視圖所繪示的基板102為本體區104及飄移區106之間的基板。 According to some embodiments, as shown in FIGS. 2A and 2B, the laterally diffused metal oxide semiconductor field effect transistor 100 includes a body region 104 and a drift region 106 disposed on an upper portion of the substrate 102. In some embodiments, the body region 104 and the drift region 106 are formed by ion implanting the substrate 102 through a patterned mask. In some embodiments, the body region 104 has a first conductivity type, and the drift region 106 has a second conductivity type opposite to the first conductivity type. For example, when the first conductivity type is P-type, the second conductivity type is N-type. In other embodiments, when the first conductivity type is N-type, the second conductivity type is P-type. In some embodiments, the P-type dopant may include boron, gallium, aluminum, indium, boron trifluoride ion (BF 3 + ), or a combination thereof, and the N-type dopant may include phosphorus, arsenic, nitrogen, antimony, Or a combination of the foregoing. In some embodiments, the doping concentration of the body region 104 is between 1e16 / cm 3 and 5e18 / cm 3 , and the doping concentration of the drift region 106 is between 1e15 / cm 3 and 5e17 / cm 3 . In some embodiments, the substrate 102 shown in the top view of FIG. 1 is a substrate between the body region 104 and the drift region 106.

根據一些實施例,如第2A及2B圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括源極區108、第一汲 極區110、第二汲極區112、摻雜區114及基極區116。第一汲極區110及第二汲極區112相鄰設置於鄰近基板102上表面的飄移區106中,且第一汲極區110鄰接(adjoin)第二汲極區112。源極區108與基極區116設置於鄰近基板102上表面的本體區104中,且源極區108鄰接(adjoin)基極區116。摻雜區114設置於鄰近基板102上表面的飄移區106與本體區104之間。在一些實施例中,源極區108、第一汲極區110、第二汲極區112、摻雜區114及基極區116透過圖案化罩幕對基板102進行離子佈植所形成。在一些實施例中,基極區116具有第一導電類型,其摻雜濃度高於本體區104之第一導電類型摻雜濃度,第二汲極區112與摻雜區114亦具有第一導電類型,而源極區108及第一汲極區110均具有第二導電類型,其摻雜濃度均高於飄移區106之第二導電類型摻雜濃度。在一些實施例中,源極區108之摻雜濃度介於5e19/cm3至1e21/cm3之間,第一汲極區110之摻雜濃度介於5e19/cm3至1e21/cm3之間,第二汲極區112之摻雜濃度介於1e19/cm3至1e21/cm3之間,基極區116之摻雜濃度介於5e19/cm3至1e21/cm3之間,而摻雜區114之摻雜濃度介於5e19/cm3至1e21/cm3之間。 According to some embodiments, as shown in FIGS. 2A and 2B, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes a source region 108, a first drain region 110, a second drain region 112, and a doped region. 114 and the base region 116. The first drain region 110 and the second drain region 112 are adjacently disposed in the drift region 106 adjacent to the upper surface of the substrate 102, and the first drain region 110 adjoins the second drain region 112. The source region 108 and the base region 116 are disposed in the body region 104 adjacent to the upper surface of the substrate 102, and the source region 108 adjoins the base region 116. The doped region 114 is disposed between the drift region 106 and the body region 104 adjacent to the upper surface of the substrate 102. In some embodiments, the source region 108, the first drain region 110, the second drain region 112, the doped region 114, and the base region 116 are formed by ion implanting the substrate 102 through a patterned mask. In some embodiments, the base region 116 has a first conductivity type, and its doping concentration is higher than the first conductivity type doping concentration of the body region 104. The second drain region 112 and the doped region 114 also have a first conductivity. The source region 108 and the first drain region 110 both have a second conductivity type, and their doping concentrations are higher than the second conductivity type doping concentration of the drift region 106. In some embodiments, the doping concentration of the source region 108 is between 5e19 / cm 3 and 1e21 / cm 3 , and the doping concentration of the first drain region 110 is between 5e19 / cm 3 and 1e21 / cm 3 . Meanwhile, the doping concentration of the second drain region 112 is between 1e19 / cm 3 and 1e21 / cm 3 , and the doping concentration of the base region 116 is between 5e19 / cm 3 and 1e21 / cm 3 . The doping concentration of the impurity region 114 is between 5e19 / cm 3 and 1e21 / cm 3 .

由第1圖之橫向擴散金屬氧化物半導體場效電晶體100的上視圖來看,源極區108與第一汲極區110呈指狀交叉(interdigitated fingers),且摻雜區114鄰近源極區108的尖部,而第二汲極區112位於第一汲極區110的凹部。值得注意的是,第二汲極區112與摻雜區114僅設置於源極區108的尖部(例如第1圖中的線段BB’剖面處),而並未設置於源極區108尖部以外 的區域(例如第1圖中的線段AA’剖面處)。此外,如第2A及2B圖所繪示,飄移區106與本體區104之間在源極區108之尖部以外區域的距離DA小於飄移區106與本體區104之間在源極區108之尖部的距離DB。 From the top view of the laterally diffused metal oxide semiconductor field effect transistor 100 in FIG. 1, the source region 108 and the first drain region 110 interdigitated fingers, and the doped region 114 is adjacent to the source electrode. The tip of the region 108 and the second drain region 112 are located in the recess of the first drain region 110. It is worth noting that the second drain region 112 and the doped region 114 are only disposed at the tip of the source region 108 (for example, at the line BB ′ cross section in FIG. 1), and are not disposed at the tip of the source region 108. Outside Ministry (For example, at the line AA 'section in Figure 1). In addition, as shown in FIGS. 2A and 2B, the distance DA between the drift region 106 and the body region 104 outside the tip of the source region 108 is smaller than the distance between the drift region 106 and the body region 104 in the source region 108. Tip distance DB.

根據一些實施例,如第2A及2B圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括形成於基板102上的複數個隔離區118A及118B,其中隔離區118A位於本體區104與飄移區106之間,隔離區118B位於隔離區118A與第二汲極區112之間的飄移區106中。在一些實施例中,隔離區118A及118B可為場氧化物(field oxide)。在一些實施例中,隔離區118A及118B可為局部矽氧化層(local oxidation of silicon,LOCOS)。在另一些實施例中,隔離區118A及118B可為淺溝槽隔離(shallow trench isolation,STI)結構。值得注意的是,由於摻雜區114僅設置於源極區108的尖部,在源極區108尖部以外的區域(例如第1圖中的線段AA’剖面處)隔離區118A及118B連接而成為隔離區118。 According to some embodiments, as shown in FIGS. 2A and 2B, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes a plurality of isolation regions 118A and 118B formed on the substrate 102, wherein the isolation region 118A is located in the body region 104. Between the drift region 106 and the drift region 106, the isolation region 118B is located in the drift region 106 between the isolation region 118A and the second drain region 112. In some embodiments, the isolation regions 118A and 118B may be field oxides. In some embodiments, the isolation regions 118A and 118B may be a local oxidation of silicon (LOCOS). In other embodiments, the isolation regions 118A and 118B may be shallow trench isolation (STI) structures. It is worth noting that since the doped region 114 is only provided at the tip of the source region 108, the isolation regions 118A and 118B are connected in a region other than the tip of the source region 108 (for example, at the line AA 'section in FIG. 1). And become the isolation area 118.

根據一些實施例,如第2A圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括閘極120,位於本體區104及飄移區106上,且延伸覆蓋一部分隔離區118。在一些實施例中,如第2B圖所繪示,閘極120延伸覆蓋一部分隔離區118A。在一些實施例中,閘極120可包括閘極介電層,及位於閘極介電層上方的閘極電極層(未繪示)。閘極介電層可包括氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、高介電常數(high-k)(亦即介電常數大於3.9)之介電 材料例如HfO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3、BaTiO3、BaZrO、HfZrO、HfLaO、HfTaO、HfSiO、HfSiON、HfTiO、LaSiO、AlSiO、(Ba、Sr)TiO3、Al2O3、或上述之組合。閘極介電層可使用合適的氧化製程(例如乾氧化製程或濕氧化製程)、沉積製程(例如化學氣相沉積(chemical vapor deposition)製程或原子層沉積(atomic layer deposition,ALD)製程)、其他合適的製程、或上述之組合形成。在一些實施例中,閘極介電層可使用熱氧化製程,在含氧或含氮(例如含NO或N2O)的環境下熱成長,在形成閘極電極層前形成閘極介電層。 According to some embodiments, as shown in FIG. 2A, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes a gate electrode 120 located on the body region 104 and the drift region 106 and extending to cover a part of the isolation region 118. In some embodiments, as shown in FIG. 2B, the gate electrode 120 extends to cover a part of the isolation region 118A. In some embodiments, the gate 120 may include a gate dielectric layer, and a gate electrode layer (not shown) located above the gate dielectric layer. The gate dielectric layer may include a dielectric of silicon oxide, silicon nitride, silicon oxynitride, high-k (i.e., a dielectric constant greater than 3.9). Electrical materials such as HfO 2 , LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 , BaTiO 3 , BaZrO, HfZrO, HfLaO, HfTaO, HfSiO, HfSiON, HfTiO, LaSiO, AlSiO, (Ba , Sr) TiO 3 , Al 2 O 3 , or a combination thereof. The gate dielectric layer may use a suitable oxidation process (such as a dry oxidation process or a wet oxidation process), a deposition process (such as a chemical vapor deposition process or an atomic layer deposition (ALD) process), Other suitable processes, or a combination thereof. In some embodiments, the gate dielectric layer may use a thermal oxidation process to thermally grow in an environment containing oxygen or nitrogen (eg, containing NO or N 2 O) to form a gate dielectric before forming the gate electrode layer. Floor.

在一些實施例中,在閘極介電層上形成閘極電極層。閘極電極層可包括多晶矽、金屬(例如鎢、鈦、鋁、銅、鉬、鎳、鉑、其相似物、或以上之組合)、金屬合金、金屬氮化物(例如氮化鎢、氮化鉬、氮化鈦、氮化鉭、其相似物、或以上之組合)、金屬矽化物(例如矽化鎢、矽化鈦、矽化鈷、矽化鎳、矽化鉑、矽化鉺、其相似物、或以上之組合)、金屬氧化物(氧化釕、氧化銦錫、其相似物、或以上之組合)、其他適用的材料、或上述之組合。閘極電極層可使用化學氣相沉積製程(chemical vapor deposition,CVD)(例如低壓氣相沉積製程(low pressure chemical vapor deposition,LPCVD)或電漿輔助化學氣相沉積製程(plasma enhanced chemical vapor deposition,PECVD))、物理氣相沉積製程(physical vapor deposition,PVD)(例如電阻加熱蒸鍍法、電子束蒸鍍法、或濺鍍法)、電鍍法、原子層沉積製程(atomic layer deposition,ALD)、其他合適的製程、或上述之組合於基板102上形成電極材料,再以微 影與蝕刻製程將之圖案化形成閘極電極。 In some embodiments, a gate electrode layer is formed on the gate dielectric layer. The gate electrode layer may include polycrystalline silicon, a metal (such as tungsten, titanium, aluminum, copper, molybdenum, nickel, platinum, or the like, or a combination thereof), a metal alloy, and a metal nitride (such as tungsten nitride, molybdenum nitride). , Titanium nitride, tantalum nitride, analogs thereof, or a combination thereof), metal silicides (such as tungsten silicide, titanium silicide, cobalt silicide, nickel silicide, platinum silicide, hafnium silicide, analogs thereof, or a combination thereof) ), Metal oxide (ruthenium oxide, indium tin oxide, analogues thereof, or a combination thereof), other suitable materials, or a combination thereof. The gate electrode layer may use a chemical vapor deposition (CVD) process (such as a low pressure chemical vapor deposition (LPCVD) process or a plasma enhanced chemical vapor deposition process, PECVD), physical vapor deposition (PVD) (such as resistance heating evaporation, electron beam evaporation, or sputtering), electroplating, atomic layer deposition (ALD) , Other suitable processes, or a combination of the above to form an electrode material on the substrate 102, and then It is patterned by a shadow and etching process to form a gate electrode.

根據一些實施例,如第1圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括覆蓋於基板102上的層間介電層(interlayer dielectric,ILD)122。層間介電層122可包括一或多種單層或多層介電材料,例如氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(tetraethoxysilane,TEOS)、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃borophosphosilicate glass,BPSG)、低介電常數介電材料、及/或其他適用的介電材料。低介電常數介電材料可包括但不限於氟化石英玻璃(fluorinated silica glass,FSG)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)、摻雜碳的氧化矽、非晶質氟化碳(fluorinated carbon)、聚對二甲苯(parylene)、苯並環丁烯(bis-benzocyclobutenes,BCB)、或聚醯亞胺(polyimide)。層間介電層122可使用化學氣相沉積(chemical vapor deposition,CVD)(例如高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition,HDPCVD)、大氣壓化學氣相沉積(atmospheric pressure chemical vapor deposition,APCVD)、低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)、或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD))、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、旋轉塗佈(spin-on coating)、其他適合技術、或上述之組合形成。 According to some embodiments, as shown in FIG. 1, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes an interlayer dielectric (ILD) 122 covering the substrate 102. The interlayer dielectric layer 122 may include one or more single-layer or multi-layer dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, tetraethoxysilane (TEOS), phosphosilicate glass (PSG) Borophosphosilicate glass (BPSG), low dielectric constant dielectric materials, and / or other applicable dielectric materials. Low dielectric constant dielectric materials may include, but are not limited to, fluorinated silica glass (FSG), hydrogen silsesquioxane (HSQ), carbon-doped silicon oxide, amorphous carbon fluoride (fluorinated carbon), parylene, bis-benzocyclobutenes (BCB), or polyimide. The interlayer dielectric layer 122 may use chemical vapor deposition (CVD) (such as high-density plasma chemical vapor deposition (HDPCVD), atmospheric pressure chemical vapor deposition). (APCVD), low-pressure chemical vapor deposition (LPCVD), or plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD) ), Atomic layer deposition (ALD), spin-on coating, other suitable technologies, or a combination thereof.

根據一些實施例,如第1圖所繪示,橫向擴散金屬 氧化物半導體場效電晶體100更包括內連結構。內連結構包括設置於層間介電層122上的金屬126、及穿過層間介電層122的接點124。在一些實施例中,金屬126透過接點124與源極區108、第一汲極區110、第二汲極區112、摻雜區114及基極區116電性連接,分別給予源極區108、第一汲極區110、第二汲極區112、摻雜區114及基極區116合適的操作電壓。在一些實施例中,摻雜區114透過內連結構接地(grounded)。 According to some embodiments, as shown in FIG. 1, the lateral diffusion metal The oxide semiconductor field effect transistor 100 further includes an interconnect structure. The interconnect structure includes a metal 126 disposed on the interlayer dielectric layer 122 and a contact 124 passing through the interlayer dielectric layer 122. In some embodiments, the metal 126 is electrically connected to the source region 108, the first drain region 110, the second drain region 112, the doped region 114, and the base region 116 through the contact 124, and the source region is respectively provided. 108. The first drain region 110, the second drain region 112, the doped region 114, and the base region 116 have suitable operating voltages. In some embodiments, the doped region 114 is grounded through the interconnect structure.

在一些實施例中,可使用微影製程(例如覆蓋光阻、軟烤(soft baking)、曝光、曝光後烘烤、顯影、其他合適的技術、或上述之組合)及蝕刻製程(例如濕蝕刻製程、乾蝕刻製程、其他合適的技術、或上述之組合)、其他合適的技術、或上述之組合在層間介電層122中形成開口(圖未示)。接著,在開口中填充導電材料,以形成接點124。在一些實施例中,接點124之導電材料包括金屬材料(例如鎢、鋁、或銅)、金屬合金、多晶矽、其他合適的材料、或上述之組合。接點124可使用物理氣相沉積製程(physical vapor deposition,PVD)(例如蒸鍍法或濺鍍法)、電鍍法、原子層沉積製程(atomic layer deposition,ALD)、其他合適的製程、或上述之組合沉積導電材料,並選擇性地進行化學機械研磨(chemical mechanical polishing,CMP)或回蝕以去除多餘的導電材料形成接點124。 In some embodiments, a lithography process (e.g., covering photoresist, soft baking, exposure, post-exposure baking, development, other suitable techniques, or a combination thereof) and an etching process (e.g., wet etching) may be used Processes, dry etching processes, other suitable technologies, or combinations thereof), other suitable technologies, or combinations thereof to form openings in the interlayer dielectric layer 122 (not shown). Next, a conductive material is filled in the opening to form a contact 124. In some embodiments, the conductive material of the contact 124 includes a metal material (such as tungsten, aluminum, or copper), a metal alloy, polycrystalline silicon, other suitable materials, or a combination thereof. The contact 124 may use a physical vapor deposition (PVD) process (eg, a vapor deposition method or a sputtering method), an electroplating method, an atomic layer deposition (ALD) process, other suitable processes, or the foregoing. The conductive material is deposited in combination, and chemical mechanical polishing (CMP) or etch-back is selectively performed to remove excess conductive material to form the contact 124.

在一些實施例中,填充接點124的導電材料之前,可於開口的側壁及底部形成阻障層(barrier layer)(圖未示),以防止接點124的導電材料擴散至層間介電層122。阻障層的材料可為氮化鈦(TiN)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)、鎢(W)、氮 化鎢(WN)、其他合適的材料、或上述之組合。阻障層可使用物理氣相沉積製程(例如蒸鍍法或濺鍍法)、原子層沉積製程、電鍍法、其他合適的製程、或上述之組合沉積阻障層材料。 In some embodiments, before filling the conductive material of the contact 124, a barrier layer (not shown) may be formed on the sidewall and bottom of the opening to prevent the conductive material of the contact 124 from diffusing into the interlayer dielectric layer. 122. The material of the barrier layer can be titanium nitride (TiN), titanium (Ti), tantalum (Ta), tantalum nitride (TaN), tungsten (W), nitrogen Tungsten (WN), other suitable materials, or a combination thereof. The barrier layer can be deposited by a physical vapor deposition process (such as an evaporation method or a sputtering method), an atomic layer deposition process, an electroplating method, other suitable processes, or a combination thereof.

在一些實施例中,金屬126形成於層間介電層122之上。在一些實施例中,金屬126可包括Cu、W、Ag、Ag、Sn、Ni、Co、Cr、Ti、Pb、Au、Bi、Sb、Zn、Zr、Mg、In、Te、Ga、其他合適的金屬材料、上述的合金、或上述之組合。在一些實施例中,金屬126可包括Ti/TiN/AlCu/TiN的堆疊結構。在一些實施例中,在層間介電層122上以物理氣相沉積製程(例如蒸鍍法或濺鍍法)、電鍍法、原子層沉積製程、其他適合的製程、或上述之組合形成毯覆(blanket)金屬層(未繪示)。接著,以圖案化製程圖案化毯覆金屬層以形成金屬126。在一些實施例中,圖案化製程包括微影製程(例如覆蓋光阻、軟烤(soft baking)、曝光、曝光後烘烤、顯影、其他合適的技術、或上述之組合)、蝕刻製程(例如濕蝕刻製程、乾蝕刻製程、其他合適的技術、或上述之組合)、其他合適的技術、或上述之組合。 In some embodiments, the metal 126 is formed over the interlayer dielectric layer 122. In some embodiments, the metal 126 may include Cu, W, Ag, Ag, Sn, Ni, Co, Cr, Ti, Pb, Au, Bi, Sb, Zn, Zr, Mg, In, Te, Ga, other suitable Metal material, the alloy described above, or a combination thereof. In some embodiments, the metal 126 may include a stacked structure of Ti / TiN / AlCu / TiN. In some embodiments, a blanket is formed on the interlayer dielectric layer 122 by a physical vapor deposition process (such as a vapor deposition method or a sputtering method), an electroplating method, an atomic layer deposition process, other suitable processes, or a combination thereof. (blanket) metal layer (not shown). Then, the blanket metal layer is patterned by a patterning process to form the metal 126. In some embodiments, the patterning process includes a lithography process (such as covering photoresist, soft baking, exposure, post-exposure baking, development, other suitable techniques, or a combination thereof), an etching process (such as Wet etching process, dry etching process, other suitable technologies, or a combination thereof), other suitable technologies, or a combination thereof.

如第1及2A至2B圖所示的實施例中,在源極區108的尖部設置摻雜區114與第二汲極區112,可於半導體基板102中分別形成以第二汲極區112、飄移區106、及摻雜區114所構成的水平雙極性電晶體TH、以第二汲極區112、飄移區106、及基板102所構成的垂直雙極性電晶體TV、及以摻雜區114及飄移區106所構成之二極體D。 In the embodiments shown in FIGS. 1 and 2A to 2B, a doped region 114 and a second drain region 112 are provided at the tip of the source region 108, and a second drain region can be formed in the semiconductor substrate 102, respectively. 112. A horizontal bipolar transistor TH composed of the drift region 106 and the doped region 114, a vertical bipolar transistor TV composed of the second drain region 112, the drift region 106, and the substrate 102, and doped with Diode D formed by region 114 and drift region 106.

在一些實施例中,摻雜區114接地。因此,當靜電放電發生時,靜電放電電流可能經由水平雙極性電晶體TH、垂 直雙極性電晶體TV、及二極體D釋放,而不會流向閘極120,避免造成閘極120的損害。此外,在一些實施例中,如第2B圖所示,在源極區108的尖部,飄移區106之邊緣106E與本體區的距離DB較在源極區108尖部以外區域的距離DA遠,如此一來,可降低電場大小,同時改善崩潰電壓、高溫逆偏壓測試(high temperature reverse bias,HTRB)、及靜電放電的效能。 In some embodiments, the doped region 114 is grounded. Therefore, when an electrostatic discharge occurs, the electrostatic discharge current may pass through the horizontal bipolar transistor TH, vertical The straight bipolar transistor TV and the diode D are released without flowing to the gate 120 to avoid causing damage to the gate 120. In addition, in some embodiments, as shown in FIG. 2B, at the tip of the source region 108, the distance DB between the edge 106E of the drift region 106 and the body region is greater than the distance DA from the region outside the tip of the source region 108. In this way, the magnitude of the electric field can be reduced, and the breakdown voltage, high temperature reverse bias (HTRB), and the performance of electrostatic discharge can be improved.

在一些實施例中,為避免源極區108尖部電流密度過大,在源極區108尖部(如第2B圖),源極區108與第一汲極區110之間具有較大的空間,以降低電場。如此一來,源極區108尖部具有足夠的空間可設置摻雜區114及第二汲極區112,以釋放靜電放電電流。反之,在源極區108尖部以外的區域(如第2A圖),由於電場較小,源極區108與第一汲極區110之間空間較小,因此未設置摻雜區114及第二汲極區112。 In some embodiments, to avoid excessive current density at the tip of the source region 108, there is a large space between the source region 108 and the first drain region 110 at the tip of the source region 108 (as shown in FIG. 2B). To reduce the electric field. In this way, the tip portion of the source region 108 has enough space to set the doped region 114 and the second drain region 112 to release the electrostatic discharge current. Conversely, in the area other than the tip of the source region 108 (as shown in FIG. 2A), because the electric field is small, the space between the source region 108 and the first drain region 110 is small, so the doped region 114 and the first region are not provided. Second drain region 112.

應注意的是,第1圖所繪示的橫向擴散金屬氧化物半導體場效電晶體100僅為一範例,但本發明實施例並不以此為限。在一些實施例中,源極區108依設計或產品需求,可為其他合適的形狀。藉由調整橫向擴散金屬氧化物半導體場效電晶體形狀中直線部分與曲線部分的比例,可調整整體的電場分布,更進一步調整元件的崩潰電壓。 It should be noted that the laterally diffused metal oxide semiconductor field effect transistor 100 shown in FIG. 1 is only an example, but the embodiment of the present invention is not limited thereto. In some embodiments, the source region 108 may have other suitable shapes according to design or product requirements. By adjusting the ratio of the straight portion to the curved portion in the shape of the laterally diffused metal oxide semiconductor field effect transistor, the overall electric field distribution can be adjusted, and the breakdown voltage of the device can be further adjusted.

第3A及3B圖係根據一些實施例繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體200之剖面圖。第3A圖係第1圖中沿線段AA’之剖面圖,第3B圖係第1圖中沿線段BB’之剖面圖。其中與前述實施例相同或相似的製程或元件將沿用相同的元件符號,其詳細內容將不再贅述。與前述實施 例不同之處在於,在源極區108尖部設置有井區228。井區228位於飄移區106中,且包圍第一汲極區110及第二汲極區112。在一些實施例中,可於形成第一汲極區110及第二汲極區112之前,透過圖案化罩幕對基板102進行離子佈植形成井區228。在一些實施例中,井區228具有第二導電類型,其摻雜濃度高於飄移區106之第二導電類型摻雜濃度。在一些實施例中,井區228之摻雜濃度介於1e17/cm3至5e18/cm3之間。 3A and 3B are cross-sectional views illustrating a laterally diffused metal oxide semiconductor field effect transistor 200 according to some embodiments of the present invention according to some embodiments. FIG. 3A is a cross-sectional view along line AA ′ in FIG. 1, and FIG. 3B is a cross-sectional view along line BB ′ in FIG. 1. The processes or components that are the same as or similar to those of the foregoing embodiments will use the same component symbols, and the detailed content will not be described again. The difference from the foregoing embodiment is that a well region 228 is provided at the tip of the source region 108. The well region 228 is located in the drift region 106 and surrounds the first drain region 110 and the second drain region 112. In some embodiments, before the first drain region 110 and the second drain region 112 are formed, the substrate 102 may be ion-implanted through a patterned mask to form a well region 228. In some embodiments, the well region 228 has a second conductivity type, and its doping concentration is higher than the second conductivity type doping concentration of the drift region 106. In some embodiments, the doping concentration of the well region 228 is between 1e17 / cm 3 and 5e18 / cm 3 .

由於井區228僅設置於源極區108尖部,而未設置於源極區108尖部以外的區域(如第3A圖所示),因此,在一些實施例中,橫向擴散金屬氧化物半導體場效電晶體200沿線段AA’之剖面圖(第3A圖)與第2A圖之實施例橫向擴散金屬氧化物半導體場效電晶體100沿線段AA’之剖面圖相同。 Since the well region 228 is disposed only at the tip of the source region 108 and is not disposed at a region other than the tip of the source region 108 (as shown in FIG. 3A), in some embodiments, the laterally diffused metal oxide semiconductor The cross-sectional view of the field effect transistor 200 along the line segment AA ′ (FIG. 3A) is the same as the cross-sectional view of the laterally diffused metal oxide semiconductor field effect transistor 100 along the line segment AA ′ in the embodiment of FIG. 2A.

在第3A及3B圖所示的實施例中,由於井區228的摻雜濃度較高,可更進一步降低阻值,使得靜電放電發生時,更傾向藉由經由水平雙極性電晶體TH、垂直雙極性電晶體TV、及二極體D釋放靜電放電電流,而不會流向閘極120,避免造成閘極120的損害。 In the embodiment shown in FIGS. 3A and 3B, the higher doping concentration of the well region 228 can further reduce the resistance value, so that when an electrostatic discharge occurs, it is more inclined to pass the horizontal bipolar transistor TH, vertical The bipolar transistor TV and the diode D release an electrostatic discharge current without flowing to the gate electrode 120 to avoid causing damage to the gate electrode 120.

第4A及4B圖係根據一些實施例繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體300之剖面圖。第4A圖係第1圖中沿線段AA’之剖面圖,第4B圖係第1圖中沿線段BB’之剖面圖。其中與前述實施例相同或相似的製程或元件將沿用相同的元件符號,其詳細內容將不再贅述。與前述實施例不同之處在於,如第4B圖所示,在源極區108尖部的隔離區118B下方的基板102中設置頂摻雜區(top doping region)330,而 如第4A圖所示,在源極區108尖部以外的區域,隔離區118下方的基板102中亦設置頂摻雜區330。在一些實施例中,可於形成隔離區118A、118B及118之前,透過圖案化罩幕對基板102進行離子佈植形成頂摻雜區330。在一些實施例中,頂摻雜區330具有第一導電類型。在一些實施例中,頂摻雜區330之摻雜濃度介於1e16/cm3至5e18/cm3之間。在一些實施例中,頂摻雜區330的面積小於隔離區118的面積。在一些實施例中,頂摻雜區330未鄰接第一汲極區110、第二汲極區112、及本體區104,而是與第一汲極區110、第二汲極區112、及本體區104相隔一段距離。在一些實施例中,部分或全部的頂摻雜區330位於飄移區106中。在一些實施例中,頂摻雜區330的摻雜深度及摻雜濃度為均勻分布。頂摻雜區330可降低表面電場,進而改善橫向擴散金屬氧化物半導體場效電晶體300之崩潰電壓及導通電阻(on-resistance,Ron)。在一些實施例中,浮接(floating)頂摻雜區330,因此設置頂摻雜區330並不直接影響靜電放電電流。 4A and 4B are cross-sectional views illustrating a laterally diffused metal oxide semiconductor field effect transistor 300 according to some embodiments of the present invention according to some embodiments. FIG. 4A is a cross-sectional view along line AA ′ in FIG. 1, and FIG. 4B is a cross-sectional view along line BB ′ in FIG. 1. The processes or components that are the same as or similar to those of the foregoing embodiments will use the same component symbols, and the detailed content will not be described again. The difference from the previous embodiment is that, as shown in FIG. 4B, a top doping region 330 is provided in the substrate 102 below the isolation region 118B at the tip of the source region 108, and as shown in FIG. 4A It is shown that, in a region other than the tip of the source region 108, a top doped region 330 is also provided in the substrate 102 below the isolation region 118. In some embodiments, before forming the isolation regions 118A, 118B, and 118, the substrate 102 may be ion-implanted through the patterned mask to form the top doped region 330. In some embodiments, the top doped region 330 has a first conductivity type. In some embodiments, the doping concentration of the top doped region 330 is between 1e16 / cm 3 and 5e18 / cm 3 . In some embodiments, the area of the top doped region 330 is smaller than the area of the isolation region 118. In some embodiments, the top doped region 330 is not adjacent to the first drain region 110, the second drain region 112, and the body region 104, but is in contact with the first drain region 110, the second drain region 112, and The body regions 104 are separated by a distance. In some embodiments, some or all of the top doped region 330 is located in the drift region 106. In some embodiments, the doping depth and doping concentration of the top doped region 330 are uniformly distributed. The top doped region 330 can reduce the surface electric field, thereby improving the breakdown voltage and on-resistance (Ron) of the laterally diffused metal oxide semiconductor field effect transistor 300. In some embodiments, the top doped region 330 is floated, and therefore, setting the top doped region 330 does not directly affect the electrostatic discharge current.

在第4A及4B圖所示的實施例中,設置頂摻雜區330,可提供均勻電場,提高崩潰電壓並降低導通電阻,同時在靜電放電發生時,藉由經由水平雙極性電晶體TH、垂直雙極性電晶體TV、及二極體D釋放靜電放電電流,而不會流向閘極120,避免造成閘極120的損害。 In the embodiment shown in FIGS. 4A and 4B, the top doped region 330 is provided, which can provide a uniform electric field, increase the breakdown voltage and reduce the on-resistance. At the same time, when an electrostatic discharge occurs, by passing the horizontal bipolar transistor TH, The vertical bipolar transistor TV and the diode D release an electrostatic discharge current without flowing to the gate 120 to avoid causing damage to the gate 120.

第5A及5B圖係根據一些實施例繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體400之剖面圖。第5A圖係第1圖中沿線段AA’之剖面圖,第5B圖係第1圖中沿線段BB’之剖面圖。其中與前述實施例相同或相似的製程或元件 將沿用相同的元件符號,其詳細內容將不再贅述。與前述實施例不同之處在於,如第5B圖所示,橫向擴散金屬氧化物半導體場效電晶體400於源極區108尖部同時設置前述實施例中的頂摻雜區330及井區228,而如第5A圖所示,在源極區108之尖部以外的區域,隔離區118下方的基板102中亦設置頂摻雜區330。在一些實施例中,頂摻雜區330的摻雜深度及摻雜濃度為均勻分布。 5A and 5B are cross-sectional views illustrating a laterally diffused metal oxide semiconductor field effect transistor 400 according to some embodiments of the present invention according to some embodiments. Fig. 5A is a cross-sectional view along line AA 'in Fig. 1, and Fig. 5B is a cross-sectional view along line BB' in Fig. 1. Wherein the processes or components are the same as or similar to those of the previous embodiments The same component symbols will be used, and the details will not be repeated. The difference from the previous embodiment is that, as shown in FIG. 5B, the laterally diffused metal oxide semiconductor field effect transistor 400 is provided with the top doped region 330 and the well region 228 in the foregoing embodiment at the tip of the source region 108 at the same time. As shown in FIG. 5A, in a region other than the tip of the source region 108, a top doped region 330 is also provided in the substrate 102 below the isolation region 118. In some embodiments, the doping depth and doping concentration of the top doped region 330 are uniformly distributed.

在第5A及5B圖所示的實施例中,由於同時設置頂摻雜區330及井區228,可提供均勻電場,提高崩潰電壓,降低導通電阻,並可更進一步降低阻值,使得靜電放電發生時,更傾向藉由經由水平雙極性電晶體TH、垂直雙極性電晶體TV、及二極體D釋放靜電放電電流,而不會流向閘極120,避免造成閘極120的損害。 In the embodiment shown in FIGS. 5A and 5B, the top doped region 330 and the well region 228 are provided at the same time, which can provide a uniform electric field, increase the breakdown voltage, reduce the on-resistance, and further reduce the resistance value, so that the electrostatic discharge When it occurs, it is more inclined to release the electrostatic discharge current through the horizontal bipolar transistor TH, the vertical bipolar transistor TV, and the diode D without flowing to the gate 120 to avoid causing damage to the gate 120.

第6A及6B圖係根據一些實施例繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體500之剖面圖。第6A圖係第1圖中沿線段AA’之剖面圖,第6B圖係第1圖中沿線段BB’之剖面圖。其中與前述實施例相同或相似的製程或元件將沿用相同的元件符號,其詳細內容將不再贅述。與前述實施例不同之處在於,頂摻雜區(top doping region)530的摻雜深度不論在源極區108尖部或尖部以外的區域均非均勻分布,而是由閘極120至第一汲極區110的方向呈線性遞減。在一些實施例中,頂摻雜區(top doping region)530的摻雜濃度亦由閘極120至第一汲極區110的方向呈線性遞減。 6A and 6B are cross-sectional views illustrating a laterally diffused metal oxide semiconductor field effect transistor 500 according to some embodiments of the present invention according to some embodiments. Fig. 6A is a cross-sectional view along line AA 'in Fig. 1, and Fig. 6B is a cross-sectional view along line BB' in Fig. 1. The processes or components that are the same as or similar to those of the foregoing embodiments will use the same component symbols, and the detailed content will not be described again. The difference from the previous embodiment is that the doping depth of the top doping region 530 is non-uniformly distributed regardless of the tip region of the source region 108 or the region other than the tip region. The direction of a drain region 110 decreases linearly. In some embodiments, the doping concentration of the top doping region 530 also decreases linearly from the direction of the gate 120 to the first drain region 110.

在一些實施例中,可於形成隔離區118A、118B及 118前,透過圖案化罩幕對基板102進行離子佈植形成頂摻雜區530。在一些實施例中,圖案化罩幕在頂摻雜區530預定區形成非等寬度及非等間距的光阻圖案(圖未示),其中靠近閘極120處光阻圖案彼此相距較遠,無光阻區寬度比較寬,而靠近第一汲極區110處光阻圖案彼此相距較近,無光阻區寬度比較窄。如此一來,進行離子佈植時,靠近閘極120處佈植的摻質較多且較深,而靠近第一汲極區110處佈植的摻質較少且較淺。經過退火製程之後,形成如第6A及6B圖中所繪示之頂摻雜區530的輪廓。在一些實施例中,頂摻雜區530的摻雜深度與摻雜濃度由閘極120至第一汲極區110的方向呈線性遞減。如此一來,可更進一步改善橫向擴散金屬氧化物半導體場效電晶體500的崩潰電壓及導通電阻(on-resistance,Ron)。 In some embodiments, the isolation regions 118A, 118B and Before 118, the substrate 102 was ion-implanted through a patterned mask to form a top doped region 530. In some embodiments, the patterned mask forms non-equal-width and non-equidistant photoresist patterns (not shown) in a predetermined region of the top doped region 530. The photoresist patterns near the gate 120 are far away from each other. The width of the non-resistance region is relatively wide, and the photoresist patterns near the first drain region 110 are closer to each other, and the width of the non-resistance region is relatively narrow. In this way, when ion implantation is performed, the dopants implanted near the gate 120 are more and deeper, and the implants closer to the first drain region 110 are less and shallower. After the annealing process, the outline of the top doped region 530 is formed as shown in FIGS. 6A and 6B. In some embodiments, the doping depth and doping concentration of the top doped region 530 decrease linearly from the direction of the gate 120 to the first drain region 110. In this way, the collapse voltage and on-resistance (Ron) of the laterally diffused metal oxide semiconductor field effect transistor 500 can be further improved.

在第6A及6B圖所示的實施例中,由於頂摻雜區530的摻雜深度與摻雜濃度呈線性遞減,可進一步改善崩潰電壓及導通電阻,同時在靜電放電發生時,藉由經由水平雙極性電晶體TH、垂直雙極性電晶體TV、及二極體D釋放靜電放電電流,而不會流向閘極120,避免造成閘極120的損害。 In the embodiment shown in FIGS. 6A and 6B, since the doping depth and doping concentration of the top doped region 530 decrease linearly, the breakdown voltage and on-resistance can be further improved. At the same time, when an electrostatic discharge occurs, The horizontal bipolar transistor TH, the vertical bipolar transistor TV, and the diode D release an electrostatic discharge current without flowing to the gate 120 to avoid causing damage to the gate 120.

第7圖繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體600之上視圖,第8A及8B圖繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體600之剖面圖。第8A圖係第7圖中沿線段AA’之剖面圖,第8B圖係第7圖中沿線段BB’之剖面圖。其中與前述實施例相同或相似的製程或元件將沿用相同的元件符號,其詳細內容將不再贅述。與前述實施例不同之處在於,在源極區108的尖部設置複數個 摻雜區114A及114B,其間以隔離區118C分隔。 FIG. 7 illustrates a top view of a laterally diffused metal oxide semiconductor field effect transistor 600 according to some embodiments of the present invention, and FIGS. 8A and 8B illustrate a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments of the present invention Sectional view of crystal 600. Fig. 8A is a sectional view taken along line AA 'in Fig. 7, and Fig. 8B is a sectional view taken along line BB' in Fig. 7. The processes or components that are the same as or similar to those of the foregoing embodiments will use the same component symbols, and the detailed content will not be described again. The difference from the previous embodiment is that a plurality of points are provided at the tip of the source region 108 The doped regions 114A and 114B are separated by an isolation region 118C.

由於摻雜區114A及114B僅設置於源極區108的尖部,而未設置於源極區108尖部以外的區域(如第8A圖所示),因此,在一些實施例中,橫向擴散金屬氧化物半導體場效電晶體600沿線段AA’之剖面圖(第8A圖)與第2A圖之實施例橫向擴散金屬氧化物半導體場效電晶體100沿線段AA’之剖面圖相同。 Since the doped regions 114A and 114B are disposed only at the tip of the source region 108 and are not disposed at a region other than the tip of the source region 108 (as shown in FIG. 8A), in some embodiments, lateral diffusion The cross-sectional view (FIG. 8A) of the metal oxide semiconductor field effect transistor 600 along the line segment AA ′ is the same as the cross-sectional view of the lateral diffusion metal oxide semiconductor field effect transistor 100 along the line segment AA ′ in the embodiment of FIG. 2A.

在第7及8A、8B圖所示的實施例中,由於設置複數個摻雜區114A及114B,可於半導體基板102中分別形成以第二汲極區112、飄移區106、及摻雜區114B所構成的水平雙極性電晶體TH1、以第二汲極區112、飄移區106、及摻雜區114A所構成的水平雙極性電晶體TH2、以第二汲極區112、飄移區106、及基板102所構成的垂直雙極性電晶體TV、以摻雜區114A及飄移區106所構成之二極體D1、及以摻雜區114B及飄移區106所構成之二極體D2。 In the embodiments shown in FIGS. 7, 8A, and 8B, since a plurality of doped regions 114A and 114B are provided, a second drain region 112, a drift region 106, and a doped region can be formed in the semiconductor substrate 102, respectively. Horizontal bipolar transistor TH1 composed of 114B, horizontal bipolar transistor TH2 composed of second drain region 112, drift region 106, and doped region 114A, secondary drain region 112, drift region 106, A vertical bipolar transistor TV composed of the substrate 102 and the substrate 102, a diode D1 composed of the doped region 114A and the drift region 106, and a diode D2 composed of the doped region 114B and the drift region 106.

在一些實施例中,摻雜區114A與114B接地。因此,當靜電放電發生時,靜電放電電流可能經由水平雙極性電晶體TH1及TH2、垂直雙極性電晶體TV、及二極體D1及D2釋放,複數個水平雙極性電晶體及二極體將使靜電放電電流更不易流向閘極120,避免造成閘極120的損害。 In some embodiments, the doped regions 114A and 114B are grounded. Therefore, when an electrostatic discharge occurs, the electrostatic discharge current may be released through the horizontal bipolar transistors TH1 and TH2, the vertical bipolar transistor TV, and the diodes D1 and D2. A plurality of horizontal bipolar transistors and diodes will This makes it easier for the electrostatic discharge current to flow to the gate electrode 120 to prevent damage to the gate electrode 120.

值得注意的是,雖然第7及8A、8B圖繪示出兩個摻雜區114A及114B,但本發明並不以此為限,視產品需求,橫向擴散金屬氧化物半導體場效電晶體可具有兩個以上之摻雜區,其間以隔離區分隔。 It is worth noting that although Figures 7 and 8A and 8B show two doped regions 114A and 114B, the present invention is not limited to this. Depending on product requirements, a laterally diffused metal oxide semiconductor field effect transistor may be used. There are more than two doped regions separated by isolation regions.

綜上所述,本發明實施例提供一種橫向擴散金屬氧化物半導體(lateral diffused metal oxide semiconductor,LDMOS)場效電晶體,利用在源極區尖部形成摻雜區以及第二汲極區,在元件內部形成水平雙極性電晶體、垂直雙極性電晶體、及二極體,以提供釋放靜電放電電流的路徑,而使靜電放電電流不流經閘極而損傷閘極,並藉由設置頂摻雜區以及調整飄移區邊界同時改善崩潰電壓及高溫逆偏壓測試(high temperature reverse bias,HTRB),亦可形成包圍汲極區的井區以進一步降低阻值。形成摻雜區以及第二汲極區並不影響元件的直流電性效能,也不會增加元件面積。 In summary, an embodiment of the present invention provides a lateral diffused metal oxide semiconductor (LDMOS) field effect transistor. A doped region and a second drain region are formed at a tip of a source region. A horizontal bipolar transistor, a vertical bipolar transistor, and a diode are formed inside the element to provide a path for releasing the electrostatic discharge current, so that the electrostatic discharge current does not flow through the gate and damage the gate. Miscellaneous regions and adjusting the drift region boundary while improving the breakdown voltage and high temperature reverse bias (HTRB) test can also form a well region surrounding the drain region to further reduce the resistance value. The formation of the doped region and the second drain region does not affect the DC performance of the device, nor does it increase the area of the device.

上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本發明實施例之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本發明實施例為基礎,設計或修改其他製程及結構,以達到與本發明實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本發明實施例之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本發明實施例的精神及範圍。 The foregoing outlines the features of many embodiments, so anyone with ordinary knowledge in the technical field can better understand the aspects of the embodiments of the present invention. Any person with ordinary knowledge in the technical field may design or modify other processes and structures based on the embodiments of the present invention without difficulty to achieve the same purpose and / or obtain the same advantages as the embodiments of the present invention. Any person with ordinary knowledge in the technical field should also understand that different changes, substitutions, and modifications can be made without departing from the spirit and scope of the embodiments of the present invention. Such equivalent creations do not exceed the spirit and scope of the embodiments of the present invention.

Claims (10)

一種橫向擴散金屬氧化物半導體(lateral diffused metal oxide semiconductor,LDMOS)場效電晶體,包括:一基板,具有一第一導電類型;一本體區(body region),位於該基板之上部,該本體區具有一第一導電類型;一飄移區(drift region),位於該基板之上部,該本體區與該飄移區之間設有一第一隔離區,該飄移區具有與該第一導電類型相反之一第二導電類型;一閘極,位於該基板之上,且部分覆蓋該本體區;一源極區,位於該本體區中,該源極區具有該第二導電類型;一汲極區,位於該飄移區中,包括相鄰設置之一第一汲極區及一第二汲極區,該第一汲極區具有該第二導電類型,且該第二汲極區具有該第一導電類型;一第二隔離區,設於該第一隔離區與該汲極區之間的該飄移區中;及一第一摻雜區,位於該第一隔離區及該第二隔離區之間的該基板之中,該第一摻雜區具有該第一導電類型;其中該第一摻雜區與該飄移區構成一第一二極體。A lateral diffused metal oxide semiconductor (LDMOS) field effect transistor includes: a substrate having a first conductivity type; and a body region located above the substrate, the body region It has a first conductivity type; a drift region is located on the upper part of the substrate; a first isolation region is provided between the body region and the drift region, and the drift region has one opposite to the first conductivity type A second conductivity type; a gate electrode located on the substrate and partially covering the body region; a source region located in the body region, the source region having the second conductivity type; a drain region located at The drift region includes a first drain region and a second drain region adjacent to each other. The first drain region has the second conductivity type, and the second drain region has the first conductivity type. A second isolation region provided in the drift region between the first isolation region and the drain region; and a first doped region between the first isolation region and the second isolation region In the substrate, the first doped region It has the first conductivity type; wherein the first doped region and the drift region constitute a first diode. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,其中於上視圖中該第一汲極區及該源極區呈指狀交叉(interdigitated fingers),且該第一摻雜區鄰近該源極區的一尖部,該第二汲極區位於該汲極區的一凹部。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the scope of patent application, wherein the first drain region and the source region are interdigitated fingers in the top view, and the first The doped region is adjacent to a tip of the source region, and the second drain region is located in a recess of the drain region. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該第一摻雜區接地(grounded)。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the application, wherein the first doped region is grounded. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該第二汲極區、該飄移區、及該基板構成一垂直雙極性電晶體(bipolar transistor,BJT)。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the scope of the patent application, wherein the second drain region, the drift region, and the substrate constitute a vertical bipolar transistor (BJT). 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該第二汲極區、該飄移區、及該第一摻雜區構成一水平雙極性電晶體。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the scope of the patent application, wherein the second drain region, the drift region, and the first doped region constitute a horizontal bipolar transistor. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,更包括:一井區,位於該飄移區中且包圍該汲極區,該井區具有該第二導電類型;其中該井區之摻雜濃度大於該飄移區之摻雜濃度。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the scope of the patent application, further comprising: a well region located in the drift region and surrounding the drain region, the well region having the second conductivity type; The doping concentration in the well region is greater than the doping concentration in the drift region. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,更包括:一頂摻雜區,位於該第二隔離區之間下方的飄移區中,該頂摻雜區具有該第一導電類型。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the scope of the patent application, further comprising: a top doped region in a drift region between the second isolation regions, the top doped region having The first conductivity type. 如申請專利範圍第7項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該頂摻雜區的摻雜深度為均勻分布。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 7 in the scope of the patent application, wherein the doping depth of the top doped region is uniformly distributed. 如申請專利範圍第7項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該頂摻雜區的摻雜深度由該閘極至該汲極區之一方向呈線性遞減。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 7 of the application, wherein the doping depth of the top doped region decreases linearly from one of the gate to the drain region. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,更包括:一第三隔離區,位於第一隔離區與第二隔離區之間的該基板中;一第二摻雜區,位於該第二隔離區及該第三隔離區之間的該基板之中;其中該第二摻雜區與該飄移區構成一第二二極體。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the patent application scope further includes: a third isolation region in the substrate between the first isolation region and the second isolation region; a second The doped region is located in the substrate between the second isolation region and the third isolation region; wherein the second doped region and the drift region form a second diode.
TW106146235A 2017-12-28 2017-12-28 Laterally diffused metal oxide semiconductor field effect transistor TWI646653B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW106146235A TWI646653B (en) 2017-12-28 2017-12-28 Laterally diffused metal oxide semiconductor field effect transistor
CN201811209280.1A CN109599439B (en) 2017-12-28 2018-10-17 Lateral diffusion metal oxide semiconductor field effect transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106146235A TWI646653B (en) 2017-12-28 2017-12-28 Laterally diffused metal oxide semiconductor field effect transistor

Publications (2)

Publication Number Publication Date
TWI646653B true TWI646653B (en) 2019-01-01
TW201931559A TW201931559A (en) 2019-08-01

Family

ID=65804055

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106146235A TWI646653B (en) 2017-12-28 2017-12-28 Laterally diffused metal oxide semiconductor field effect transistor

Country Status (2)

Country Link
CN (1) CN109599439B (en)
TW (1) TWI646653B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI765335B (en) * 2019-09-09 2022-05-21 愛爾蘭商亞德諾半導體國際無限公司 Semiconductor device, semiconductor device configured with gate dielectric monitoring capability, and method of monitoring gate dielectric of metal-oxide-semiconductor transistor
US11552190B2 (en) 2019-12-12 2023-01-10 Analog Devices International Unlimited Company High voltage double-diffused metal oxide semiconductor transistor with isolated parasitic bipolar junction transistor region

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11430888B2 (en) 2020-07-02 2022-08-30 Micron Technology, Inc. Integrated assemblies having transistors configured for high-voltage applications
TWI762993B (en) * 2020-08-06 2022-05-01 新唐科技股份有限公司 Ultra-high voltage device
TWI786976B (en) * 2021-01-08 2022-12-11 立錡科技股份有限公司 High voltage device, high voltage control device and manufacturing methods thereof

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6903421B1 (en) * 2004-01-16 2005-06-07 System General Corp. Isolated high-voltage LDMOS transistor having a split well structure
US20050285188A1 (en) * 2004-06-23 2005-12-29 Khemka Vishnu K LDMOS transistor
US20070170469A1 (en) * 2006-01-20 2007-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. LDMOS device with improved ESD performance
TW201222817A (en) * 2010-11-29 2012-06-01 Macronix Int Co Ltd Low on-resistance RESURF MOS transistor
TW201407779A (en) * 2012-08-14 2014-02-16 United Microelectronics Corp Lateral diffusion metal oxide semiconductor transistor structure
TW201445738A (en) * 2013-05-28 2014-12-01 United Microelectronics Corp Lateral diffused metal-oxide-semiconductor device and manufacturing method thereof
TW201528512A (en) * 2014-01-02 2015-07-16 United Microelectronics Corp Lateral double-diffused metal-oxide-semiconudctor transistor device and layout pattern for LDMOS transistor device

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040051669A (en) * 2002-12-11 2004-06-19 삼성전자주식회사 Method of manufacturing DMOS transistor in semiconductor device
FR2884052B1 (en) * 2005-03-30 2007-06-22 St Microelectronics Crolles 2 TRANSISTOR IMOS
DE102005027368A1 (en) * 2005-06-14 2006-12-28 Atmel Germany Gmbh Semiconductor protection structure for electrostatic discharge
JP5253742B2 (en) * 2007-02-20 2013-07-31 新日本無線株式会社 ESD protection device for vertical PNP bipolar transistor
US7834400B2 (en) * 2007-05-11 2010-11-16 System General Corp. Semiconductor structure for protecting an internal integrated circuit and method for manufacturing the same
US7736979B2 (en) * 2007-06-20 2010-06-15 New Jersey Institute Of Technology Method of forming nanotube vertical field effect transistor
US8143673B1 (en) * 2008-05-02 2012-03-27 Cypress Semiconductor Corporation Circuit with electrostatic discharge protection
CN101621072A (en) * 2008-06-30 2010-01-06 新唐科技股份有限公司 Semiconductor device and manufacture method thereof
DE102008037404A1 (en) * 2008-09-30 2010-04-01 Schott Solar Ag Process for the chemical treatment of a substrate
US9520486B2 (en) * 2009-11-04 2016-12-13 Analog Devices, Inc. Electrostatic protection device
FR2955204B1 (en) * 2010-01-14 2012-07-20 Soitec Silicon On Insulator DRAM MEMORY CELL HAVING A VERTICAL BIPOLAR INJECTOR
US8921941B2 (en) * 2010-08-05 2014-12-30 Mediatek Inc. ESD protection device and method for fabricating the same
TWI618248B (en) * 2010-10-12 2018-03-11 高通公司 Vertical semiconductor device with thinned substrate
US8552495B2 (en) * 2010-10-22 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate for a high voltage transistor device
JP5139503B2 (en) * 2010-11-18 2013-02-06 株式会社ジャパンディスプレイイースト Liquid crystal display device and manufacturing method thereof
US8299533B2 (en) * 2010-11-24 2012-10-30 International Business Machines Corporation Vertical NPNP structure in a triple well CMOS process
JP2013168540A (en) * 2012-02-16 2013-08-29 Sumitomo Electric Ind Ltd Silicon carbide semiconductor device manufacturing method and silicon carbide semiconductor device
US8765549B2 (en) * 2012-04-27 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor for interposers and methods of manufacture thereof
JP6007606B2 (en) * 2012-06-18 2016-10-12 富士電機株式会社 Semiconductor device
TWI467766B (en) * 2012-08-31 2015-01-01 Nuvoton Technology Corp Metal oxide semiconductor field transistor and method of fabricating the same
CN103681861B (en) * 2012-08-31 2016-08-17 新唐科技股份有限公司 Semiconductor device and method for manufacturing the same
CN104253123B (en) * 2013-06-26 2017-05-17 中芯国际集成电路制造(上海)有限公司 An electrostatic discharge protection structure
CN104347640B (en) * 2013-08-02 2017-07-07 群创光电股份有限公司 Flexible display device
CN103606544A (en) * 2013-09-12 2014-02-26 电子科技大学 Electrostatic discharge resistant LDMOS device
US9312348B2 (en) * 2014-02-14 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra high voltage semiconductor device with electrostatic discharge capabilities
CN104979340B (en) * 2014-04-01 2018-02-13 旺宏电子股份有限公司 Semiconductor structure and ESD protection circuit
CN104518027B (en) * 2014-06-13 2019-06-11 上海华虹宏力半导体制造有限公司 LDMOS device and its manufacturing method
CN104241274B (en) * 2014-08-30 2017-09-29 电子科技大学 A kind of bidirectional ESD protective device based on lateral PNP structure
JP6266485B2 (en) * 2014-09-26 2018-01-24 株式会社東芝 Semiconductor device
US10181719B2 (en) * 2015-03-16 2019-01-15 Analog Devices Global Overvoltage blocking protection device
TWI567977B (en) * 2015-06-29 2017-01-21 新唐科技股份有限公司 Metal oxide semiconductor field effect transistor and method of fabricating the same
CN105446040A (en) * 2016-01-05 2016-03-30 京东方科技集团股份有限公司 ESD (Electro-Static discharge) protective unit, array substrate, display panel and display device
CN106057879A (en) * 2016-08-16 2016-10-26 上海华虹宏力半导体制造有限公司 IGBT device and manufacturing method therefor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6903421B1 (en) * 2004-01-16 2005-06-07 System General Corp. Isolated high-voltage LDMOS transistor having a split well structure
US20050285188A1 (en) * 2004-06-23 2005-12-29 Khemka Vishnu K LDMOS transistor
US20070170469A1 (en) * 2006-01-20 2007-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. LDMOS device with improved ESD performance
TW201222817A (en) * 2010-11-29 2012-06-01 Macronix Int Co Ltd Low on-resistance RESURF MOS transistor
TW201407779A (en) * 2012-08-14 2014-02-16 United Microelectronics Corp Lateral diffusion metal oxide semiconductor transistor structure
TW201445738A (en) * 2013-05-28 2014-12-01 United Microelectronics Corp Lateral diffused metal-oxide-semiconductor device and manufacturing method thereof
TW201528512A (en) * 2014-01-02 2015-07-16 United Microelectronics Corp Lateral double-diffused metal-oxide-semiconudctor transistor device and layout pattern for LDMOS transistor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI765335B (en) * 2019-09-09 2022-05-21 愛爾蘭商亞德諾半導體國際無限公司 Semiconductor device, semiconductor device configured with gate dielectric monitoring capability, and method of monitoring gate dielectric of metal-oxide-semiconductor transistor
US11552190B2 (en) 2019-12-12 2023-01-10 Analog Devices International Unlimited Company High voltage double-diffused metal oxide semiconductor transistor with isolated parasitic bipolar junction transistor region

Also Published As

Publication number Publication date
CN109599439A (en) 2019-04-09
TW201931559A (en) 2019-08-01
CN109599439B (en) 2021-11-16

Similar Documents

Publication Publication Date Title
TWI646653B (en) Laterally diffused metal oxide semiconductor field effect transistor
US8969913B2 (en) Insulated gate bipolar transistor structure having low substrate leakage
US10236341B2 (en) Semiconductor device and method for manufacturing the same
US10804389B2 (en) LDMOS transistor
TWI683437B (en) High voltage semiconductor device
TWI624942B (en) High voltage semiconductor device
US10686036B2 (en) Method of making bipolar transistor
TWI673880B (en) Laterally diffused metal oxide semiconductor device
US11430885B2 (en) Semiconductor device
TWI641107B (en) Lateral diffused metal oxide semiconductor field effect transistor
US11456355B2 (en) Semiconductor device
TWI658590B (en) High-voltage semiconductor devices and methods for manufacturing the same
US10600909B2 (en) Semiconductor devices and methods for fabricating the same
US10388758B2 (en) Semiconductor structure having a high voltage well region
TWI684209B (en) Semiconductor structure and method for fabricating the same
TWI682540B (en) Semiconductor device and method for forming the same
CN110444582B (en) High voltage semiconductor device and method of forming the same
CN111146284B (en) Semiconductor device and method for manufacturing the same
CN111564488B (en) Semiconductor device and method for manufacturing the same
US11348997B2 (en) Semiconductor devices and methods for fabricating the same
TWI684213B (en) Semiconductor structure and method for forming the same
TWI575707B (en) Semiconductor device and method of manufacturing the same
US20200176600A1 (en) High-voltage semiconductor devices and methods for manufacturing the same
CN112289844A (en) Semiconductor device structure