TWI641107B - Lateral diffused metal oxide semiconductor field effect transistor - Google Patents

Lateral diffused metal oxide semiconductor field effect transistor Download PDF

Info

Publication number
TWI641107B
TWI641107B TW106145521A TW106145521A TWI641107B TW I641107 B TWI641107 B TW I641107B TW 106145521 A TW106145521 A TW 106145521A TW 106145521 A TW106145521 A TW 106145521A TW I641107 B TWI641107 B TW I641107B
Authority
TW
Taiwan
Prior art keywords
region
conductivity type
capacitor
effect transistor
source
Prior art date
Application number
TW106145521A
Other languages
Chinese (zh)
Other versions
TW201929181A (en
Inventor
維克 韋
陳柏安
Original Assignee
新唐科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新唐科技股份有限公司 filed Critical 新唐科技股份有限公司
Priority to TW106145521A priority Critical patent/TWI641107B/en
Priority to CN201811133880.4A priority patent/CN109326649B/en
Application granted granted Critical
Publication of TWI641107B publication Critical patent/TWI641107B/en
Publication of TW201929181A publication Critical patent/TW201929181A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7817Lateral DMOS transistors, i.e. LDMOS transistors structurally associated with at least one other device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0288Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using passive elements as protective elements, e.g. resistors, capacitors, inductors, spark-gaps

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明實施例提供一種橫向擴散金屬氧化物半導體場效電晶體包括:本體區,位於基板之上部;飄移區,位於基板之上部且鄰接本體區;閘極,位於本體區與飄移區之上;源極區,位於本體區中;汲極區,位於飄移區中;第一隔離區,位於源極區與汲極區之間的飄移區中;頂摻雜區,位於第一隔離區之下;電容,位於井區之上;及第二隔離區,位於源極區與電容之間的井區中;其中在上視圖中,閘極為迴圈形,汲極區係設置於迴圈形的內側,源極區係設置於迴圈形的外側;其中迴圈形具有缺口,且第一隔離區與第二隔離區於缺口處連接,且電容環繞閘極。 An embodiment of the present invention provides a laterally diffused metal oxide semiconductor field-effect transistor including: a body region, which is located above the substrate; a drift region, which is located above the substrate and is adjacent to the body region; The source region is located in the body region; the drain region is located in the drift region; the first isolation region is located in the drift region between the source region and the drain region; the top doped region is located below the first isolation region ; The capacitor is located above the well region; and the second isolation region is located in the well region between the source region and the capacitor; in the above view, the gate electrode is in a loop shape, and the drain region is provided in a loop shape. Inside, the source region is disposed on the outside of the loop shape; wherein the loop shape has a gap, and the first isolation region and the second isolation region are connected at the gap, and the capacitor surrounds the gate.

Description

橫向擴散金屬氧化物半導體場效電晶體 Laterally diffused metal oxide semiconductor field effect transistor

本發明實施例係有關於一種半導體技術,特別是有關於一種橫向擴散金屬氧化物半導體場效電晶體。 Embodiments of the present invention relate to a semiconductor technology, and particularly to a laterally diffused metal oxide semiconductor field effect transistor.

高壓半導體元件適用於高電壓與高功率的積體電路領域。傳統高壓半導體元件包括橫向擴散金氧半場效電晶體(lateral diffused metal oxide semiconductor,LDMOS)。高壓半導體元件的優點在於易相容於其他製程,符合成本效益,因此廣泛應用於電源供應器、電力管理、顯示器驅動IC元件、通訊、車用電子、工業控制等領域中。 The high-voltage semiconductor element is suitable for the field of integrated circuits of high voltage and high power. A conventional high-voltage semiconductor device includes a lateral diffused metal oxide semiconductor (LDMOS). The advantage of high-voltage semiconductor components is that they are easily compatible with other processes and are cost-effective. Therefore, they are widely used in power supply, power management, display drive IC components, communications, automotive electronics, industrial control and other fields.

當橫向擴散金氧半場效電晶體連接至交流電源(AC power)時,可能累積大量的靜電電荷,而這些靜電電荷可能於任意兩端點流動,而產生靜電放電(electrostatic discharge,ESD)電流。靜電放電電流若未獲得妥善控制,則可能燒毀積體電路,造成元件損害。舉例而言,若靜電放電電流由元件的汲極流向源極,則亦可能流向元件的閘極,而造成閘極損傷。 When a laterally diffused metal-oxide half-field effect transistor is connected to an AC power source, a large amount of electrostatic charges may be accumulated, and these electrostatic charges may flow at any two ends to generate an electrostatic discharge (ESD) current. If the electrostatic discharge current is not properly controlled, it may burn the integrated circuit and cause damage to the components. For example, if the electrostatic discharge current flows from the drain to the source of the element, it may also flow to the gate of the element, causing damage to the gate.

綜上所述,雖然現有的橫向擴散金屬氧化物半導體場效電晶體大致符合需求,但並非各方面皆令人滿意,特別是橫向擴散金屬氧化物半導體場效電晶體之靜電放電電流仍 需進一步改善。 In summary, although the existing laterally diffused metal-oxide-semiconductor field-effect transistors generally meet the requirements, they are not satisfactory in all aspects, especially the electrostatic discharge current of laterally-diffused metal-oxide-semiconductor field-effect transistors is still Needs further improvement.

本發明實施例提供一種橫向擴散金屬氧化物半導體場效電晶體,包括:基板,具有第一導電類型;本體區,位於基板之上部,本體區具有第一導電類型;飄移區,位於基板之上部且鄰接本體區,飄移區具有與第一導電類型相反之第二導電類型;閘極,位於本體區與飄移區之上;源極區,位於本體區中,源極區具有第二導電類型;汲極區,位於飄移區中,汲極區具有第二導電類型;第一隔離區,位於源極區與汲極區之間的飄移區中;頂摻雜區,位於第一隔離區之下,頂摻雜區具有第一導電類型;電容,位於井區之上;及第二隔離區,位於源極區與電容之間的井區中;其中在上視圖中,閘極為迴圈形,汲極係設置於迴圈形的內側,源極係設置於迴圈形的外側;其中迴圈形具有缺口,且第一隔離區與第二隔離區於缺口處連接,且電容環繞閘極。 An embodiment of the present invention provides a laterally diffused metal oxide semiconductor field effect transistor, including: a substrate having a first conductivity type; a body region located above the substrate; the body region having the first conductivity type; a drift region located above the substrate Adjacent to the body region, the drift region has a second conductivity type opposite to the first conductivity type; the gate electrode is located above the body region and the drift region; the source region is located in the body region, and the source region has a second conductivity type; The drain region is located in the drift region, the drain region has a second conductivity type; the first isolation region is located in the drift region between the source region and the drain region; the top doped region is located below the first isolation region The top doped region has a first conductivity type; a capacitor is located above the well region; and a second isolation region is located in the well region between the source region and the capacitor; wherein, in the upper view, the gate has a loop shape, The drain electrode is disposed on the inner side of the loop shape, and the source electrode is disposed on the outer side of the loop shape. The loop shape has a gap, and the first isolation region and the second isolation region are connected at the gap, and the capacitor surrounds the gate.

本發明實施例提供另一種橫向擴散金屬氧化物半導體場效電晶體,包括:基板,具有第一導電類型;本體區,位於基板之上部,本體區具有第一導電類型;飄移區,位於基板之上部,且鄰接本體區,飄移區具有與第一導電類型相反之第二導電類型;閘極,位於本體區與飄移區之上;源極區,位於本體區中,源極區具有第二導電類型;汲極區,位於飄移區中,汲極區具有第二導電類型;第一隔離區,位於鄰近汲極區的飄移區中;第二隔離區,位於鄰近閘極的飄移區中;頂摻雜區,位於第一隔離區及第二隔離區之下,頂摻雜區具有第一導 電類型;以及電容,位於第一隔離區及第二隔離區之間的飄移區之上。 An embodiment of the present invention provides another laterally diffused metal oxide semiconductor field effect transistor, including: a substrate having a first conductivity type; a body region located above the substrate; the body region having the first conductivity type; a drift region located on the substrate; The upper part is adjacent to the body region, the drift region has a second conductivity type opposite to the first conductivity type; the gate electrode is located above the body region and the drift region; the source region is located in the body region, and the source region has a second conductivity Type; drain region, located in the drift region, the drain region has a second conductivity type; a first isolation region, located in the drift region adjacent to the drain region; a second isolation region, located in the drift region adjacent to the gate; top The doped region is located below the first isolation region and the second isolation region, and the top doped region has a first conductive region Electrical type; and a capacitor located above the drift region between the first isolation region and the second isolation region.

為讓本發明之上述目的、特徵及優點能更明顯易懂,下文特舉數個實施例,並配合所附圖式,作詳細說明如下。 In order to make the above-mentioned objects, features, and advantages of the present invention more comprehensible, several embodiments are described below in detail, in conjunction with the accompanying drawings, as follows.

100、200、300、400、500‧‧‧橫向擴散金屬氧化物半導體場效電晶體 100, 200, 300, 400, 500‧‧‧ laterally diffused metal oxide semiconductor field effect transistors

102‧‧‧基板 102‧‧‧ substrate

104‧‧‧本體區 104‧‧‧Body area

106‧‧‧飄移區 106‧‧‧ Drifting Zone

108‧‧‧井區 108‧‧‧well area

110‧‧‧源極區 110‧‧‧Source area

112‧‧‧汲極區 112‧‧‧ Drain

114‧‧‧基極區 114‧‧‧ base region

116‧‧‧摻雜區 116‧‧‧ doped region

118‧‧‧重摻雜汲極區 118‧‧‧ heavily doped drain region

120、120A、120B‧‧‧隔離區 120, 120A, 120B

122‧‧‧頂摻雜區 122‧‧‧Top doped region

124‧‧‧閘極 124‧‧‧Gate

124A‧‧‧介電層 124A‧‧‧ Dielectric layer

124B‧‧‧電極層 124B‧‧‧electrode layer

126‧‧‧電容 126‧‧‧Capacitor

126A‧‧‧介電層 126A‧‧‧Dielectric layer

126B‧‧‧電極層 126B‧‧‧electrode layer

128‧‧‧缺口 128‧‧‧ gap

130‧‧‧靜電放電電流 130‧‧‧electrostatic discharge current

232‧‧‧埋藏層 232‧‧‧Buried layer

322‧‧‧頂摻雜區 322‧‧‧top doped region

432‧‧‧埋藏層 432‧‧‧burial layer

520A、520B‧‧‧隔離區 520A, 520B‧‧‧‧ isolated area

522A、522B‧‧‧頂摻雜區 522A, 522B‧‧‧Top doped region

526‧‧‧電容 526‧‧‧Capacitor

534‧‧‧層間介電層 534‧‧‧Interlayer dielectric layer

536‧‧‧源極金屬 536‧‧‧Source Metal

536E‧‧‧邊緣 536E‧‧‧Edge

538‧‧‧接點 538‧‧‧Contact

AA’、BB’‧‧‧線段 AA ’, BB’‧‧‧ line segments

XA、XB‧‧‧距離 XA, XB‧‧‧ distance

以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 The embodiments of the present invention will be described in detail below with reference to the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale and are for illustration purposes only. In fact, it is possible to arbitrarily enlarge or reduce the size of the element to clearly show the characteristics of the embodiment of the present invention.

第1圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之上視圖。 FIG. 1 is a top view of a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第2A圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 2A is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第2B圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 2B is a cross-sectional view illustrating a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第3圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 3 is a cross-sectional view of a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第4圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 4 is a cross-sectional view of a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第5圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之剖面圖。 FIG. 5 is a cross-sectional view of a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments.

第6圖係根據另一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體之上視圖。 FIG. 6 illustrates a top view of a laterally diffused metal oxide semiconductor field effect transistor according to other embodiments.

第7圖係根據另一些實施例繪示出橫向擴散金屬氧化物半 導體場效電晶體之剖面圖。 FIG. 7 illustrates a laterally diffused metal oxide half according to other embodiments. Sectional view of a conductor field effect transistor.

以下公開許多不同的實施方法或是例子來實行本發明實施例之不同特徵,以下描述具體的元件及其排列的實施例以闡述本發明實施例。當然這些實施例僅用以例示,且不該以此限定本發明實施例的範圍。例如,在說明書中提到第一特徵形成於第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,亦即,第一特徵與第二特徵並非直接接觸。此外,在不同實施例中可能使用重複的標號或標示,這些重複僅為了簡單清楚地敘述本發明實施例,不代表所討論的不同實施例及/或結構之間有特定的關係。 Many different implementation methods or examples are disclosed below to implement the different features of the embodiments of the present invention. The following describes specific embodiments of the elements and their arrangements to illustrate the embodiments of the present invention. Of course, these embodiments are only for illustration, and the scope of the embodiments of the present invention should not be limited by this. For example, it is mentioned in the description that the first feature is formed on the second feature, which includes the embodiment in which the first feature and the second feature are in direct contact, and also includes the other between the first feature and the second feature. An embodiment of a feature, that is, the first feature and the second feature are not in direct contact. In addition, repeated reference numerals or signs may be used in different embodiments. These repetitions are only for simply and clearly describing the embodiments of the present invention, and do not represent a specific relationship between the different embodiments and / or structures discussed.

此外,其中可能用到與空間相關用詞,例如「在...下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。 In addition, space-related terms such as "below", "below", "lower", "above", "higher" and similar terms may be used. These space-related terms Words are used to facilitate the description of the relationship between one or more elements or features and other elements or features in the illustration. These spatially related terms include different positions of the device in use or operation, as well as in the drawings. The described orientation. When the device is turned to a different orientation (rotated 90 degrees or other orientation), the spatially related adjectives used in it will also be interpreted in terms of the orientation after turning.

在此,「約」、「大約」、「大抵」之用語通常表示在一給定值或範圍的20%之內,較佳是10%之內,且更佳是5%之內,或3%之內,或2%之內,或1%之內,或0.5%之內。應注意的是,說明書中所提供的數量為大約的數量,亦即在沒有特定說明「約」、「大約」、「大抵」的情況下,仍可隱含「約」、「大 約」、「大抵」之含義。 Here, the terms "about", "approximately", and "mostly" generally indicate within a given value or range within 20%, preferably within 10%, and more preferably within 5%, or 3 Within%, or within 2%, or within 1%, or within 0.5%. It should be noted that the quantity provided in the description is an approximate quantity, that is, the "about", "large" can still be implied without specifying "about", "approximately", and "probably" Meaning "about" and "probably."

本發明實施例提供一種橫向擴散金屬氧化物半導體(lateral diffused metal oxide semiconductor,LDMOS)場效電晶體,利用於元件中形成電容,可釋放靜電放電(electrostatic discharge,ESD)電流,而避免損害閘極,且不需增加太多額外的電容面積,亦不改變其直流效能(DC performance)。 An embodiment of the present invention provides a lateral diffused metal oxide semiconductor (LDMOS) field effect transistor, which is used to form a capacitor in a device, which can release electrostatic discharge (ESD) current without damaging the gate electrode. Without adding too much extra capacitor area and without changing its DC performance.

第1圖繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體100之上視圖,第2A及2B圖繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體100之剖面圖。第2A圖係第1圖中沿線段AA’之剖面圖,第2B圖係第1圖中沿線段BB’之剖面圖。在一些實施例中,橫向擴散金屬氧化物半導體場效電晶體100為高壓元件,其操作電壓範圍為100V至800V。 FIG. 1 illustrates a top view of a laterally diffused metal oxide semiconductor field effect transistor 100 according to some embodiments of the present invention, and FIGS. 2A and 2B illustrate a laterally diffused metal oxide semiconductor field effect transistor according to some embodiments of the present invention. A cross-sectional view of the crystal 100. Figure 2A is a cross-sectional view along line AA 'in Figure 1 and Figure 2B is a cross-sectional view along line BB' in Figure 1. In some embodiments, the laterally diffused metal-oxide-semiconductor field-effect transistor 100 is a high-voltage device, and its operating voltage ranges from 100V to 800V.

根據一些實施例,如第2A圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100包括一基板102。此基板102可為半導體基板,其可包括元素半導體,例如矽(Si)、鍺(Ge)等;化合物半導體,例如氮化鎵(GaN)、碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb)等;合金半導體,例如矽鍺合金(SiGe)、磷砷鎵合金(GaAsP)、砷鋁銦合金(AlInAs)、砷鋁鎵合金(AlGaAs)、砷銦鎵合金(GaInAs)、磷銦鎵合金(GaInP)、磷砷銦鎵合金(GaInAsP)、或上述材料之組合。此外,基板102也可以是絕緣層上覆半導體(semiconductor on insulator)基板。在一些實施例中,基板102具有第一導電類型。 According to some embodiments, as shown in FIG. 2A, the laterally diffused metal oxide semiconductor field effect transistor 100 includes a substrate 102. This substrate 102 may be a semiconductor substrate, which may include elemental semiconductors such as silicon (Si), germanium (Ge), etc .; compound semiconductors such as gallium nitride (GaN), silicon carbide (SiC), gallium arsenide (GaAs), Gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), indium antimonide (InSb), etc .; alloy semiconductors, such as silicon germanium alloy (SiGe), phosphorous arsenic gallium alloy (GaAsP), aluminum arsenic Indium alloy (AlInAs), aluminum gallium arsenide (AlGaAs), indium gallium arsenide (GaInAs), indium gallium phosphorus (GaInP), indium gallium phosphorus arsenide (GaInAsP), or a combination of the above materials. In addition, the substrate 102 may be a semiconductor on insulator substrate. In some embodiments, the substrate 102 has a first conductivity type.

根據一些實施例,如第2A圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100包括本體區104、飄移區106、及井區108,其設置於基板102的上部。在一些實施例中,本體區104、飄移區106、及井區108透過圖案化罩幕對基板102進行離子佈植所形成。在一些實施例中,本體區104具有第一導電類型,而飄移區106與井區108具有與第一導電類型相反的第二導電類型。舉例來說,當第一導電類型為P型時,第二導電類型為N型。在其他實施例中,當第一導電類型為N型時,第二導電類型為P型。在一些實施例中,P型摻質可包括硼、鎵、鋁、銦、三氟化硼離子(BF3 +)、或前述之組合,N型摻質可包括磷、砷、氮、銻、或前述之組合。在一些實施例中,本體區104之摻雜濃度介於5e16/cm3至5e18/cm3之間,飄移區106之摻雜濃度介於1e16/cm3至5e17/cm3之間,井區108之摻雜濃度介於1e16/cm3至5e18/cm3之間。在一些實施例中,井區108之摻雜濃度大於或等於飄移區106之摻雜濃度,以獲得較好的元件特性。 According to some embodiments, as shown in FIG. 2A, the lateral diffusion metal oxide semiconductor field effect transistor 100 includes a body region 104, a drift region 106, and a well region 108, which are disposed on an upper portion of the substrate 102. In some embodiments, the body region 104, the drift region 106, and the well region 108 are formed by ion implanting the substrate 102 through a patterned mask. In some embodiments, the body region 104 has a first conductivity type, and the drift region 106 and the well region 108 have a second conductivity type opposite to the first conductivity type. For example, when the first conductivity type is P-type, the second conductivity type is N-type. In other embodiments, when the first conductivity type is N-type, the second conductivity type is P-type. In some embodiments, the P-type dopant may include boron, gallium, aluminum, indium, boron trifluoride ion (BF 3 + ), or a combination thereof, and the N-type dopant may include phosphorus, arsenic, nitrogen, antimony, Or a combination of the foregoing. In some embodiments, the doping concentration of the body region 104 is between 5e16 / cm 3 and 5e18 / cm 3 , and the doping concentration of the drift region 106 is between 1e16 / cm 3 and 5e17 / cm 3. The well region The doping concentration of 108 is between 1e16 / cm 3 and 5e18 / cm 3 . In some embodiments, the doping concentration of the well region 108 is greater than or equal to the doping concentration of the drift region 106 to obtain better device characteristics.

根據一些實施例,如第2A圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括源極區110、汲極區112、基極區114、及摻雜區116。源極區110與基極區114設置於鄰近基板102上表面的本體區104中,且源極區110鄰接(adjoin)基極區114。汲極區112設置於鄰近基板102上表面的飄移區106中。摻雜區116設置於鄰近基板102上表面的井區108中。在一些實施例中,源極區110、汲極區112、基極區114、及摻雜區116透過圖案化罩幕對基板102進行離子佈植所形成。在一些實施例 中,基極區114具有第一導電類型,其摻雜濃度高於本體區104之第一導電類型摻雜濃度,摻雜區116亦具有第一導電類型,而源極區110及汲極區112均具有第二導電類型,其摻雜濃度均高於飄移區106之第二導電類型摻雜濃度。在一些實施例中,源極區110之摻雜濃度介於5e19/cm3至1e21/cm3之間,汲極區112之摻雜濃度介於5e19/cm3至1e21/cm3之間,基極區114之摻雜濃度介於5e19/cm3至1e21/cm3之間,而摻雜區116之摻雜濃度介於5e19/cm3至1e21/cm3之間。 According to some embodiments, as shown in FIG. 2A, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes a source region 110, a drain region 112, a base region 114, and a doped region 116. The source region 110 and the base region 114 are disposed in the body region 104 adjacent to the upper surface of the substrate 102, and the source region 110 adjoins the base region 114. The drain region 112 is disposed in the drift region 106 adjacent to the upper surface of the substrate 102. The doped region 116 is disposed in the well region 108 adjacent to the upper surface of the substrate 102. In some embodiments, the source region 110, the drain region 112, the base region 114, and the doped region 116 are formed by ion implanting the substrate 102 through a patterned mask. In some embodiments, the base region 114 has a first conductivity type, and its doping concentration is higher than the first conductivity type doping concentration of the body region 104. The doped region 116 also has the first conductivity type, and the source region 110 Both the drain region 112 and the drain region 112 have a second conductivity type, and their doping concentrations are higher than the second conductivity type doping concentration of the drift region 106. In some embodiments, the doping concentration of the source region 110 is between 5e19 / cm 3 and 1e21 / cm 3 , and the doping concentration of the drain region 112 is between 5e19 / cm 3 and 1e21 / cm 3 . The doping concentration of the base region 114 is between 5e19 / cm 3 and 1e21 / cm 3 , and the doping concentration of the doped region 116 is between 5e19 / cm 3 and 1e21 / cm 3 .

根據一些實施例,如第2A圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括重摻雜汲極區118。重摻雜汲極區118設置於鄰近基板102上表面的飄移區106中,且包圍汲極區112。在一些實施例中,重摻雜汲極區118透過圖案化罩幕對基板102進行離子佈植所形成。在一些實施例中,重摻雜汲極區118具有第二導電類型,其摻雜濃度高於飄移區106之第二導電類型摻雜濃度並低於汲極區112之第二導電類型摻雜濃度。在一些實施例中,重摻雜汲極區118之摻雜濃度介於1e17/cm3至5e18/cm3之間。重摻雜汲極區118可降低摻雜梯度(doping gradient),而可降低汲極端的電場強度。 According to some embodiments, as shown in FIG. 2A, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes a heavily doped drain region 118. The heavily doped drain region 118 is disposed in the drift region 106 adjacent to the upper surface of the substrate 102 and surrounds the drain region 112. In some embodiments, the heavily doped drain region 118 is formed by ion implanting the substrate 102 through a patterned mask. In some embodiments, the heavily doped drain region 118 has a second conductivity type, and its doping concentration is higher than the second conductivity type doping concentration of the drift region 106 and lower than the second conductivity type doping of the drain region 112. concentration. In some embodiments, the doping concentration of the heavily doped drain region 118 is between 1e17 / cm 3 and 5e18 / cm 3 . The heavily doped drain region 118 can reduce the doping gradient and reduce the electric field strength at the drain terminal.

根據一些實施例,如第2A圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括形成於基板102上的複數個隔離區120A及120B,其中隔離區120A位於源極區110與汲極區112之間的飄移區106上。在一些實施例中,隔離區120A及120B可為場氧化物(field oxide)。在一些實施例中,隔離區120A及120B可為局部矽氧化層(local oxidation of silicon, LOCOS)。在另一些實施例中,隔離區120A及120B可為淺溝槽隔離(shallow trench isolation,STI)結構。 According to some embodiments, as shown in FIG. 2A, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes a plurality of isolation regions 120A and 120B formed on the substrate 102, wherein the isolation region 120A is located in the source region 110 and On the drift region 106 between the drain regions 112. In some embodiments, the isolation regions 120A and 120B may be field oxides. In some embodiments, the isolation regions 120A and 120B may be a local oxidation of silicon, LOCOS). In other embodiments, the isolation regions 120A and 120B may be shallow trench isolation (STI) structures.

根據一些實施例,如第2A圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括形成於隔離區120A之下的頂摻雜區(top doping region)122。在一些實施例中,可於形成隔離區120A前,透過圖案化罩幕對基板102進行離子佈植形成頂摻雜區122。在一些實施例中,頂摻雜區122具有第一導電類型。在一些實施例中,頂摻雜區122之摻雜濃度介於1e17/cm3至5e18/cm3之間。在一些實施例中,頂摻雜區122的面積小於隔離區120A的面積。在一些實施例中,頂摻雜區122未鄰接重摻雜汲極區118,而是與重摻雜汲極區118相隔一段距離。在一些實施例中,頂摻雜區122的摻雜深度以及摻雜濃度為均勻分布。頂摻雜區122可降低表面電場,進而改善橫向擴散金屬氧化物半導體場效電晶體100之崩潰電壓及導通電阻(on-resistance,Ron)。 According to some embodiments, as shown in FIG. 2A, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes a top doping region 122 formed below the isolation region 120A. In some embodiments, before the isolation region 120A is formed, the substrate 102 may be ion-implanted through the patterned mask to form the top doped region 122. In some embodiments, the top doped region 122 has a first conductivity type. In some embodiments, the doping concentration of the top doped region 122 is between 1e17 / cm 3 and 5e18 / cm 3 . In some embodiments, the area of the top doped region 122 is smaller than the area of the isolation region 120A. In some embodiments, the top doped region 122 does not adjoin the heavily doped drain region 118 but is spaced apart from the heavily doped drain region 118. In some embodiments, the doping depth and doping concentration of the top doped region 122 are uniformly distributed. The top doped region 122 can reduce the surface electric field, thereby improving the breakdown voltage and on-resistance (Ron) of the laterally diffused metal oxide semiconductor field effect transistor 100.

根據一些實施例,如第2A圖所繪示,橫向擴散金屬氧化物半導體場效電晶體100更包括閘極124,其位於本體區104及飄移區106上,且延伸覆蓋一部分隔離區120A。橫向擴散金屬氧化物半導體場效電晶體100亦包括位於井區108之上,且位於摻雜區116之間的電容126。在一些實施例中,閘極124及電容126可包括介電層124A及126A,及位於介電層124A及126A上方的電極層124B及126B。在一些實施例中,可同時形成介電層124A及126A,亦可同時形成電極層124B及126B。在一些實施例中,電容126之電極層126B接地。 According to some embodiments, as shown in FIG. 2A, the laterally diffused metal oxide semiconductor field effect transistor 100 further includes a gate electrode 124 located on the body region 104 and the drift region 106 and extending to cover a part of the isolation region 120A. The laterally diffused metal oxide semiconductor field effect transistor 100 also includes a capacitor 126 located above the well region 108 and between the doped regions 116. In some embodiments, the gate 124 and the capacitor 126 may include dielectric layers 124A and 126A, and electrode layers 124B and 126B located above the dielectric layers 124A and 126A. In some embodiments, the dielectric layers 124A and 126A may be formed at the same time, and the electrode layers 124B and 126B may also be formed at the same time. In some embodiments, the electrode layer 126B of the capacitor 126 is grounded.

在一些實施例中,介電層124A及126A可包括氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、高介電常數(high-k)(亦即介電常數大於3.9)之介電材料例如HfO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3、BaTiO3、BaZrO、HfZrO、HfLaO、HfTaO、HfSiO、HfSiON、HfTiO、LaSiO、AlSiO、(Ba、Sr)TiO3、Al2O3、或上述之組合。介電層124A及126A可使用合適的氧化製程(例如乾氧化製程或濕氧化製程)、沉積製程(例如化學氣相沉積(chemical vapor deposition)製程或原子層沉積(atomic layer deposition,ALD)製程)、其他合適的製程、或上述之組合成長。在一些實施例中,介電層124A及126A可使用熱氧化製程,在含氧或含氮(例如含NO或N2O)的環境下熱成長,在形成電極層124B及126B前形成介電層124A及126A。 In some embodiments, the dielectric layers 124A and 126A may include silicon oxide, silicon nitride, silicon oxynitride, high-k (i.e., dielectric) Dielectric constants greater than 3.9) such as HfO 2 , LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 , BaTiO 3 , BaZrO, HfZrO, HfLaO, HfTaO, HfSiO, HfSiON, HfTiO , LaSiO, AlSiO, (Ba, Sr) TiO 3 , Al 2 O 3 , or a combination thereof. The dielectric layers 124A and 126A may use a suitable oxidation process (such as a dry oxidation process or a wet oxidation process), a deposition process (such as a chemical vapor deposition process or an atomic layer deposition (ALD) process). , Other suitable processes, or a combination of the above. In some embodiments, the dielectric layers 124A and 126A may use a thermal oxidation process to thermally grow in an environment containing oxygen or nitrogen (eg, containing NO or N 2 O) to form a dielectric before forming the electrode layers 124B and 126B. Layers 124A and 126A.

在一些實施例中,在介電層124A及126A上形成電極層124B及126B。電極層124B及126B可包括多晶矽、金屬(例如鎢、鈦、鋁、銅、鉬、鎳、鉑、其相似物、或以上之組合)、金屬合金、金屬氮化物(例如氮化鎢、氮化鉬、氮化鈦、氮化鉭、其相似物、或以上之組合)、金屬矽化物(例如矽化鎢、矽化鈦、矽化鈷、矽化鎳、矽化鉑、矽化鉺、其相似物、或以上之組合)、金屬氧化物(氧化釕、氧化銦錫、其相似物、或以上之組合)、其他適用的材料、或上述之組合。電極層124B及126B可使用化學氣相沉積製程(chemical vapor deposition,CVD)(例如低壓氣相沉積製程(low pressure chemical vapor deposition,LPCVD)或電漿輔助化學氣相沉積製程(plasma enhanced chemical vapor deposition,PECVD))、物理氣相沉積製程(physical vapor deposition,PVD)(例如電阻加熱蒸鍍法、電子束蒸鍍法、或濺鍍法)、電鍍法、原子層沉積製程(atomic layer deposition,ALD)、其他合適的製程、或上述之組合於基板102上形成電極材料,再以微影與蝕刻製程將之圖案化形成電極層124B及126B。 In some embodiments, the electrode layers 124B and 126B are formed on the dielectric layers 124A and 126A. The electrode layers 124B and 126B may include polycrystalline silicon, a metal (e.g., tungsten, titanium, aluminum, copper, molybdenum, nickel, platinum, the like, or a combination thereof), a metal alloy, a metal nitride (e.g., tungsten nitride, nitride Molybdenum, titanium nitride, tantalum nitride, analogs thereof, or a combination thereof), metal silicides (such as tungsten silicide, titanium silicide, cobalt silicide, nickel silicide, platinum silicide, hafnium silicide, their analogs, or the like) Combinations), metal oxides (ruthenium oxide, indium tin oxide, analogs thereof, or combinations thereof), other suitable materials, or combinations thereof. The electrode layers 124B and 126B may use a chemical vapor deposition (CVD) process (such as a low pressure chemical vapor deposition (LPCVD) process or a plasma enhanced chemical vapor deposition process (plasma enhanced process) chemical vapor deposition (PECVD)), physical vapor deposition (PVD) (such as resistance heating evaporation, electron beam evaporation, or sputtering), electroplating, atomic layer deposition (atomic layer) deposition (ALD), other suitable processes, or a combination of the above to form an electrode material on the substrate 102, and then pattern it using lithography and etching processes to form electrode layers 124B and 126B.

在一些實施例中,如第2A圖所示,以電極層126B及井區108作為電容126之兩電極,而在電極層126B及井區108中間夾以介電層126A以組成電容126。 In some embodiments, as shown in FIG. 2A, the electrode layer 126B and the well region 108 are used as the two electrodes of the capacitor 126, and a dielectric layer 126A is sandwiched between the electrode layer 126B and the well region 108 to form the capacitor 126.

在一些實施例中,可以同一道沉積與圖案化製程同時形成閘極124與電容126的介電層126A與電極層126B,以簡化製程及降低成本。 In some embodiments, the dielectric layer 126A and the electrode layer 126B of the gate electrode 124 and the capacitor 126 can be formed simultaneously in the same deposition and patterning process to simplify the process and reduce the cost.

在一些實施例中,橫向擴散金屬氧化物半導體場效電晶體100之上視圖如第1圖所繪示,閘極124為迴圈形(loop shape),包括環形(ring)、圓形(circle)、橢圓形(oval)、及賽道形(race track)。閘極124具有一缺口128,汲極區112位於閘極124的內側,而源極區110位於閘極124的外側,電容126環繞閘極124。在一些實施例中,閘極124、源極區110、與電容126大抵相對於汲極區112共軸(coaxial)。在如第1圖所示的實施例中,汲極區112、閘極124、源極區110、與電容126大抵為圓形(circle)。在一些實施例中,如第1圖所示,隔離區120A位於汲極區112與閘極124之間,而隔離區120B位於源極區110與電容126之間。在一些實施例中,如第1圖所繪示,隔離區120A與隔離區120B在缺口128處連接而成為隔離區120。 In some embodiments, the top view of the laterally diffused metal-oxide-semiconductor field-effect transistor 100 is as shown in FIG. 1, and the gate electrode 124 has a loop shape, including a ring and a circle. ), Oval, and race track. The gate electrode 124 has a gap 128, the drain region 112 is located inside the gate electrode 124, the source region 110 is located outside the gate electrode 124, and the capacitor 126 surrounds the gate electrode 124. In some embodiments, the gate 124, the source region 110, and the capacitor 126 are substantially coaxial with respect to the drain region 112. In the embodiment shown in FIG. 1, the drain region 112, the gate 124, the source region 110, and the capacitor 126 are substantially circular. In some embodiments, as shown in FIG. 1, the isolation region 120A is located between the drain region 112 and the gate 124, and the isolation region 120B is located between the source region 110 and the capacitor 126. In some embodiments, as shown in FIG. 1, the isolation region 120A and the isolation region 120B are connected at the gap 128 to become the isolation region 120.

在一些實施例中,如第1圖及第2A至2B圖所繪示,由於在缺口128處飄移區106與井區108的整體阻值較低,當靜電放電發生時,靜電放電電流130經由缺口128流向接地的電容126,由電容126釋放靜電放電電流130,而不會流向閘極124,避免造成閘極124的損害。此外,由於電容126僅允許交流電流如靜電放電電流130通過,而不允許直流電流通過,因此橫向擴散金屬氧化物半導體場效電晶體100的直流電性並不因設置電容126而有所改變,而可同時維持橫向擴散金屬氧化物半導體場效電晶體100的直流效能。 In some embodiments, as shown in FIG. 1 and FIGS. 2A to 2B, since the overall resistance of the drift region 106 and the well region 108 is lower at the gap 128, when the electrostatic discharge occurs, the electrostatic discharge current 130 passes through The notch 128 flows to the grounded capacitor 126, and the electrostatic discharge current 130 is released by the capacitor 126 without flowing to the gate electrode 124 to avoid causing damage to the gate electrode 124. In addition, since the capacitor 126 only allows an alternating current such as the electrostatic discharge current 130 to pass, and does not allow a direct current, the direct current of the laterally diffused metal oxide semiconductor field effect transistor 100 does not change due to the capacitor 126. The DC performance of the laterally diffused metal oxide semiconductor field effect transistor 100 can be maintained at the same time.

應注意的是,第1圖所繪示的橫向擴散金屬氧化物半導體場效電晶體100僅為一範例,但本發明實施例並不以此為限。在一些實施例中,電容126依設計或製程需求可為圓形、橢圓形、賽道(race track)形、或其他合適的形狀。藉由調整橫向擴散金屬氧化物半導體場效電晶體形狀中直線部分與曲線部分的比例,可調整整體的電場分布,更進一步調整元件的崩潰電壓。在一些實施例中,閘極124可具有一或多個缺口128,視產品需求而定。 It should be noted that the laterally diffused metal oxide semiconductor field effect transistor 100 shown in FIG. 1 is only an example, but the embodiment of the present invention is not limited thereto. In some embodiments, the capacitor 126 may be circular, oval, race track, or other suitable shapes according to design or process requirements. By adjusting the ratio of the straight portion to the curved portion in the shape of the laterally diffused metal oxide semiconductor field effect transistor, the overall electric field distribution can be adjusted, and the breakdown voltage of the device can be further adjusted. In some embodiments, the gate 124 may have one or more gaps 128, depending on the product requirements.

第3圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體200之剖面圖。第3圖係沿閘極缺口處之剖面圖。其中與前述實施例相同或相似的製程或元件將沿用相同的元件符號,其詳細內容將不再贅述。與前述實施例不同之處在於,在飄移區106及井區108之下方設有埋藏層232。在一些實施例中,埋藏層232僅位於閘極缺口處的飄移區106及井區108之下方。在另一些實施例中,埋藏層232可位於迴圈形閘極 處的飄移區106及井區108之下方。在一些實施例中,埋藏層232位於部分飄移區106及全部井區108之下方。在一些實施例中,可於形成飄移區106及井區108之前,透過圖案化罩幕對基板102進行離子佈植形成埋藏層232。在一些實施例中,埋藏層232具有第二導電類型,其摻雜濃度高於飄移區106之第二導電類型摻雜濃度。在一些實施例中,埋藏層232之摻雜濃度介於1e17/cm3至1e19/cm3之間。 FIG. 3 is a cross-sectional view of a laterally diffused metal oxide semiconductor field effect transistor 200 according to some embodiments. Figure 3 is a cross-sectional view along the gate gap. The processes or components that are the same as or similar to those of the foregoing embodiments will use the same component symbols, and the detailed content will not be described again. A difference from the foregoing embodiment is that a buried layer 232 is provided below the drift region 106 and the well region 108. In some embodiments, the buried layer 232 is only located below the drift region 106 and the well region 108 at the gate gap. In other embodiments, the buried layer 232 may be located below the drift region 106 and the well region 108 at the loop-shaped gate. In some embodiments, the buried layer 232 is located below a portion of the drift region 106 and the entire well region 108. In some embodiments, before the drift region 106 and the well region 108 are formed, the substrate 102 may be ion-implanted through the patterned mask to form the buried layer 232. In some embodiments, the buried layer 232 has a second conductivity type, and its doping concentration is higher than the second conductivity type doping concentration of the drift region 106. In some embodiments, the doping concentration of the buried layer 232 is between 1e17 / cm 3 and 1e19 / cm 3 .

在第3圖所示的實施例中,由於埋藏層232的摻雜濃度較高,可更進一步降低阻值,使得靜電放電發生時,靜電放電電流130更傾向經由缺口流向接地的電容126,由電容126釋放靜電放電電流130,而不會流向閘極,避免造成閘極的損害。 In the embodiment shown in FIG. 3, because the doping concentration of the buried layer 232 is high, the resistance value can be further reduced, so that when an electrostatic discharge occurs, the electrostatic discharge current 130 tends to flow through the gap to the grounded capacitor 126, The capacitor 126 releases the electrostatic discharge current 130 without flowing to the gate, thereby avoiding damage to the gate.

第4圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體300之剖面圖。第4圖係沿閘極缺口處之剖面圖。其中與前述實施例相同或相似的製程或元件將沿用相同的元件符號,其詳細內容將不再贅述。與前述實施例不同之處在於,隔離區120之下的頂摻雜區(top doping region)322的摻雜深度並非均勻分布,而是由電容126至汲極區112的方向呈線性遞減。 FIG. 4 is a cross-sectional view of a laterally diffused metal oxide semiconductor field effect transistor 300 according to some embodiments. Figure 4 is a sectional view along the gate gap. The processes or components that are the same as or similar to those of the foregoing embodiments will use the same component symbols, and the detailed content will not be described again. The difference from the previous embodiment is that the doping depth of the top doping region 322 under the isolation region 120 is not uniformly distributed, but decreases linearly from the capacitor 126 to the drain region 112.

在一些實施例中,可於形成隔離區120前,透過圖案化罩幕對基板102進行離子佈植形成頂摻雜區322。在一些實施例中,圖案化罩幕在頂摻雜區322預定區形成非等寬度及非等間距的光阻圖案(圖未示),其中靠近電容126處光阻圖案彼此相距較遠,無光阻區寬度比較寬,而靠近汲極區112處光阻圖 案彼此相距較近,無光阻區寬度比較窄。如此一來,進行離子佈植時,靠近電容126處佈植的摻質較多且較深,靠近汲極區112佈植的摻質較少且較淺。經過退火製程之後,形成如第4圖中所繪示之頂摻雜區322的輪廓。在一些實施例中,頂摻雜區322的摻雜深度由電容126至汲極區112的方向呈線性遞減。在一些實施例中,頂摻雜區322的摻雜濃度由電容126至汲極區112的方向亦呈線性遞減。如此一來,可更進一步改善橫向擴散金屬氧化物半導體場效電晶體300的崩潰電壓及導通電阻(on-resistance,Ron)。 In some embodiments, before the isolation region 120 is formed, the substrate 102 may be ion-implanted through the patterned mask to form the top doped region 322. In some embodiments, the patterned mask forms non-equal-width and non-equidistant photoresist patterns (not shown) in the predetermined region of the top doped region 322, and the photoresist patterns near the capacitor 126 are far away from each other. The width of the photoresistive region is relatively wide, and the photoresistance pattern near the drain region 112 The cases are close to each other, and the width of the non-resistance area is relatively narrow. In this way, when ion implantation is performed, the dopants implanted near the capacitor 126 are more and deeper, and the implants closer to the drain region 112 are less and shallower. After the annealing process, the contour of the top doped region 322 is formed as shown in FIG. 4. In some embodiments, the doping depth of the top doped region 322 decreases linearly from the capacitor 126 to the drain region 112. In some embodiments, the doping concentration of the top doped region 322 decreases linearly from the capacitor 126 to the drain region 112. In this way, the breakdown voltage and on-resistance (Ron) of the laterally diffused metal oxide semiconductor field effect transistor 300 can be further improved.

在第4圖所示的實施例中,由於頂摻雜區322的摻雜深度呈線性遞減,可改善崩潰電壓及導通電阻,同時在靜電放電發生時,靜電放電電流130經由缺口流向接地的電容126,由電容126釋放靜電放電電流130,而不會流向閘極,避免造成閘極的損害。 In the embodiment shown in FIG. 4, since the doping depth of the top doped region 322 decreases linearly, the breakdown voltage and the on-resistance can be improved. At the same time, when an electrostatic discharge occurs, the electrostatic discharge current 130 flows to the grounded capacitor through the gap. 126, the electrostatic discharge current 130 is released by the capacitor 126 without flowing to the gate electrode to avoid causing damage to the gate electrode.

第5圖係根據一些實施例繪示出橫向擴散金屬氧化物半導體場效電晶體400之剖面圖。第5圖係沿閘極缺口處之剖面圖。其中與前述實施例相同或相似的製程或元件將沿用相同的元件符號,其詳細內容將不再贅述。與第3圖之實施例不同之處在於,在缺口處井區408的摻雜濃度大於第3圖之井區108的摻雜濃度,此外,埋藏層432的長度亦較第3圖之埋藏層232短。在一些實施例中,井區408的摻雜濃度介於1e16/cm3至5e18/cm3之間,飄移區106之摻雜濃度介於1e16/cm3至5e17/cm3之間。 FIG. 5 is a cross-sectional view of a laterally diffused metal oxide semiconductor field effect transistor 400 according to some embodiments. Figure 5 is a sectional view along the gate gap. The processes or components that are the same as or similar to those of the foregoing embodiments will use the same component symbols, and the detailed content will not be described again. The difference from the embodiment of FIG. 3 is that the doping concentration of the well region 408 at the notch is greater than the doping concentration of the well region 108 of FIG. 3, and the length of the buried layer 432 is also longer than that of the buried layer of FIG. 232 short. In some embodiments, the doping concentration of the well region 408 is between 1e16 / cm 3 and 5e18 / cm 3 , and the doping concentration of the drift region 106 is between 1e16 / cm 3 and 5e17 / cm 3 .

在第5圖所示的實施例中,由於井區408的摻雜濃 度較高,因此可更進一步降低阻值,使得靜電放電發生時,靜電放電電流130更傾向經由缺口流向接地的電容126,由電容126釋放靜電放電電流130,而不會流向閘極,避免造成閘極的損害。此外,由於井區408已降低阻值,可縮短埋藏層432的長度,可達到利用電容126釋放靜電放電電流130的效果。 In the embodiment shown in FIG. 5, due to the doping concentration of the well region 408, The resistance value is higher, so the resistance value can be further reduced, so that when electrostatic discharge occurs, the electrostatic discharge current 130 is more likely to flow through the gap to the grounded capacitor 126, and the electrostatic discharge current 130 is released by the capacitor 126 without flowing to the gate to avoid causing Damage to the gate. In addition, since the well area 408 has reduced the resistance value, the length of the buried layer 432 can be shortened, and the effect of using the capacitor 126 to discharge the electrostatic discharge current 130 can be achieved.

根據一些實施例,第6圖繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體500之上視圖,第7圖繪示出本發明一些實施例之橫向擴散金屬氧化物半導體場效電晶體500之剖面圖。第7圖係第6圖中沿線段AA’之剖面圖。其中與前述實施例相同或相似的製程或元件將沿用相同的元件符號,其詳細內容將不再贅述。與前述實施例不同之處在於,前述實施例中,源極區與汲極區為迴圈形結構,而如第6圖所示的實施例中,源極區110與汲極區112呈指狀交叉(interdigitated fingers)。此外,前述實施例中電容126包圍閘極124,而如第6圖所示的實施例中,電容526位於鄰近源極區110尖部的兩隔離區520A及520B之間。 According to some embodiments, FIG. 6 illustrates a top view of a laterally diffused metal oxide semiconductor field-effect transistor 500 according to some embodiments of the present invention, and FIG. 7 illustrates a laterally diffused metal oxide semiconductor 500 according to some embodiments of the present invention. A cross-sectional view of a field effect transistor 500. Fig. 7 is a sectional view taken along line AA 'in Fig. 6. The processes or components that are the same as or similar to those of the foregoing embodiments will use the same component symbols, and the detailed content will not be described again. The difference from the previous embodiment is that in the foregoing embodiment, the source region and the drain region are in a loop-shaped structure, while in the embodiment shown in FIG. 6, the source region 110 and the drain region 112 are referred to as Interdigitated fingers. In addition, in the foregoing embodiment, the capacitor 126 surrounds the gate electrode 124. In the embodiment shown in FIG. 6, the capacitor 526 is located between two isolation regions 520A and 520B adjacent to the tip of the source region 110.

根據一些實施例,如第7圖所示,隔離區520A位於鄰近汲極區112的飄移區106中,隔離區520B位於鄰近閘極124的飄移區106中。頂摻雜區522A位於隔離區520A之下,其面積小於隔離區520A之面積,頂摻雜區522B位於隔離區520B之下,其面積小於隔離區520B之面積。在一些實施例中,頂摻雜區522A與頂摻雜區522B相隔一段距離。在一些實施例中,頂摻雜區522A及522B均具有第一導電類型。在一些實施例中,頂摻雜區522A及522B之摻雜濃度介於1e17/cm3至5e18/cm3之 間。 According to some embodiments, as shown in FIG. 7, the isolation region 520A is located in the drift region 106 adjacent to the drain region 112 and the isolation region 520B is located in the drift region 106 adjacent to the gate 124. The top doped region 522A is located below the isolation region 520A and has an area smaller than that of the isolation region 520A. The top doped region 522B is located below the isolation region 520B and has an area smaller than that of the isolation region 520B. In some embodiments, the top doped region 522A is spaced apart from the top doped region 522B. In some embodiments, the top doped regions 522A and 522B each have a first conductivity type. In some embodiments, the doping concentration of the top doped regions 522A and 522B is between 1e17 / cm 3 and 5e18 / cm 3 .

在一些實施例中,電容526鄰近源極區110之尖部,且位於隔離區520A及520B之間的飄移區106之上。在一些實施例中,電容526與閘極124以隔離區520B隔離,電容526與汲極區112以隔離區520A隔離。在一些實施例中,電容526可包括介電層及位於閘極介電層上方的電極層(未繪示),電容526的另一電極為飄移區106。在一些實施例中,電容526之電極層接地。 In some embodiments, the capacitor 526 is adjacent to the tip of the source region 110 and is located above the drift region 106 between the isolation regions 520A and 520B. In some embodiments, the capacitor 526 is isolated from the gate 124 by an isolation region 520B, and the capacitor 526 is isolated from the drain region 112 by an isolation region 520A. In some embodiments, the capacitor 526 may include a dielectric layer and an electrode layer (not shown) above the gate dielectric layer. The other electrode of the capacitor 526 is the drift region 106. In some embodiments, the electrode layer of the capacitor 526 is grounded.

根據一些實施例,如第7圖所繪示,橫向擴散金屬氧化物半導體場效電晶體500更包括覆蓋於基板102上的層間介電層(interlayer dielectric,ILD)534。在一些實施例中,層間介電層534可包括一或多種單層或多層介電材料,例如氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(tetraethoxysilane,TEOS)、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃borophosphosilicate glass,BPSG)、低介電常數介電材料、及/或其他適用的介電材料。低介電常數介電材料可包括但不限於氟化石英玻璃(fluorinated silica glass,FSG)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)、摻雜碳的氧化矽、非晶質氟化碳(fluorinated carbon)、聚對二甲苯(parylene)、苯並環丁烯(bis-benzocyclobutenes,BCB)、或聚醯亞胺(polyimide)。在一些實施例中,層間介電層534可使用化學氣相沉積(chemical vapor deposition,CVD)(例如高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition,HDPCVD)、大氣壓化學氣相沉積(atmospheric pressure chemical vapor deposition,APCVD)、低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)、或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD))、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、旋轉塗佈(spin-on coating)、其他適合技術、或上述之組合形成。 According to some embodiments, as shown in FIG. 7, the laterally diffused metal oxide semiconductor field effect transistor 500 further includes an interlayer dielectric (ILD) 534 overlying the substrate 102. In some embodiments, the interlayer dielectric layer 534 may include one or more single-layer or multi-layer dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, tetraethoxysilane (TEOS), and phosphosilicate glass. (phosphosilicate glass, PSG), borophosphosilicate glass (BPSG), low dielectric constant dielectric materials, and / or other suitable dielectric materials. Low dielectric constant dielectric materials may include, but are not limited to, fluorinated silica glass (FSG), hydrogen silsesquioxane (HSQ), carbon-doped silicon oxide, amorphous carbon fluoride (fluorinated carbon), parylene, bis-benzocyclobutenes (BCB), or polyimide. In some embodiments, the interlayer dielectric layer 534 can use chemical vapor deposition (CVD) (such as high-density plasma chemical vapor deposition (HDPCVD), atmospheric pressure chemical vapor deposition). Atmospheric pressure chemical vapor deposition (APCVD), low-pressure chemical vapor deposition (APCVD) vapor deposition (LPCVD), or plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), atomic layer deposition (ALD), spin coating Formed by spin-on coating, other suitable technologies, or a combination thereof.

在一些實施例中,如第6至7圖所繪示,橫向擴散金屬氧化物半導體場效電晶體500更包括內連結構,包括源極金屬(source metal)536及接點538。在一些實施例中,源極金屬536電性連接源極區110。源極金屬536影響電場分布,進一步影響橫向擴散金屬氧化物半導體場效電晶體500的崩潰電壓。 In some embodiments, as shown in FIGS. 6 to 7, the laterally diffused metal oxide semiconductor field effect transistor 500 further includes an interconnect structure, including a source metal 536 and a contact 538. In some embodiments, the source metal 536 is electrically connected to the source region 110. The source metal 536 affects the electric field distribution and further affects the collapse voltage of the laterally diffused metal oxide semiconductor field effect transistor 500.

在一些實施例中,可使用微影製程(例如覆蓋光阻、軟烤(soft baking)、曝光、曝光後烘烤、顯影、其他合適的技術、或上述之組合)及蝕刻製程(例如濕蝕刻製程、乾蝕刻製程、其他合適的技術、或上述之組合)、其他合適的技術、或上述之組合在層間介電層534中形成開口(圖未示)。接著,在開口中填充導電材料,以形成接點538。在一些實施例中,接點538之導電材料包括金屬材料(例如鎢、鋁、或銅)、金屬合金、多晶矽、其他合適的材料、或上述之組合。接點538可使用物理氣相沉積製程(physical vapor deposition,PVD)(例如蒸鍍法或濺鍍法)、電鍍法、原子層沉積製程(atomic layer deposition,ALD)、其他合適的製程、或上述之組合沉積導電材料,並選擇性地進行化學機械研磨(chemical mechanical polishing,CMP)或回蝕以去除多餘的導電材料形成接點538。 In some embodiments, a lithography process (e.g., covering photoresist, soft baking, exposure, post-exposure baking, development, other suitable techniques, or a combination thereof) and an etching process (e.g., wet etching) may be used Processes, dry etching processes, other suitable technologies, or a combination thereof), other suitable technologies, or a combination of the above to form openings in the interlayer dielectric layer 534 (not shown). Then, a conductive material is filled in the opening to form a contact 538. In some embodiments, the conductive material of the contact 538 includes a metal material (such as tungsten, aluminum, or copper), a metal alloy, polycrystalline silicon, other suitable materials, or a combination thereof. The contact 538 may use a physical vapor deposition (PVD) process (such as a vapor deposition method or a sputtering method), an electroplating method, an atomic layer deposition (ALD) process, other suitable processes, or the foregoing. The combination deposits a conductive material, and optionally performs chemical mechanical polishing (CMP) or etch-back to remove excess conductive material to form the contact 538.

在一些實施例中,填充接點538的導電材料之前, 可於開口的側壁及底部形成阻障層(barrier layer)(圖未示),以防止接點538的導電材料擴散至層間介電層534。阻障層的材料可為氮化鈦(TiN)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)、鎢(W)、氮化鎢(WN)、其他合適的材料、或上述之組合。阻障層可使用物理氣相沉積製程(例如蒸鍍法或濺鍍法)、原子層沉積製程、電鍍法、其他合適的製程、或上述之組合沉積阻障層材料。 In some embodiments, before filling the conductive material of the contact 538, A barrier layer (not shown) may be formed on the sidewall and the bottom of the opening to prevent the conductive material of the contact 538 from diffusing into the interlayer dielectric layer 534. The material of the barrier layer may be titanium nitride (TiN), titanium (Ti), tantalum (Ta), tantalum nitride (TaN), tungsten (W), tungsten nitride (WN), other suitable materials, or the above. Of combination. The barrier layer can be deposited by a physical vapor deposition process (such as an evaporation method or a sputtering method), an atomic layer deposition process, an electroplating method, other suitable processes, or a combination thereof.

在一些實施例中,源極金屬536形成於層間介電層534之上。在一些實施例中,源極金屬536可包括Cu、W、Ag、Ag、Sn、Ni、Co、Cr、Ti、Pb、Au、Bi、Sb、Zn、Zr、Mg、In、Te、Ga、其他合適的金屬材料、上述的合金、或上述之組合。在一些實施例中,源極金屬536可包括Ti/TiN/AlCu/TiN的堆疊結構。在一些實施例中,在層間介電層534上以物理氣相沉積製程(例如蒸鍍法或濺鍍法)、電鍍法、原子層沉積製程、其他適合的製程、或上述之組合形成毯覆(blanket)金屬層(未繪示)。接著,以圖案化製程圖案化毯覆金屬層以形成源極金屬536。在一些實施例中,圖案化製程包括微影製程(例如覆蓋光阻、軟烤(soft baking)、曝光、曝光後烘烤、顯影、其他合適的技術、或上述之組合)、蝕刻製程(例如濕蝕刻製程、乾蝕刻製程、其他合適的技術、或上述之組合)、其他合適的技術、或上述之組合。 In some embodiments, a source metal 536 is formed over the interlayer dielectric layer 534. In some embodiments, the source metal 536 may include Cu, W, Ag, Ag, Sn, Ni, Co, Cr, Ti, Pb, Au, Bi, Sb, Zn, Zr, Mg, In, Te, Ga, Other suitable metal materials, the alloys described above, or a combination thereof. In some embodiments, the source metal 536 may include a stacked structure of Ti / TiN / AlCu / TiN. In some embodiments, a blanket is formed on the interlayer dielectric layer 534 by a physical vapor deposition process (such as a vapor deposition method or a sputtering method), an electroplating method, an atomic layer deposition process, other suitable processes, or a combination thereof. (blanket) metal layer (not shown). Then, the blanket metal layer is patterned by a patterning process to form the source metal 536. In some embodiments, the patterning process includes a lithography process (such as covering photoresist, soft baking, exposure, post-exposure baking, development, other suitable techniques, or a combination thereof), an etching process (such as Wet etching process, dry etching process, other suitable technologies, or a combination thereof), other suitable technologies, or a combination thereof.

根據一些實施例,第6圖繪示出源極金屬536的邊緣536E。值得注意的是,從源極區110至源極金屬536的邊緣536E均為源極金屬536的覆蓋範圍。然而為簡化圖式,於此處僅繪示出源極金屬536的邊緣536E,以便於理解源極金屬536 之下的結構。 According to some embodiments, FIG. 6 illustrates an edge 536E of the source metal 536. It is worth noting that the coverage area of the source metal 536 is from the source region 110 to the edge 536E of the source metal 536. However, to simplify the diagram, only the edge 536E of the source metal 536 is shown here to facilitate understanding of the source metal 536. Under the structure.

如第6圖所繪示,源極金屬536的邊緣536E在源極區110的尖部與汲極區112相距距離XA,而源極金屬536的邊緣536E在源極區110的側部與汲極區112相距距離XB。在一些實施例中,距離XA與距離XB大抵相同。如此一來,可獲得較佳的電場分布,進一步獲得較佳的橫向擴散金屬氧化物半導體場效電晶體500之崩潰電壓。 As shown in FIG. 6, the edge 536E of the source metal 536 is at a distance XA from the tip of the source region 110 and the drain region 112, and the edge 536E of the source metal 536 is at the side of the source region 110 and the drain. The polar regions 112 are separated by a distance XB. In some embodiments, the distance XA is substantially the same as the distance XB. In this way, a better electric field distribution can be obtained, and a better breakdown voltage of the laterally diffused metal oxide semiconductor field effect transistor 500 can be obtained.

在一些實施例中,如第7圖所繪示,源極金屬536覆蓋電容526。如此一來,設置電容526並不影響電場分布,即不影響橫向擴散金屬氧化物半導體場效電晶體500的崩潰電壓。並且,電容526完全位於源極金屬536之下方,並不需要額外的面積設置電容526。 In some embodiments, as shown in FIG. 7, the source metal 536 covers the capacitor 526. In this way, setting the capacitor 526 does not affect the electric field distribution, that is, does not affect the collapse voltage of the laterally diffused metal oxide semiconductor field effect transistor 500. In addition, the capacitor 526 is located completely below the source metal 536, and no additional area is required to set the capacitor 526.

在第6及7圖所示的實施例中,在指狀交叉的橫向擴散金屬氧化物半導體場效電晶體500中,由於電容526設置於汲極區112與閘極124之間,當靜電放電發生時,靜電放電電流530即流向較近的接地電容526,由電容526釋放靜電放電電流530,而不會流向閘極124,避免造成閘極124的損害。此外,由於電容526位於源極金屬536之下方,並不需要額外的面積,亦不影響電場分布及崩潰電壓。 In the embodiment shown in FIGS. 6 and 7, in the laterally diffused metal oxide semiconductor field effect transistor 500 with fingers intersecting, since the capacitor 526 is disposed between the drain region 112 and the gate 124, when the electrostatic discharge occurs When this occurs, the electrostatic discharge current 530 flows to the near-grounded capacitor 526, and the electrostatic discharge current 530 is released by the capacitor 526 without flowing to the gate 124 to avoid causing damage to the gate 124. In addition, since the capacitor 526 is located below the source metal 536, no additional area is required, and the electric field distribution and breakdown voltage are not affected.

綜上所述,本發明實施例提供一種橫向擴散金屬氧化物半導體(lateral diffused metal oxide semiconductor,LDMOS)場效電晶體,利用將電容嵌入於元件之中,可提供釋放靜電放電電流的路徑,而使靜電放電電流不流經閘極而損傷閘極。加入電容並不影響元件的直流效能,也不會明顯增加元 件面積。 In summary, an embodiment of the present invention provides a lateral diffused metal oxide semiconductor (LDMOS) field effect transistor. By embedding a capacitor in a device, a path for discharging electrostatic discharge current can be provided, and Prevent the static discharge current from flowing through the gate and damage the gate. Adding a capacitor does not affect the DC performance of the component, nor does it significantly increase the element. Piece area.

上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本發明實施例之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本發明實施例為基礎,設計或修改其他製程及結構,以達到與本發明實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本發明實施例之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本發明實施例的精神及範圍。 The foregoing outlines the features of many embodiments, so anyone with ordinary knowledge in the technical field can better understand the aspects of the embodiments of the present invention. Any person with ordinary knowledge in the technical field may design or modify other processes and structures based on the embodiments of the present invention without difficulty to achieve the same purpose and / or obtain the same advantages as the embodiments of the present invention. Any person with ordinary knowledge in the technical field should also understand that different changes, substitutions and modifications can be made without departing from the spirit and scope of the embodiments of the present invention. Such equivalent creations do not exceed the spirit and scope of the embodiments of the present invention.

Claims (11)

一種橫向擴散金屬氧化物半導體(lateral diffused metal oxide semiconductor,LDMOS)場效電晶體,包括:一基板,具有一第一導電類型;一本體區(body region),位於該基板之上部,該本體區具有一第一導電類型;一飄移區(drift region),位於該基板之上部且鄰接該本體區,該飄移區具有與該第一導電類型相反之一第二導電類型;一閘極,位於該本體區與該飄移區之上;一源極區,位於該本體區中,該源極區具有該第二導電類型;一汲極區,位於該飄移區中,該汲極區具有該第二導電類型;一第一隔離區,位於該源極區與該汲極區之間的該飄移區中;一頂摻雜區,位於該第一隔離區之下,該頂摻雜區具有該第一導電類型;一電容,位於一井區之上;及一第二隔離區,位於該源極區與該電容之間的該井區中;其中在上視圖中,該閘極為一迴圈形,該汲極區係設置於該迴圈形的內側,該源極區係設置於該迴圈形的外側;其中該迴圈形具有一缺口,且該第一隔離區與該第二隔離區於該缺口處連接,且該電容環繞該閘極。A lateral diffused metal oxide semiconductor (LDMOS) field effect transistor includes: a substrate having a first conductivity type; and a body region located above the substrate, the body region It has a first conductivity type; a drift region located above the substrate and adjacent to the body region, the drift region has a second conductivity type opposite to the first conductivity type; a gate electrode is located in the Above the body region and the drift region; a source region located in the body region, the source region having the second conductivity type; a drain region located in the drift region, the drain region having the second conductivity type Conductivity type; a first isolation region located in the drift region between the source region and the drain region; a top doped region located below the first isolation region, the top doped region having the first A conductive type; a capacitor located above a well region; and a second isolation region located in the well region between the source region and the capacitor; wherein in the top view, the gate is a loop-shaped , The drain region is set in the back Inside the loop shape, the source region is disposed on the outside of the loop shape; wherein the loop shape has a gap, and the first isolation region and the second isolation region are connected at the gap, and the capacitor surrounds The gate. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該閘極、該源極區、該電容大抵共軸(coaxial)。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the scope of the patent application, wherein the gate electrode, the source region, and the capacitor are substantially coaxial. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,更包括:一埋藏層,位於該飄移區或該井區之下,該埋藏層具有該第二導電類型,其中該埋藏層之摻雜濃度大於該飄移區之摻雜濃度。The laterally diffused metal-oxide-semiconductor field-effect transistor as described in item 1 of the scope of the patent application, further comprising: a buried layer located under the drift region or the well region, the buried layer having the second conductivity type, wherein The doping concentration of the buried layer is greater than the doping concentration of the drift region. 如申請專利範圍第3項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該頂摻雜區的摻雜深度由該電容至該汲極區之一方向呈線性遞減。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 3 of the application, wherein the doping depth of the top doped region decreases linearly from one direction from the capacitor to the drain region. 如申請專利範圍第3項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該井區之摻雜濃度大於或等於該飄移區之摻雜濃度。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 3 of the scope of the patent application, wherein the doping concentration in the well region is greater than or equal to the doping concentration in the drift region. 如申請專利範圍第1-5項中任一項所述之橫向擴散金屬氧化物半導體場效電晶體,更包括:一基極區,位於該本體區中且鄰近該源極區,該基極區具有該第一導電類型;及一對摻雜區,位於該電容兩側之該井區中,該對摻雜區具有該第一導電類型。The laterally diffused metal-oxide-semiconductor field-effect transistor according to any one of claims 1 to 5, further comprising: a base region located in the body region and adjacent to the source region, and the base electrode The region has the first conductivity type; and a pair of doped regions in the well region on both sides of the capacitor, the pair of doped regions has the first conductivity type. 如申請專利範圍第1項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該電容於上視圖中為圓形、橢圓形、或賽道(race track)形。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 1 of the scope of the patent application, wherein the capacitor is circular, elliptical, or race track in the top view. 一種橫向擴散金屬氧化物半導體(lateral diffused metal oxide semiconductor,LDMOS)場效電晶體,包括:一基板,具有一第一導電類型;一本體區(body region),位於一基板之上部,該本體區具有一第一導電類型;一飄移區(drift region),位於該基板之上部,且鄰接該本體區,該飄移區具有與該第一導電類型相反之一第二導電類型;一閘極,位於該本體區與該飄移區之上;一源極區,位於該本體區中,該源極區具有該第二導電類型;一汲極區,位於該飄移區中,該汲極區具有該第二導電類型;一第一隔離區,位於鄰近該汲極區的該飄移區中;一第二隔離區,位於鄰近該閘極的該飄移區中;複數個頂摻雜區,位於該第一隔離區及該第二隔離區之下,該些頂摻雜區具有該第一導電類型;一電容,位於該第一隔離區及該第二隔離區之間的該飄移區之上;以及其中於上視圖中,該汲極區及該源極區呈指狀交叉(interdigitated fingers),且該電容鄰近該源極區的一尖部。A laterally diffused metal oxide semiconductor (LDMOS) field effect transistor includes: a substrate having a first conductivity type; a body region located above the substrate; the body region It has a first conductivity type; a drift region is located on the upper part of the substrate and is adjacent to the body region; the drift region has a second conductivity type opposite to the first conductivity type; a gate electrode is located at Above the body region and the drift region; a source region located in the body region, the source region having the second conductivity type; a drain region located in the drift region, the drain region having the first conductive region Two conductive types; a first isolation region located in the drift region adjacent to the drain region; a second isolation region located in the drift region adjacent to the gate electrode; a plurality of top doped regions located in the first region Below the isolation region and the second isolation region, the top doped regions have the first conductivity type; a capacitor is located above the drift region between the first isolation region and the second isolation region; and wherein In top view The drain region and the source region are interdigitated fingers, and the capacitor is adjacent to a tip of the source region. 如申請專利範圍第8項所述之橫向擴散金屬氧化物半導體場效電晶體,更包括:一源極金屬(source metal),電性連接該源極區;其中該源極金屬覆蓋該電容。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 8 of the patent application scope further includes: a source metal electrically connected to the source region; wherein the source metal covers the capacitor. 如申請專利範圍第9項所述之橫向擴散金屬氧化物半導體場效電晶體,其中該源極金屬之一邊緣與該汲極區之一邊緣保持等距。The laterally diffused metal-oxide-semiconductor field-effect transistor according to item 9 of the scope of the patent application, wherein one edge of the source metal is kept at an equal distance from one edge of the drain region. 如申請專利範圍第8-10項中任一項所述之橫向擴散金屬氧化物半導體場效電晶體,更包括:一基極區,位於該本體區中且鄰近該源極區,該基極區具有該第一導電類型。The laterally diffused metal-oxide-semiconductor field-effect transistor according to any one of claims 8-10, further comprising: a base region located in the body region and adjacent to the source region, and the base electrode The region has this first conductivity type.
TW106145521A 2017-12-25 2017-12-25 Lateral diffused metal oxide semiconductor field effect transistor TWI641107B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW106145521A TWI641107B (en) 2017-12-25 2017-12-25 Lateral diffused metal oxide semiconductor field effect transistor
CN201811133880.4A CN109326649B (en) 2017-12-25 2018-09-27 Lateral diffusion metal oxide semiconductor field effect transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106145521A TWI641107B (en) 2017-12-25 2017-12-25 Lateral diffused metal oxide semiconductor field effect transistor

Publications (2)

Publication Number Publication Date
TWI641107B true TWI641107B (en) 2018-11-11
TW201929181A TW201929181A (en) 2019-07-16

Family

ID=65034578

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106145521A TWI641107B (en) 2017-12-25 2017-12-25 Lateral diffused metal oxide semiconductor field effect transistor

Country Status (2)

Country Link
CN (1) CN109326649B (en)
TW (1) TWI641107B (en)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200525730A (en) * 2004-01-16 2005-08-01 System General Corp Isolated high-voltage LDMOS transistor having a split well structure
TW200612556A (en) * 2004-06-23 2006-04-16 Freescale Semiconductor Inc LDMOS transistor
TW200729489A (en) * 2006-01-20 2007-08-01 Taiwan Semiconductor Mfg Co Ltd Semiconductor devices, LDMOS transistors, and integrated circuits
TW201222817A (en) * 2010-11-29 2012-06-01 Macronix Int Co Ltd Low on-resistance RESURF MOS transistor
TW201407779A (en) * 2012-08-14 2014-02-16 United Microelectronics Corp Lateral diffusion metal oxide semiconductor transistor structure
TW201445738A (en) * 2013-05-28 2014-12-01 United Microelectronics Corp Lateral diffused metal-oxide-semiconductor device and manufacturing method thereof
TW201528512A (en) * 2014-01-02 2015-07-16 United Microelectronics Corp Lateral double-diffused metal-oxide-semiconudctor transistor device and layout pattern for LDMOS transistor device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6281554B1 (en) * 2000-03-20 2001-08-28 United Microelectronics Corp. Electrostatic discharge protection circuit
CN104979340B (en) * 2014-04-01 2018-02-13 旺宏电子股份有限公司 Semiconductor structure and ESD protection circuit
KR102405343B1 (en) * 2015-12-15 2022-06-08 삼성전자주식회사 Electrostatic discharge protection device capable of adjusting holding voltage
CN105390491B (en) * 2015-12-17 2018-01-02 江南大学 A kind of LDMOS SCR devices that interdigital NMOS is embedded with source
TWI609486B (en) * 2016-12-30 2017-12-21 新唐科技股份有限公司 High voltage semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200525730A (en) * 2004-01-16 2005-08-01 System General Corp Isolated high-voltage LDMOS transistor having a split well structure
TW200612556A (en) * 2004-06-23 2006-04-16 Freescale Semiconductor Inc LDMOS transistor
TW200729489A (en) * 2006-01-20 2007-08-01 Taiwan Semiconductor Mfg Co Ltd Semiconductor devices, LDMOS transistors, and integrated circuits
TW201222817A (en) * 2010-11-29 2012-06-01 Macronix Int Co Ltd Low on-resistance RESURF MOS transistor
TW201407779A (en) * 2012-08-14 2014-02-16 United Microelectronics Corp Lateral diffusion metal oxide semiconductor transistor structure
TW201445738A (en) * 2013-05-28 2014-12-01 United Microelectronics Corp Lateral diffused metal-oxide-semiconductor device and manufacturing method thereof
TW201528512A (en) * 2014-01-02 2015-07-16 United Microelectronics Corp Lateral double-diffused metal-oxide-semiconudctor transistor device and layout pattern for LDMOS transistor device

Also Published As

Publication number Publication date
TW201929181A (en) 2019-07-16
CN109326649A (en) 2019-02-12
CN109326649B (en) 2021-10-26

Similar Documents

Publication Publication Date Title
TWI646653B (en) Laterally diffused metal oxide semiconductor field effect transistor
US9373620B2 (en) Series connected transistor structure and method of manufacturing the same
US11817396B2 (en) Layout to reduce noise in semiconductor devices
TWI752041B (en) Semiconductor device, integrated circuit, and method of manufacturing semiconductor device
TW201824544A (en) High voltage semiconductor device
US10686036B2 (en) Method of making bipolar transistor
TWI673880B (en) Laterally diffused metal oxide semiconductor device
TWI641107B (en) Lateral diffused metal oxide semiconductor field effect transistor
US11362085B2 (en) High-voltage semiconductor device
TWI618241B (en) High voltage semiconductor device and method of manufacturing the same
TWI658590B (en) High-voltage semiconductor devices and methods for manufacturing the same
US10600909B2 (en) Semiconductor devices and methods for fabricating the same
CN110164972B (en) Lateral diffusion metal oxide semiconductor field effect transistor and forming method thereof
US10388758B2 (en) Semiconductor structure having a high voltage well region
TWI684209B (en) Semiconductor structure and method for fabricating the same
CN110690116A (en) Semiconductor structure and manufacturing method thereof
US9070766B1 (en) Semiconductor device and method of forming the same
CN110444582B (en) High voltage semiconductor device and method of forming the same
TWI682540B (en) Semiconductor device and method for forming the same
US20240096706A1 (en) Semiconductor device including thin film transistor and method for forming the same
CN111146284B (en) Semiconductor device and method for manufacturing the same
TWI684213B (en) Semiconductor structure and method for forming the same
CN109346510B (en) Semiconductor device and method of forming the same
TWI575707B (en) Semiconductor device and method of manufacturing the same
TWI399859B (en) Semiconductor structure and fabrication method thereof