TWI608538B - 透過快閃增長之部分蝕刻記憶 - Google Patents

透過快閃增長之部分蝕刻記憶 Download PDF

Info

Publication number
TWI608538B
TWI608538B TW105109947A TW105109947A TWI608538B TW I608538 B TWI608538 B TW I608538B TW 105109947 A TW105109947 A TW 105109947A TW 105109947 A TW105109947 A TW 105109947A TW I608538 B TWI608538 B TW I608538B
Authority
TW
Taiwan
Prior art keywords
substrate
passivation layer
facet
plasma
etch
Prior art date
Application number
TW105109947A
Other languages
English (en)
Other versions
TW201705268A (zh
Inventor
艾略特 法蘭克
維納亞克 哈斯托基
高明輝
伊藤清仁
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201705268A publication Critical patent/TW201705268A/zh
Application granted granted Critical
Publication of TWI608538B publication Critical patent/TWI608538B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

透過快閃增長之部分蝕刻記憶
本發明係關於用於基板處理的方法及系統,更具體而言,係關於使用側壁刻面、及快閃增長(flash addition)、或鈍化生長以圖案化側壁形狀的方法及系統。
隨著特徵部之縮放持續主宰微電子的發展,特定結構之產生可能係為昂貴且複雜的製程。通常此等製程可能難以控制。以下為用以簡易地產生具有許多未來應用性之獨特結構輪廓的方法。
本發明係關於乾式電漿處理,其使用眾所周知的側壁刻面之蝕刻特性及鈍化層之生長,以產生新的、獨特的、且新穎的矽輪廓。利用由於刻面的表面所造成之二次、原位生長之硬遮罩的相對蝕刻率,容許成功的圖案轉移。存在有一組處理的需求,其中可控制該組處理以針對特殊應用而產生結構的目標側壁輪廓。
本說明書所提供的係使用刻面及鈍化層在基板上產生結構輪廓的方法。所執行的第一電漿蝕刻製程產生刻面的側壁及期望的轉折點;第二電 漿蝕刻製程係使用氧、氮、或組合氧及氮的電漿來執行,而產生鈍化層;而第三電漿蝕刻製程在該刻面的側壁及該鈍化層上使用蝕刻化學物的操作變量,以造成差別的蝕刻率而達到結構的接近水平之表面上的突破(breakthrough),其中所使用之第三電漿蝕刻係配置以在基板上產生下至下方停止層的目標側壁輪廓。在該第一電漿蝕刻製程、該第二電漿蝕刻製程、及/或該第三電漿蝕刻製程的執行中控制選擇之兩或更多電漿蝕刻變量,以達到目標側壁輪廓的目的。
本說明書亦提供用於處理基板以達成目標側壁之目標輪廓的系統,該系統包含:蝕刻系統,其包含耦接至電漿產生器的製程腔室、蝕刻劑氣體輸送系統、控制器、電源、及真空系統,其中該蝕刻系統係配置以執行下列製程:第一電漿蝕刻製程,其在該基板上產生刻面的側壁,該蝕刻製程在圖案化硬遮罩周圍向下蝕刻至期望之轉折點;第二電漿蝕刻製程,其使用氧、氮、或組合氧及氮的電漿,該第二電漿蝕刻製程產生鈍化層;及第三電漿蝕刻製程,其在該刻面的側壁及該鈍化層上使用蝕刻化學物的操作變量,以造成差別的蝕刻率而達到結構的接近水平之表面上的突破,其中所使用之第三電漿蝕刻係配置以在該基板上產生下至下方停止層的目標側壁輪廓;其中刻面技術及鈍化層之使用係結合以達到該結構之目標側壁輪廓的目的。
100‧‧‧例示性結構輪廓示意圖
112‧‧‧下方停止層
116‧‧‧膜堆疊
118‧‧‧圖案化硬遮罩
120‧‧‧基板
140‧‧‧例示性結構輪廓示意圖
146‧‧‧基板
152‧‧‧轉折點
164‧‧‧圖案化硬遮罩
150‧‧‧例示性結構輪廓示意圖
156‧‧‧鈍化層
158‧‧‧刻面
160‧‧‧基板
170‧‧‧例示性結構輪廓示意圖
186‧‧‧刻面側壁
188‧‧‧鈍化層
190‧‧‧基板
192‧‧‧下方停止層
200‧‧‧例示性結構圖像
204‧‧‧硬遮罩
208‧‧‧刻面
212‧‧‧基板
216‧‧‧下方停止層
230‧‧‧例示性結構圖像
236‧‧‧鈍化層
238‧‧‧刻面
260‧‧‧例示性結構圖像
264‧‧‧硬遮罩
266‧‧‧刻面側壁
268‧‧‧鈍化層
270‧‧‧目標側壁輪廓
272‧‧‧結構
276‧‧‧基板
278‧‧‧下方停止層
300‧‧‧例示性簡化輪廓示意圖
304‧‧‧硬遮罩
308‧‧‧接近垂直之表面
312‧‧‧接近水平之表面
316‧‧‧鈍化層
320‧‧‧基板
324‧‧‧下方停止層
328‧‧‧目標側壁輪廓
330‧‧‧例示性簡化輪廓示意圖
334‧‧‧硬遮罩
338‧‧‧接近垂直之表面
342‧‧‧接近水平之表面
346‧‧‧鈍化層
350‧‧‧基板
354‧‧‧下方停止層
358‧‧‧目標側壁輪廓
400‧‧‧例示性圖像
404‧‧‧硬遮罩
412‧‧‧接近垂直之表面
414‧‧‧結構
416‧‧‧轉折點
420‧‧‧接近水平之表面
450‧‧‧例示性圖像
456‧‧‧第一結構
474‧‧‧硬遮罩
476‧‧‧第二結構
478‧‧‧接近垂直之表面
482‧‧‧接近垂直之表面
486‧‧‧側壁輪廓
500‧‧‧目標結構的例示性簡化輪廓示意圖
502‧‧‧硬遮罩
504‧‧‧臨界尺寸1
506‧‧‧結構
508‧‧‧推拔角
512‧‧‧臨界尺寸3
514‧‧‧轉折點
516‧‧‧高度3
520‧‧‧高度1
522‧‧‧下方層
524‧‧‧臨界尺寸2
528‧‧‧高度2
600‧‧‧例示性穿透式電子顯微鏡圖像
608‧‧‧臨界尺寸1
610‧‧‧結構
612‧‧‧臨界尺寸2
614‧‧‧硬遮罩
616‧‧‧轉折高度1
618‧‧‧鈍化層
700‧‧‧目標輪廓
704‧‧‧硬遮罩
708‧‧‧刻面
712‧‧‧轉折點
720‧‧‧目標輪廓
724‧‧‧硬遮罩
728‧‧‧刻面
732‧‧‧轉折點
740‧‧‧目標輪廓
744‧‧‧硬遮罩
748‧‧‧刻面
752‧‧‧轉折點
756‧‧‧彎曲輪廓的結構形狀
760‧‧‧目標輪廓
764‧‧‧硬遮罩
768‧‧‧刻面
772‧‧‧轉折點
776‧‧‧下切輪廓的結構形狀
780‧‧‧目標輪廓
786‧‧‧轉折點
790‧‧‧轉折點
800‧‧‧例示性製程流程圖
804‧‧‧操作
808‧‧‧操作
812‧‧‧操作
816‧‧‧操作
820‧‧‧操作
900‧‧‧電漿處理系統
910‧‧‧電漿處理腔室
920‧‧‧基板固持器
922‧‧‧電極
925‧‧‧基板
926‧‧‧背側氣體供應系統
928‧‧‧夾持系統
930‧‧‧射頻產生器
931‧‧‧脈衝偏壓信號控制器
932‧‧‧阻抗匹配網路
940‧‧‧氣體分配系統
945‧‧‧電漿處理區域
950‧‧‧真空泵系統
955‧‧‧控制器
併入並構成本說明書一部分的隨附圖式說明本發明之實施例,且偕同上述給予之發明的整體描述及下文給予之詳細描述,用以描述本發明:圖1A描繪在本發明之一實施例中的整合方案中,所接收之膜堆疊的例示性結構輪廓示意圖。
圖1B描繪在本發明之一實施例中的整合方案中,在第一電漿蝕刻後的例示性結構輪廓示意圖。
圖1C描繪在本發明之一實施例中的整合方案中,在第二電漿蝕刻後的例示性結構輪廓示意圖。
圖1D描繪在本發明之一實施例中的整合方案中,在第三電漿蝕刻後的例示性結構輪廓示意圖。
圖2A描繪在本發明之一實施例中的整合方案中,在第一電漿蝕刻後的例示性結構圖像。
圖2B描繪在本發明之一實施例中的整合方案中,在第二電漿蝕刻後的例示性結構圖像。
圖2C描繪在本發明之一實施例中的整合方案中,在第三電漿蝕刻後的例示性結構圖像。
圖3A係為結構的例示性簡化輪廓示意圖,其強調接近水平的表面上之差別的蝕刻率。
圖3B係為結構的例示性簡化輪廓示意圖,其強調接近垂直的表面上之保護率。
圖4A係為在本發明之一實施例中,結構及由鈍化層所提供之保護物的例示性圖像。
圖4B係為在本發明之另一實施例中,結構及由鈍化層所提供之保護物的例示性圖像。
圖5係為在本發明之一實施例中,目標結構的例示性簡化輪廓示意圖。
圖6係為在電漿蝕刻製程後之結構的例示性圖像,其強調目標輪廓臨界尺寸及轉折點。
圖7A描繪六邊形之結構的目標輪廓,其中側邊為實質上直線,而其中轉折點係位於結構高度之中點的上方。
圖7B描繪六邊形之結構的目標輪廓,其中側邊為實質上直線,而其中轉折點係位於結構高度之中點的下方。
圖7C描繪六邊形之結構的目標輪廓,其中轉折點以下的側邊為曲線。
圖7D描繪六邊形之結構的目標輪廓,其中轉折點以下的側邊為下切線。
圖7E描繪十邊形之結構的目標輪廓,其中側邊為實質上直線,且其中存在沿著結構高度的兩或更多轉折點。
圖8為使用快閃增長或鈍化層之部分蝕刻記憶的方法之例示性製程流程圖。
圖9為在本發明之一實施例中使用快閃增長或鈍化層來執行部分蝕刻記憶之方法的例示性系統圖。
本說明書呈現用於圖案化側壁形狀之方法及系統。然而,熟習相關技術領域者將認可,不需具體細節中的一或更多者,或以其他取代及/或額外的方法、材料,或元件,仍可執行各種實施例。在其他情況下,為了避免混淆本發明之各種實施例的態樣,不詳加顯示或描述眾所周知的結構、材料,或操作。
同樣地,為了說明之目的,闡明特定數字、材料,與配置以提供本發明之全面了解。儘管如此,本發明可在不具有具體細節的情況下實施。再 者,應瞭解,圖中所示的各種實施例係為說明性之圖示且未必按比例繪製。參照圖式,相似的數字皆指涉相似的部件。
本說明書全文中所提及之「一個實施例」或「一實施例」或其變化,係表示關於該實施例所述之特定特徵、結構、材料、或特性係包含於本發明之至少一實施例中,但不表示其存在於每個實施例中。因此,出現在本說明書全文各處中的用語,例如「在一個實施例中」或「在一實施例中」未必指涉本發明之相同的實施例。再者,可以任何適當的方式將特定特徵、結構、材料、或特性結合於一或更多實施例中。在其他的實施例中,可包含各種額外的層及/或結構,及/或可省略所描述之特徵。
此外,應瞭解,除非另有明確聲明,否則「一(a)」或「一(an)」可意指「一或更多」。
各種操作將以最有助於理解本發明的方式依序描述為複數個獨立操作。然而,描述的順序不應被理解為暗示該等操作必須為順序相依。具體而言,該等操作無須依描述的順序來執行。可以與所述之實施例不同的順序來執行所述之操作。在額外的實施例中,可執行各種額外之操作,及/或可省略所述之操作。
如本說明書中所使用,用語「基板」意指並包含材料係形成於其上之基底材料或結構。將察知,基板可包含單一材料、複數層不同材料、其中具有不同材料或不同結構之區域的一層或複數層等。此等材料可包含半導體、絕緣體、導體、或其組合。例如,基板可為半導體基板、支撐結構上的基底半導體層、具有形成於其上之一或更多層、結構或區域的金屬電極或半導體基板。基板可為習知的矽基板或包含半導電性材料層的其他主體基板。如本說明書中所使用,用語「主體基板」不僅意指並包含矽晶圓,還有矽覆絕緣體(silicon-on-insulator,SOI)基板(例如矽覆藍寶石(silicon-on-sapphire,SOS)基板及 矽覆玻璃(silicon-on-glass,SOG)基板)、基底半導體基部上的矽磊晶層、及其他半導體或光電材料(例如矽鍺、鍺、砷化鎵、氮化鎵、及磷化銦)。基板可為摻雜的或未摻雜的。
現參照圖式,其中相似的參考數字指示遍及數個視圖之相同的或對應的部件。
圖1A描繪在本發明之一實施例中的整合方案中,基板120之膜堆疊116的例示性結構輪廓示意圖100。膜堆疊116包含具有第一臨界尺寸(CD1)之圖案化硬遮罩118、及下方停止層112。
圖1B描繪在本發明之一實施例中的整合方案中,在第一電漿蝕刻後的例示性結構輪廓示意圖140。第一電漿蝕刻製程在圖案化硬遮罩164周圍向下蝕刻至轉折點152,而留下基板146之高度H1。
圖1C描繪在本發明之一實施例中的整合方案中,在第二電漿蝕刻後的例示性結構輪廓示意圖150。第二電漿蝕刻在基板160之刻面158上施加快閃增長(flash addition)或鈍化層156。
圖1D描繪在本發明之一實施例中的整合方案中,在第三電漿蝕刻後的例示性結構輪廓示意圖170。在刻面側壁186及鈍化層188上使用蝕刻化學物之操作變量,以造成差別的蝕刻率而達到結構的接近水平之表面上的突破,其中所使用之第三電漿蝕刻係配置以在基板190上產生下至下方停止層192的目標側壁輪廓。該系列電漿蝕刻製程係配置以達到CD1、第二臨界尺寸CD2、及基板190之結構高度H1的目標。
圖2A描繪在本發明之一實施例中的整合方案中,在第一電漿蝕刻後的例示性結構圖像200。硬遮罩204係位於下方停止層216上方的基板212之頂端。基板212之蝕刻移除了基板212未受硬遮罩204所保護的部分至由側壁中的刻面208所顯現的水平面。
圖2B描繪在本發明之一實施例中的整合方案中,在第二電漿蝕刻後的例示性結構圖像230。快閃增長或鈍化層236係為第二電漿蝕刻的結果,該第二電漿蝕刻對刻面238之表面使用氧、氮、或組合氧及氮的快閃增長。
圖2C描繪在本發明之一實施例中的整合方案中,在第三電漿蝕刻後的例示性結構圖像260。如上所提及,在刻面側壁266及鈍化層268上使用蝕刻化學物之操作變量,以造成差別的蝕刻率而達到結構272的接近水平之表面上的突破,其中所使用之第三電漿蝕刻係配置以保留硬遮罩264並在基板276上產生下至下方停止層278的目標側壁輪廓270。
圖3A係為結構的例示性簡化輪廓示意圖300,其強調接近水平的表面上之差別的蝕刻率。硬遮罩304保護結構之頂端免於蝕刻製程。快閃增長或鈍化層316亦保護接近垂直之表面308,而較少保護下方停止層324上方之基板320的接近水平之表面312。如上所提及,差別的蝕刻率(例如接近垂直之表面308上的蝕刻率1(ER1)相對於蝕刻率2(ER2))係用以達到結構的接近水平之表面312上的突破,其中所使用之第三電漿蝕刻係配置以保留硬遮罩304並在基板320上產生下至下方停止層324的目標側壁輪廓328。
圖3B係為結構的例示性簡化輪廓示意圖330,其強調接近垂直的表面上之保護率。硬遮罩334保護結構之頂端免於蝕刻製程。快閃增長或鈍化層346亦保護接近垂直之表面338,而較少保護下方停止層354上方之基板350的接近水平之表面342。相較於圖3A,差別的蝕刻率(例如接近垂直之表面338上的蝕刻率1(ER1)相對於蝕刻率2(ER2))係用以達到結構的接近水平之表面342上的突破,其在圖3B中所示的情況中係更加明顯,其中所使用之第三電漿蝕刻係配置以保留硬遮罩334並在基板350上產生下至下方停止層354的較大且較寬之目標側壁輪廓358。
圖4A係為在本發明之一實施例中,結構及由鈍化層所提供之保護物的例示性圖像400。六邊形的結構414係為第一、第二、及第三電漿蝕刻製程的結果,其中保留硬遮罩404,且接近垂直之表面412係相對地受到保護,而接近水平之表面420自轉折點416開始已被蝕刻。
圖4B係為在本發明之一實施例中,結構的例示性圖像450,該結構係使用刻面及由鈍化層所提供之保護物的組合所製造。第一結構456係在本發明之另一實施例中使用刻面及由鈍化層所提供之保護物的組合所製造。第二結構476亦為本發明之另一實施例中使用刻面及由鈍化層所提供之保護物的組合所製造。保留硬遮罩474,且藉由鈍化層並使用基板的接近垂直之表面與接近水平之表面間的差別之非等向性蝕刻率,而使接近垂直之表面478及482的大部分亦受到保護而免於蝕刻製程。在第二結構476中,使用等向性蝕刻製程來替代先前所使用之非等向性蝕刻製程,而造成以實質上完全向下的及橫向的方向蝕刻基板,而產生在轉折點正下方之圓形的側壁輪廓486。
圖5係為在本發明之一實施例中,目標結構的例示性簡化輪廓示意圖500。存在複數目標臨界尺寸(CDs)及相關變量,其可在製造過程中受到控制及/或最佳化,其中該製造過程之目的包含結構506之目標側壁輪廓。CDs包含CD1 504(其為頂部CD)、CD2 524(其為底部CD)、及CD3 512(其為結構506之刻面在轉折點514處的寬度)。結構506的其他尺寸包含結構除硬遮罩502以外的高度H2 528、結構506自下方層522至轉折點514的轉折高度H1 520、及結構506自轉折點514至結構506之頂部除硬遮罩502以外的高度H3 516。其他變量可自先前列出的其他者而推導出,例如推拔角(taper angle)508、推拔角508的切線、作為H3 516之函數的CD3、或作為H3 516與CD1 504之函數的CD2 524。
圖6係為在電漿蝕刻製程後之結構610的例示性穿透式電子顯微鏡(TEM,transmission electron microscopy)圖像600,其強調目標輪廓臨界尺寸 CD1 608、CD2 612、及轉折高度H1 616。TEM圖像600亦強調硬遮罩614及鈍化層618。
圖7A描繪六邊形之結構的目標輪廓700,其中側邊為實質上直線,而其中轉折點係位於結構高度之中點的上方。在刻面蝕刻產生下至轉折點712的刻面708之後保留硬遮罩704,該轉折點實質上係位於結構高度之中點,其中轉折高度實質上係位於結構高度之中點。
圖7B描繪六邊形之結構的目標輪廓720,其中側邊為實質上直線,而其中轉折點732係位於結構高度之中點的下方。在刻面蝕刻產生下至轉折點732的刻面728之後保留硬遮罩724,該轉折點實質上係位於結構高度之中點下方,其中轉折高度實質上係位於結構高度之中點下方。或者,轉折點732實質上可位於結構高度之中點上方。
圖7C描繪六邊形之結構的目標輪廓740,其中轉折點以下的側邊為曲線。在刻面蝕刻產生下至轉折點752的刻面748之後保留硬遮罩744,該轉折點實質上係位於結構高度之中點或位於其下方,其中實施非等向性第二蝕刻與作為第三蝕刻製程之等向性過度蝕刻以造成結構形狀756為彎曲的輪廓。或者,轉折點752實質上可位於結構高度之中點上方。
圖7D描繪六邊形之結構的目標輪廓760,其中轉折點以下的側邊為下切線。在刻面蝕刻產生下至轉折點772的刻面768之後保留硬遮罩764,該轉折點實質上係位於結構高度之中點或位於其下方,其中實施下切第三蝕刻以造成結構形狀776為下切輪廓。或者,轉折點772實質上可位於結構高度之中點上方。
圖7E描繪十邊形之結構的目標輪廓780,其中側邊為實質上直線,且其中存在沿著結構高度的兩轉折點786及790。如圖7A至圖7E中可見,目標形狀可為多邊形,其包含直的側邊、彎曲的側邊、或直的與彎曲的側邊兩者 之組合。再者,存在沿著結構高度的一或更多轉折點。藉由改變第一、第二、及第三蝕刻製程的操作變量,藉由使用非等向性或等向性蝕刻或製程中的過度蝕刻與蝕刻不足、或藉由改變第一蝕刻率相對於第二蝕刻率間的差異,可在所處理之結構上製造不同的或獨特的側壁輪廓。
圖8為使用快閃增長或鈍化層之部分蝕刻記憶的方法之例示性製程流程圖800。在操作804中,在蝕刻系統之製程腔室中提供基板,該基板包含圖案化介電硬遮罩、結晶矽之基板,及下方停止層。圖案化介電硬遮罩可包含矽氧化物,而下方停止層可包含矽氮化物。在操作808中,執行第一電漿蝕刻製程,該蝕刻在基板上產生刻面的側壁。在第一電漿製程中所使用的蝕刻化學物可包含HBr/O2/He或HBr/O2/SF6/He。在操作812中,執行第二電漿蝕刻製程,其使用氧、氮、或氧及氮的組合,該蝕刻產生快閃增長或鈍化層。
在操作816中,執行第三電漿蝕刻製程,其在刻面的側壁及鈍化層上使用蝕刻化學物的操作變量,以造成差別的蝕刻率。在第三電漿製程中所使用的蝕刻化學物可包含Cl2/SF6/CHF3/O2/N2。鈍化層實際上係作為二次原位硬遮罩。在刻面的側壁及鈍化層上使用蝕刻化學物的操作變量,以造成差別的蝕刻率而達到結構的接近水平之表面上的突破,其中所使用之第三電漿蝕刻係配置以在基板上產生下至該下方停止層的目標側壁輪廓。該系列電漿蝕刻製程係配置以達到第一臨界尺寸CD1、第二臨界尺寸CD2、及基板之結構高度H1的目標。其他目標可包含特定形狀(例如六邊形、八邊形、或十邊形)、及/或沿基板高度之不同的轉折點配置。鈍化層之厚度可於自3nm至5nm的範圍中。推拔角可於自10度至60度的範圍中。
在操作820中,在第一電漿蝕刻製程、第二電漿蝕刻製程、及/或第三電漿蝕刻製程的執行中,同時控制兩或更多電漿蝕刻變量,以達到目標側壁輪廓之目的。
圖9為在本發明之一實施例中使用快閃增長或鈍化層來執行部分蝕刻記憶之方法的例示性系統圖。圖9中描繪配置以執行上述所定義之製程條件的電漿處理系統900,且其包含電漿處理腔室910、基板固持器920(待處理的基板925係固定於其上)、及真空泵系統950。基板925可為半導體基板、晶圓、平面顯示器、或液晶顯示器。電漿處理腔室910可配置以促進在鄰近基板925表面之電漿處理區域945中的電漿產生。可離子化之氣體或製程氣體混合物係經由氣體分配系統940而導入。對於既定的製程氣體流而言,可使用真空泵系統950來調節製程壓力。電漿可用以產生針對預定材料製程的材料,及/或協助自基板925之暴露表面移除材料。電漿處理系統900可配置以處理任何期望尺寸之基板,例如200mm基板、300mm基板、或更大者。
藉由夾持系統928,如機械式夾持系統或電性夾持系統(如靜電式夾持系統),可使基板925固定於基板固持器920。再者,基板固持器920可包含加熱系統(未顯示)或冷卻系統(未顯示),其配置以調節及/或控制基板固持器920及基板925之溫度。加熱系統或冷卻系統可包含傳熱流體之迴流,當進行冷卻時,該傳熱流體之迴流接受來自基板固持器920的熱,並將熱傳遞至熱交換器系統(未顯示),或者當進行加熱時,該傳熱流體之迴流將來自熱交換器系統之熱傳遞至基板固持器920。在其他實施例中,加熱/冷卻元件(例如電阻加熱元件、或熱-電加熱器/冷卻器)可包含於基板固持器920、以及電漿處理腔室910之腔室壁、及電漿處理系統900內的任何其他元件之中。
此外,藉由背側氣體供應系統926,可將傳熱氣體輸送至基板925的背側,以改善基板925及基板固持器920之間的氣-隙熱傳導。當基板的溫度控制被要求在升高或降低之溫度時,即可利用此類系統。例如,該背側氣體供應系統可包含兩區式氣體分配系統,其中氦之氣-隙壓力可在基板925的中央與邊緣之間獨立變化。
在圖9中所示之實施例中,基板固持器920可包含電極922,射頻(RF)功率係透過該電極而耦合至電漿處理區域945的處理電漿。例如,經由自射頻產生器930透過可選擇的阻抗匹配網路932至基板固持器920的射頻功率傳輸,基板固持器920可在射頻電壓下受到電性偏壓。該射頻電性偏壓可用以加熱電子以形成並維持電漿。在此配置中,系統可作為反應性離子蝕刻(RIE,reactive ion etch)反應器而操作,其中腔室及上部氣體注入電極係作為接地表面。射頻偏壓的典型頻率可自約0.1MHz分布至約100MHz。用於電漿處理之射頻系統係為熟習本技術領域者所熟知。
再者,可使用脈衝偏壓信號控制器931來使在射頻電壓下之電極922的電性偏壓產生脈衝。來自射頻產生器930的射頻功率輸出可於關閉狀態與開啟狀態間產生脈衝。或者,在許多頻率下將射頻功率係施加至基板固持器電極。再者,藉由減少反射功率,阻抗匹配網路932可改善對電漿處理腔室910中之電漿的射頻功率傳遞。匹配網路拓樸(例如:L型、π型、T型等)及自動控制方法係為熟習本技術領域者所熟知。
氣體分配系統940可包含用於導入製程氣體之混合物的噴淋頭設計。或者,氣體分配系統940可包含用於導入製程氣體混合物以及調節在基板925上方之製程氣體混合物分配的多區式噴淋頭設計。例如,多區式噴淋頭設計可配置以相對於到達基板925上方的實質中央區域之製程氣體流或組成的量,而調節到達基板925上方之實質周緣區域的製程氣體流或組成。
真空泵系統950可包含抽取速度能夠高達每秒8000升(以上)的渦輪分子式真空泵浦(TMP,turbo-molecular vacuum pump)、及用於調節腔室壓力的閘閥。在用於乾式電漿蝕刻的習知電漿處理裝置中,可使用每秒1000至3000升的TMP。TMP對於低壓處理(通常小於約50mTorr)係為有用的。而對於高壓處 理(亦即大於約100mTorr),可使用機械增壓泵浦及乾式粗抽泵浦。再者,可將用於監控腔室壓力的裝置(未顯示)耦接至電漿處理腔室910。
如上所及提及,控制器955可包含微處理器、記憶體、及數位I/O埠,其能夠產生控制電壓,該控制電壓足以傳輸並啟動對於電漿處理系統900的輸入,並且監控來自電漿處理系統900的輸出。此外,控制器955可耦接至下列裝置並可與之交換資訊:射頻產生器930、脈衝偏壓信號控制器931、阻抗匹配網路932、氣體分配系統940、真空泵系統950、以及基板加熱/冷卻系統(未顯示)、背側氣體供應系統926、及/或靜電式夾持系統928。例如,儲存在該記憶體中的程式可用以依據製程配方來啟動對於電漿處理系統900之上述元件的輸入,以在基板925上執行電漿輔助製程,例如電漿蝕刻製程。
對於熟習本技術領域者而說,額外的好處及修改將為顯而易見。本發明在其較廣泛的態樣中因而不限於所示與所述之特定細節、代表性設備及方法、以及說明性範例。因此,在不悖離整體發明概念之範圍的情況下,可對此類細節進行變更。
500‧‧‧目標結構的例示性簡化輪廓示意圖
502‧‧‧硬遮罩
504‧‧‧臨界尺寸1
506‧‧‧結構
508‧‧‧推拔角
512‧‧‧臨界尺寸3
514‧‧‧轉折點
516‧‧‧高度3
520‧‧‧高度1
522‧‧‧下方層
524‧‧‧臨界尺寸2
528‧‧‧高度2

Claims (27)

  1. 一種使用刻面及鈍化層在基板上產生結構輪廓的方法,該方法包含下列步驟: 在蝕刻系統之製程腔室中提供該基板,該基板包含結構,其中該結構為圖案化硬遮罩與下方停止層,而該圖案化硬遮罩為介電硬遮罩,且該結構在該圖案化硬遮罩上方具有結構高度; 執行第一電漿蝕刻製程,其在該基板上產生刻面的側壁,該第一電漿蝕刻製程在該圖案化硬遮罩周圍向下蝕刻至期望之轉折點; 執行第二電漿蝕刻製程,其使用氧、氮、或組合氧及氮的電漿,該第二電漿蝕刻製程產生鈍化層; 執行第三電漿蝕刻製程,其在該刻面的側壁及該鈍化層上使用蝕刻化學物的操作變量,以造成差別的蝕刻率而達到該結構的接近水平之表面上的突破,其中所使用之該第三電漿蝕刻製程係配置以在該基板上產生下至該下方停止層的目標側壁輪廓; 其中刻面技術及鈍化層之使用係結合以達到該結構之目標側壁輪廓的目的。
  2. 如申請專利範圍第1項之使用刻面及鈍化層在基板上產生結構輪廓的方法,更包含下列步驟: 在該第一電漿蝕刻製程、該第二電漿蝕刻製程、及/或該第三電漿蝕刻製程的執行中控制選擇之兩或更多電漿蝕刻變量,以達到目標側壁輪廓的目的。
  3. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該鈍化層係作為二次原位硬遮罩。
  4. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該結構的最終臨界尺寸(CD, critical dimension)係為該第一電漿蝕刻製程之蝕刻深度、該側壁之推拔角、及該鈍化層之厚度的函數。
  5. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中在該第一電漿蝕刻製程中所使用之蝕刻化學物包含HBr/O2 /He或HBr/O2 /SF6 /He。
  6. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中在該第三電漿蝕刻製程中所使用之蝕刻化學物包含Cl2 /SF6 /CHF3 /O2 /N2
  7. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該下方停止層包含矽氧化物,該圖案化硬遮罩包含矽氮化物,而該圖案化硬遮罩係使用圖像轉移製程而產生。
  8. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該側壁之刻面的該推拔角及該鈍化層之厚度促進差別的蝕刻率,俾在該第三電漿蝕刻製程中達到該結構的接近水平之表面中的突破。
  9. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該第三電漿蝕刻製程係為非等向性蝕刻,而該轉折點係位於該結構之高度的中間點上方。
  10. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該第三電漿蝕刻製程係為非等向性蝕刻,而該轉折點係位於該結構之高度的中間點。
  11. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該第三電漿蝕刻製程係為非等向性蝕刻,而該轉折點係位於該結構之高度的中間點下方。
  12. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該第三電漿蝕刻製程包含非等向性蝕刻及等向性過度蝕刻,而該轉折點係位於該結構之高度的中間點下方。
  13. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該第三電漿蝕刻製程包含非等向性蝕刻,而該轉折點係位於該結構之高度的中間點上方,其中該蝕刻在該結構中產生下切。
  14. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該第三電漿蝕刻製程包含非等向性蝕刻,而該轉折點係位於該結構之高度的中間點,其中該蝕刻在該結構中產生下切。
  15. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該第三電漿蝕刻製程包含非等向性蝕刻,而該轉折點係位於該結構之高度的中間點下方,其中該蝕刻在該結構中產生下切。
  16. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該鈍化層之厚度係於自3 nm至5 nm的範圍中。
  17. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該推拔角係於自10 度至60 度的範圍中。
  18. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該目標側壁輪廓係為具有直線側邊的六邊形。
  19. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該目標側壁輪廓係為具有直線側邊的六邊形,且其中位於該下方停止層正上方之該六邊形的兩側邊為垂直的。
  20. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該目標側壁輪廓係為具有直線側邊的六邊形,且其中位於該下方停止層正上方之該六邊形的兩側邊係以下切狀導向內。
  21. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該目標側壁輪廓係為六邊形,其具有直線及曲線的組合。
  22. 如申請專利範圍第2項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該第二電漿蝕刻製程係執行兩或更多次,各次產生相對應的鈍化層及相對應的轉折點。
  23. 如申請專利範圍第22項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該目標側壁輪廓係為多邊形,其具有與所執行之該第二電漿蝕刻製程及該第三電漿蝕刻製程之數量成比例的側邊之數量。
  24. 如申請專利範圍第22項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中若在該第三電漿蝕刻製程中使用非等向性蝕刻製程,則該目標側壁輪廓係為直線之多邊形,或若在該第三電漿蝕刻製程中使用非等向性及等向性蝕刻製程之組合,則該目標側壁輪廓係為曲線之多邊形。
  25. 如申請專利範圍第22項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中若在該第三電漿蝕刻製程中使用非等向性蝕刻製程,則該目標側壁輪廓係為直線之多邊形,或若在該第三電漿蝕刻製程中使用下切蝕刻製程,則該目標側壁輪廓係為直下切線之多邊形。
  26. 如申請專利範圍第1項之使用刻面及鈍化層在基板上產生結構輪廓的方法,其中該電漿蝕刻變量包含在該第一電漿蝕刻製程、該第二電漿蝕刻製程、及/或該第三電漿蝕刻製程中所使用的製程腔室溫度、傳至電漿源的功率、蝕刻化學物及氣體的流率、製程持續時間、及壓力。
  27. 一種用於處理基板以達成目標側壁之目標輪廓的系統,該系統包含: 蝕刻系統,其包含耦接至電漿產生器的製程腔室、蝕刻劑氣體輸送系統、控制器、電源、及真空系統, 其中該蝕刻系統係配置以執行下列製程:第一電漿蝕刻製程,其在該基板上產生刻面的側壁,該第一電漿蝕刻製程在圖案化硬遮罩周圍向下蝕刻至期望之轉折點;第二電漿蝕刻製程,其使用氧、氮、或組合氧及氮的電漿,該第二電漿蝕刻製程產生鈍化層;及第三電漿蝕刻製程,其在該刻面的側壁及該鈍化層上使用蝕刻化學物的操作變量,以造成差別的蝕刻率而達到結構的接近水平之表面上的突破,其中所使用之該第三電漿蝕刻製程係配置以在該基板上產生下至下方停止層的目標側壁輪廓; 其中刻面技術及鈍化層之使用係結合以達到該結構之目標側壁輪廓的目的。
TW105109947A 2015-04-02 2016-03-30 透過快閃增長之部分蝕刻記憶 TWI608538B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201562142350P 2015-04-02 2015-04-02

Publications (2)

Publication Number Publication Date
TW201705268A TW201705268A (zh) 2017-02-01
TWI608538B true TWI608538B (zh) 2017-12-11

Family

ID=57004565

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105109947A TWI608538B (zh) 2015-04-02 2016-03-30 透過快閃增長之部分蝕刻記憶

Country Status (5)

Country Link
US (1) US9576812B2 (zh)
KR (1) KR102545883B1 (zh)
CN (1) CN107690694B (zh)
TW (1) TWI608538B (zh)
WO (1) WO2016160580A1 (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080038927A1 (en) * 2003-06-27 2008-02-14 Yoko Yamaguchi Method for multi-layer resist plasma etch
US20140045338A1 (en) * 2011-02-08 2014-02-13 Tokyo Electron Limited Plasma etching method
US20140187035A1 (en) * 2012-12-28 2014-07-03 Commissariat A L'energie Atomique Et Aux Ene Alt Method of etching a porous dielectric material
JP2014225638A (ja) * 2013-02-25 2014-12-04 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ マルチレベルの自動的制限のエッチング方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US20020115293A1 (en) * 2001-01-03 2002-08-22 Bahram Ghodsian Device to rapidly and accurately sequence long DNA fragments
US6734111B2 (en) * 2001-08-09 2004-05-11 Comlase Ab Method to GaAs based lasers and a GaAs based laser
US6818564B1 (en) * 2001-12-20 2004-11-16 Analog Devices, Inc. Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate
US8546891B2 (en) * 2012-02-29 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin profile structure and method of making same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080038927A1 (en) * 2003-06-27 2008-02-14 Yoko Yamaguchi Method for multi-layer resist plasma etch
US20140045338A1 (en) * 2011-02-08 2014-02-13 Tokyo Electron Limited Plasma etching method
US20140187035A1 (en) * 2012-12-28 2014-07-03 Commissariat A L'energie Atomique Et Aux Ene Alt Method of etching a porous dielectric material
JP2014225638A (ja) * 2013-02-25 2014-12-04 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ マルチレベルの自動的制限のエッチング方法

Also Published As

Publication number Publication date
WO2016160580A1 (en) 2016-10-06
KR20170135900A (ko) 2017-12-08
US20160293435A1 (en) 2016-10-06
US9576812B2 (en) 2017-02-21
CN107690694A (zh) 2018-02-13
TW201705268A (zh) 2017-02-01
CN107690694B (zh) 2021-09-10
KR102545883B1 (ko) 2023-06-20

Similar Documents

Publication Publication Date Title
US9318343B2 (en) Method to improve etch selectivity during silicon nitride spacer etch
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
US9054050B2 (en) Method for deep silicon etching using gas pulsing
US9748110B2 (en) Method and system for selective spacer etch for multi-patterning schemes
TWI728178B (zh) 用於自對準多重圖案化方法與系統之原位間隔件再成形
US20040077178A1 (en) Method for laterally etching a semiconductor structure
KR102349721B1 (ko) 탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법
US10260150B2 (en) Method and system for sculpting spacer sidewall mask
TWI804573B (zh) 基板處理之方法與系統
TWI608538B (zh) 透過快閃增長之部分蝕刻記憶
TWI719257B (zh) 用於自對準多重圖案化技術之間隔件形成
US11227774B2 (en) Methods and systems for etching silicon cyanide (SiCN) with multi-color selectivity
US10937664B2 (en) Surface modification process
JP7426840B2 (ja) エッチング方法及びプラズマ処理装置
JP2607276B2 (ja) ドライエッチング方法