TWI567831B - 在積體電路裝置的金屬之間的選擇性擴散屏障 - Google Patents

在積體電路裝置的金屬之間的選擇性擴散屏障 Download PDF

Info

Publication number
TWI567831B
TWI567831B TW104114893A TW104114893A TWI567831B TW I567831 B TWI567831 B TW I567831B TW 104114893 A TW104114893 A TW 104114893A TW 104114893 A TW104114893 A TW 104114893A TW I567831 B TWI567831 B TW I567831B
Authority
TW
Taiwan
Prior art keywords
metal
diffusion barrier
interconnect structure
interconnect
forming
Prior art date
Application number
TW104114893A
Other languages
English (en)
Other versions
TW201611133A (zh
Inventor
吉奈特 羅伯史
派翠希歐 羅梅洛
史考特 克蘭德寧
克里斯多夫 傑西
拉馬南 契必安
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201611133A publication Critical patent/TW201611133A/zh
Application granted granted Critical
Publication of TWI567831B publication Critical patent/TWI567831B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/38Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/181Printed circuits structurally associated with non-printed electric components associated with surface mounted components
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10613Details of electrical connections of non-printed components, e.g. special leads
    • H05K2201/10621Components characterised by their electrical contacts
    • H05K2201/10734Ball grid array [BGA]; Bump grid array

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

在積體電路裝置的金屬之間的選擇性擴散屏障
本發明的實施例一般關於積體電路領域,且更具體地關於在積體電路(IC)裝置的金屬之間的選擇性擴散屏障和相關的技術和配置。
新興的積體電路(IC)裝置的互連結構可包含不同的金屬以提高電性能。然而,在後端處理通常使用的溫度(例如,高達約400℃),不同的金屬可溶於彼此。不同金屬的擴散可能會導致金屬中的空隙,其可能對電性能有不利影響或導致諸如電開路之缺陷,或金屬的擴散進入介電質材料,其可能導致漏電、介電崩潰、短路或導致裝置故障之遷移。
10‧‧‧晶圓形式
11‧‧‧晶圓
100‧‧‧單片化形式
102‧‧‧晶粒
102a‧‧‧半導體基板
102b‧‧‧裝置層
102c‧‧‧互連層
103a‧‧‧晶粒
103b‧‧‧晶粒
104‧‧‧互連
106‧‧‧互連結構
110‧‧‧焊墊
112‧‧‧焊料球
121‧‧‧封裝基板
122‧‧‧電路板
200‧‧‧積體電路組件
S1‧‧‧主動側
300‧‧‧互連組件
330‧‧‧第一互連結構
332‧‧‧介電質材料
334‧‧‧擴散屏障
336‧‧‧蝕刻停止膜
338‧‧‧擴散屏障
339‧‧‧開口
340‧‧‧第二互連結構
400‧‧‧金屬先質
500‧‧‧互連組件
539‧‧‧開口
539a‧‧‧第一開口
539b‧‧‧第二開口
540‧‧‧雙鑲嵌結構
540a‧‧‧第二互連結構
540b‧‧‧第三互連結構
600‧‧‧方法
602‧‧‧步驟
604‧‧‧步驟
606‧‧‧步驟
608‧‧‧步驟
610‧‧‧步驟
700‧‧‧計算裝置
702‧‧‧主機板
704‧‧‧處理器
706‧‧‧通訊晶片
708‧‧‧外殼
實施例將藉由以下結合圖式的詳細描述,以易於理解。為了便於描述,相似的參考符號表示類似的結構元件。實施例係以示例性的方式顯示,而不是以限制的 方式於圖式的圖中。
圖1根據一些實施例示意地顯示以晶圓形式和以單片化形式的示例性晶粒之頂視圖。
圖2根據一些實施例示意地顯示積體電路(IC)組件的橫截面側視圖。
圖3a-b根據一些實施例示意性地顯示在製造的各個階段互連組件的橫截面側視圖。
圖4根據一些實施例示意性地顯示用於選擇性沉積擴散屏障的金屬先質。
圖5a-b根據一些實施例示意性地顯示在製造的各個階段另一個互連組件的橫截面側視圖。
圖6根據一些實施例示意地顯示用於製造互連組件的方法之流程圖。
圖7根據一些實施例示意地顯示可包括如本文所述的互連組件之示例性系統。
【發明內容及實施方式】
本發明的實施例描述在積體電路(IC)裝置的金屬之間的選擇性擴散屏障以及相關技術與配置。在以下的詳細描述中,參考了形成本文一部分的圖式,其中相似的符號始終指示相似的部分,並且其中以圖示顯示本發明的申請標的可以被實施於實施例的方式。但應該理解的,其他實施例可以被利用以及結構或邏輯上的改變可以在不脫離本發明的範圍下被完成。因此,下面的詳細描述 不應被認為具有限制意義,並且實施例的範圍由所附申請專利範圍及其等同物限定。
對於本發明的目的,用語“A和/或B”代表(A)、(B)或(A和B)。對於本發明的目的,用語“A、B和/或C”代表(A)、(B)、(C)、(A和B)、(A和C)、(B和C)或(A,B和C)。
描述可使用基於透視的描述,諸如上/下、側面、之上/之下和類似的。這種描述係僅用來便於討論並且並不意在限制本文所描述的實施例之應用至任何特定的方向。
描述可使用用語“在一種實施例中”或“在實施例中”,其可各自是指一個或多個相同或不同的實施例。此外,用語“包括”,“包含”,“具有”和類似的,在關於本發明的實施例被使用的是同義的。
用語“耦接”,連同其衍生物,可在這裡被使用。“耦接”可指一個或多個以下的。“耦接”可意味著兩個或更多元件直接實體或電接觸。然而,“耦接”還可以意味著兩個或更多元件間接彼此接觸,但仍協作或彼此相互作用,並且可能意味著一個或多個其它元件被耦接或連接在所述被彼此耦接的元件之間。用語“直接耦接”可以意味著兩個或更多元件直接接觸。
在各種實施例中,用語“第一特徵形成、沉積或設置在第二特徵上”可以意味著第一特徵係形成、沉積或設置在第二特徵上,和第一特徵中的至少一部分可與 第二特徵中的至少一部分直接接觸(例如,直接的實體和/或電接觸)或間接接觸(例如,具有一個或多個在第一特徵和第二特徵之間的其它特徵)。
如本文所使用的,用語“模組”可以指,部分的或包括特定應用積體電路(ASIC)、電子電路、處理器(共享、專用或群組)和/或記憶體(共享、專用或群組),其執行一個或多個軟體或韌體程式、組合邏輯電路和/或其它提供所描述功能之合適的元件。
圖1根據一些實施例示意地顯示以晶圓形式10和以單片化形式100的示例性晶粒102之頂視圖。在一些實施例中,晶粒102可以是由半導體材料,如矽或其它合適的材料構成之晶圓11的複數個晶粒(例如,晶粒102、103a、103b)中的一個。複數個晶粒可以形成在晶圓11的表面上。每個晶粒可以是如本文所述的包括互連組件(例如,圖3a-b的互連組件300)的半導體產品的重複單元。例如,晶粒102可以包括具有電晶體元件的電路,其中電晶體元件如一個或多個通道本體(如,鰭式結構、奈米線、平面本體等),其提供一個或多個電晶體裝置的行動電荷載體之通道路徑。互連104可以形成在一個或多個電晶體裝置上或於其耦接。例如,互連104可以與通道本體電耦接,用以提供用於傳遞閾值電壓的閘極電極和/或源極/汲極電流用以提供用於電晶體裝置操作的行動電荷載體。為簡單起見,儘管互連104在圖1中以橫過晶粒102的實質部分的列被描繪。但應當理解的,互連104 在其他實施例中可以用任何各種各樣的其它合適設置在晶粒102上配置,包括具有跟描繪的尺寸相比要小得多的垂直和水平特徵。
以晶粒體現半導體產品的製造過程完成之後,晶圓11可以經歷切割單片化程序,其中每個晶粒(例如,晶粒102)被彼此分開,用以提供半導體產品的離散“晶片”。晶圓11可以是任何的各種尺寸。在一些實施例中,晶圓11具有從約25.4毫米到約450毫米之直徑範圍。晶圓11在其它實施例中可以包括其它尺寸和/或其它形狀。根據各種實施例,互連104可以以晶圓形式10或單片化形式100被設置在半導體基板上。本文描述的互連104可以併入為邏輯或記憶體或其組合之晶粒102。在一些實施例中,互連104可以是系統單晶片(SoC)組件的一部分。互連104可以包括如本文所述之互連組件(例如,圖3a-b或圖5a-b的互連組件300或500)。
圖2根據一些實施例示意地顯示積體電路(IC)組件200的橫截面側視圖。在一些實施例中,IC組件200可以包括一個或多個晶粒(以下,稱為“晶粒102”),電性地和/或實體地與封裝基板121耦接。在一些實施例中,如圖所示,封裝基板121可以電性地與電路板122耦接。在一些實施例中,積體電路(IC)裝置可以根據各種實施例包括一個或多個晶粒102、封裝基板121和/或電路板122。本文所述實施例用於提供根據各種實施 例可以以任何合適的IC裝置實現的選擇性擴散屏障。
晶粒102可以表示使用如薄膜沉積、微影、蝕刻等使用於形成CMOS裝置之半導體製造技術,由半導體材料(例如,矽)製成的分立產品。在一些實施例中,晶粒102可以是包括處理器、記憶體、SoC或ASIC或為其一部分。在一些實施例中,電絕緣材料,例如,如模制化合物或底部填充材料(未顯示)可封裝在晶粒102和/或晶粒級互連結構106的至少一部分上。
晶粒102可以被附接到根據各種各樣合適的配置,例如,如所描繪的包括在覆晶配置中直接與封裝基板121耦接的封裝基板121。在覆晶配置中,晶粒102的主動側S1包括附接到使用晶粒級互連結構106諸如凸塊、支柱或其他可以也電耦接晶粒102與封裝基板121的合適結構的封裝基板121之表面的電路。晶粒102的主動側S1可以包括主動裝置,例如,諸如電晶體裝置。如圖所示,非主動側S2可相對於主動側S1被佈置。
晶粒102通常可包括半導體基板102a、一個或多個裝置層(以下稱為“裝置層102b”)和一個或多個互連層(以下稱為“互連層102c”)。在一些實施例中,半導體基板102a基本上可以由本體半導體材料例如,如矽組成。裝置層102b可代表主動裝置如電晶體裝置在半導體基板上形成的區域。裝置層102b可以包括例如,結構,如通道本體和/或電晶體裝置的源極/汲極區域。互連層102c可包括互連結構(例如,圖1中的互連 104或圖3a-b、圖5a-b中個別的互連組件300、500),其被配置為將電訊號佈線往返裝置層102b中的主動裝置。例如,該互連層102c可包括水平線(例如,溝槽)和/或垂直的插頭(例如,通孔)或其他合適的特徵,用以提供電佈線和/或接觸。
在一些實施例中,晶粒級互連結構106可與互連層102c電性耦接以及配置用以將電訊號佈線在晶粒102和其它電性裝置之間。例如,電訊號可以包括用於關於晶粒102操作的輸入/輸出(I/O)訊號和/或電源/接地訊號。
在一些實施例中,封裝基板121是基於環氧樹脂具有核心和/或層壓層,例如,如阿基諾莫脫建立膜(ABF)基板。在其它實施例中,封裝基板121可包括其它合適類型的基板,例如,包括由玻璃、陶瓷或半導體材料形成的基板。
封裝基板121可以包括配置用以將電訊號佈線來往晶粒102的電佈線特性。例如,電佈線特性可以包括設置在封裝基板121的一個或多個表面之焊墊或跡線(未顯示)和/或內部佈線特性(未顯示),諸如溝槽、通孔或其它互連結構用以將通過封裝基板121之電訊號佈線。例如,在一些實施例中,封裝基板121可包括電佈線特性,如焊墊(未顯示),配置以接收相應的晶粒102之晶粒級互連結構106。
電路板122可以是由電絕緣材料,諸如環氧 樹脂層壓板構成的印刷電路板(PCB)。例如,電路板122可以包括電絕緣層由電絕緣材料,諸如聚四氟乙烯、酚醛棉紙材料如阻燃劑4(FR-4)、FR-1、棉紙和環氧樹脂材料如CEM-1或CEM-3或使用環氧樹脂預浸料材料被層壓在一起的紡織玻璃。互連結構(未顯示),如跡線、溝槽或通孔可以通過電絕緣層被形成,用以通過電路板122將晶粒102的電訊號佈線。在其它實施例中,電路板122可以由合適的材料組成。在一些實施例中,電路板122是主機板(例如,圖7中的主機板702)。
封裝級互連,例如,如焊料球112可以耦接到在封裝基底121上和/或在電路板122上的一個或多個焊墊(以下簡稱“焊墊110”),用以形成相應被配置進一步將電訊號佈線在封裝基板121和電路板122之間的焊點。焊墊110可以由任何合適的導電材料諸如金屬,包括例如,鎳(Ni)、鈀(Pd)、金(Au)、銀(Ag)、銅(Cu)以及其組合。用以實體地和/或電耦接封裝基板121與電路板122的其它合適技術可在其它實施例中使用。
在其他實施例中,IC組件200可以包括各種各樣的其它合適配置,例如,包括覆晶和/或接線接合配置的組合、內插器、包括系統級封裝和/或封裝上封裝(PoP)配置的多晶片封裝(SiP)配置。用以將電訊號佈線在晶粒102和IC組件200的其他組件之間的其它合適的技術可在一些實施例中使用。
圖3a-b根據一些實施例示意性地顯示在製造的各個階段互連組件300的橫截面側視圖。參照圖3a,互連組件300被描繪於以電絕緣材料如介電質材料332形成第一互連結構330並在第一互連結構330上形成擴散屏障338之後。
在一些實施例中,介電質材料332可被沉積在半導體基板上(例如,圖2的半導體基板102a)。例如,介電質材料332可在裝置層(例如,圖2的裝置層102b)上沉積為互連層(例如,圖2的互連層102c)形成的部分。介電質材料332可以由各種合適的材料,例如,包括氧化矽(SiO2)、高k介電質材料、低k介電質材料、碳摻雜的氧化矽、多孔介電質和類似材料。在一些實施例中,介電質材料332可被沉積為層,在一些實施例中,其可以被稱為層間介電質(ILD)。
在一些實施例中,第一互連結構330可以藉由形成開口(例如,溝槽)到介電質材料332和在溝槽的表面上(例如,在溝槽的側壁和底部上)形成擴散屏障334來形成。金屬可被沉積到實質上填充溝槽並形成第一互連結構330。擴散屏障334可以防止或減少第一互連結構330的金屬擴散到介電質材料332。例如,在一些實施例中,第一互連結構330的金屬可以由銅組成以及擴散屏障334可以由銅擴散屏障組成,例如,諸如金屬氮化物,諸如氮化鈦(TiN)和/或氮化鉭(TaN)。在其他實施例中,第一互連結構330和擴散屏障334可以由其它合適的 金屬組成。在一些實施例中,互連組件300可以不包括擴散屏障334。
蝕刻停止膜336可以在第一互連結構330上形成。蝕刻停止膜336可提供蝕刻程序的蝕刻停止,其可用來形成第二互連結構(例如,圖3b的第二互連結構340)的開口339(例如,通孔)。在一些實施例中,蝕刻停止膜336可以由與介電質材料332具有不同蝕刻選擇性的材料組成。例如,在一些實施例中,蝕刻停止膜336可以由氮化矽(SiN)或類似材料組成。在一些實施例中,隨後於沉積金屬以形成第一互連結構330,蝕刻停止膜336可以被沉積在第一互連結構330上。介電質材料332可被沉積在蝕刻停止膜336以及圖形化程序(例如,微影和/或蝕刻)可被執行以形成穿過沉積介電質材料332之開口339,以暴露第一互連結構330。在一些實施例中,蝕刻停止膜336可以進一步用作第一互連結構330的金屬和沉積在蝕刻停止膜336的介電質材料332之間的擴散屏障。在一些實施例中,互連組件300可以不包括蝕刻停止膜336。
在一些實施例中,開口339可以形成以暴露第一互連結構330的頂表面上的金屬。在一些實施例中,開口339的側壁可具有由於用於形成開口339的蝕刻處理之錐形輪廓。
根據各種實施例,擴散屏障338可以選擇性地沉積於第一互連結構330的金屬上,以減少或防止第一 互連結構330的金屬和用以形成在開口339中之第二互連結構(例如,圖3b的第二互連結構340)的其他不同金屬之間的擴散。擴散屏障338可藉由不直接在開口339的側壁上沉積擴散屏障338的金屬,選擇性地沉積金屬(或金屬化合物)在第一互連結構330的金屬上。例如,參照圖3a和圖3b,在某些實施例中,擴散屏障338的金屬可以不被設置在直接在第二互連結構340的金屬和介電質材料332之間的開口339的側壁上。擴散屏障338的金屬可以選擇性地沉積在第一互連結構330的金屬和第二互連結構340的金屬之間的界面,如圖所示,其位在開口339的底部。在一些實施例中,擴散屏障338可以與蝕刻停止膜336的材料耦接(例如,直接接觸)。在一些實施例中,擴散屏障338可具有小於或等於20奈米(nm)的厚度。在一種實施例中,擴散屏障338可具有小於或等於5nm的厚度。在其它實施例中,擴散屏障338可具有其它合適的厚度。
在一些實施例中,擴散屏障338可連同或不連同共反應劑如氫氣(H2)或氨(NH3)藉由原子層沉積(ALD)或化學氣相沉積(CVD)來沉積。在一些實施例中,沉積程序可利用全配位基N,N’-二烷基-二氮雜丁二烯(dialkyl-diazabutadiene)金屬先質。圖4根據一些實施例示意性地顯示用於選擇性沉積擴散屏障(例如,圖3a-b的擴散屏障338)的金屬先質400。金屬先質400可以代表金屬二氮雜丁二烯的ALD先質的一般結構,其中R可 代表烷基族,M可以代表第一列過渡金屬。
再次參照圖3a-3b所示,在一些實施例中,擴散屏障338可以藉由無電沉積來沉積。例如,鎳、鎳/硼、鈷/鎢、或鈷-X或鎳-X,其中X表示鎢(W)、硼(B)、磷(P)、鎳(Ni)、錸錫(ReSn)、鋅(Zn)、錳(Mn)、銠(Rh)、釕(Ru)、鉻(Cr)、鉑(Pt)、鋨(Os)、銥(Ir)或其它合適材料的其中之一,可以藉由在第一互連結構330的金屬(例如,Cu或Co)上無電沉積來選擇性地沉積,但不是在開口339中的側壁上之介電質材料332上。在一些實施例中,表面改質處理可以施加到第一互連結構330的金屬表面,以提高或達到擴散屏障338的沉積之選擇性。例如,ALD和/或CVD的先質選擇性程度不同於那些已被證實為釕、氮化錳和錳之含有二氮雜丁二烯之配體。在一些實施例中,表面改質處理可以包括雙(二甲氨基)二甲基矽烷、(N,N-二甲基氨基)三甲基矽烷、甲基三氟乙酰胺(二甲基氨基)矽烷和/或二-N-丁基二甲氧基矽烷和類似的材料。在一些實施例中,擴散屏障338的選擇性沉積金屬可注入或摻雜有一種或多種硼(B)、矽(Si)、鍺(Ge)、錫(Sn)、氮(N)、磷(P)、硫(S)、硒(Se)碲(Te)、鎢、鎳、錸、鋅、錳、銠、釕、鉻、鉑、鋨、銥或其他合適的摻雜物以改善屏障特性。例如,擴散屏障338在擴散屏障338的沉積期間,可以使用乙硼烷、矽烷、二矽烷、氨、肼、膦、硫化氫、硒化氫或二乙基碲或 其它合適的氣體的摻雜劑來摻雜或在沉積之前以獨立不同溫度處理。在一種實施例中,矽可以藉由將擴散屏障338浸泡在矽烷或乙矽烷中被加入到選擇性地沉積的擴散屏障338。硼可以藉由將擴散屏障338浸泡在乙硼烷被類似地加入。在一些實施例中,擴散屏障338可以是非晶形材料,用以相對於晶形材料在給定的厚度提供更好的屏障特性。在一些實施例中,擴散屏障338可藉由沉積多個層來形成。例如,在一些實施例中,擴散屏障338可以包括不同金屬,如鎳/鎢/鎳/鎢等的交替層。在一些實施例中,擴散屏障338可沉積為ALD或CVD合金。
參照圖3b,互連組件300被描繪為隨後沉積金屬到圖3a的開口339以在擴散屏障338上形成第二互連結構340。第二互連結構340的金屬可以使用任何合適的程序包括,例如,CVD、ALD、物理氣相沉積(PVD)或無電沉積被沉積。
根據各種實施例,第一互連結構330可以由具有與第二互連結構340的金屬不同化學組成的金屬或金屬化合物組成。在一些實施例中,擴散屏障338可具有與第一互連結構330和/或第二互連結構340不同的化學組成。在一些實施例中,擴散屏障338可具有與擴散屏障334和/或蝕刻停止膜336不同的化學組成。例如,在一些實施例中,第一互連結構330可以由銅(Cu)組成,擴散屏障338可以由金屬組成諸如,例如,鎳(Ni)、鎢(W)、鉬(Mo)、鐵(Fe)、鈷(Co)、錳(Mn)或 鋯(Zr),或金屬矽化物或金屬氮化物,其中金屬可以是所列出的例子之一,以及第二互連結構340可以由金屬組成諸如,例如,鈷(Co)。在一些實施例中,擴散屏障338可以由混合物、化合物,或合金組成,諸如,例如,氮化鎢(WN)、矽化鎳(NiSi)、鎳/錳或鐵/錳與適當選擇的先質、共反應物和程序。在一些實施例中,第一互連結構330可以由鈷組成以及第二互連結構340可以由銅組成。在其它實施例中,第一互連結構330和/或第二互連結構340可以由銅或鈷以外的金屬組成。例如,在一些實施例中,第一互連結構330可以由銅組成以及第二互連結構340可以由鈷以外的非銅金屬組成,諸如,例如,鉬、鎢、錸、鐵、釕、鋨、銠、銥、鎳、鈀或鉑或金屬矽化物,諸如,例如,矽化鎳或矽化鈷。在一種實施例中,銅鍺可用來形成第一互連結構330或第二互連結構340中的一個。提供另一個例子,在一些實施例中,第一互連結構330可由非銅金屬組成,諸如,例如,鉬、鎢、錸、鐵、釕、鋨、銠、銥、鎳、鈀或鉑,或金屬矽化物,諸如,例如,矽化鎳或矽化鈷以及第二互連結構340可以由銅組成。
在一些實施例中,第一互連結構330可以是溝槽結構以及第二互連結構340可以是通孔結構。在一些實施例中,該通孔結構可以具有小於或等於為60nm的臨界尺寸(CD)。根據各種實施例,互連組件300可允許使用非銅金屬(例如,鈷)來形成通孔結構(例如,第二 互連結構340),其中沒有擴散屏障被設置在通孔結構的金屬和介電質材料332之間(例如,在開口339的側壁上沒有擴散屏障)。所描述關於互連組件300的技術和配置可有利於互連特性的金屬化,特別是在窄的臨界尺寸和高寬高比的較窄通孔結構。填充與溝槽結構(例如,圖3b的第一互連結構330)分離的通孔結構(例如,圖3b的第二互連結構340)之程序可以致使更多通孔填充選項。形成在通孔結構的側壁上之擴散屏障(例如,銅擴散屏障)可能是不希望的,因為它可以提供更小的開口(例如,圖3a的開口339),導致更難填充通孔結構。此外,形成在通孔結構的側壁上之擴散屏障可能增加通過通孔結構的電阻(例如,氮化鉭和氮化鈦比鈷的電阻大)。因此,消除圍繞第二互連結構340的金屬之擴散屏障可以增加被金屬填充的橫截面面積以及減小第二互連結構340的高度對於寬度的高寬比,同時增加第二互連結構340的電阻。選擇性沉積擴散屏障338可以防止相鄰互連結構之不同金屬的混合,其可以減少許多空隙、電性地開路電路和/或短路以及藉由限制這兩種金屬的移動來減少洩漏。當金屬諸如銅擴散到介電質材料332中,然後進入IC裝置的裝置層中,擴散屏障338可進一步減少可能發生的裝置退化。
圖5a-b根據一些實施例示意性地顯示在製造的各個階段另一個互連組件500的橫截面側視圖。互連組件500通常可以與互連組件300相關描述的實施例相稱, 除了互連組件500包括使用雙鑲嵌程序形成的雙鑲嵌結構540。
參照圖5a,根據在圖3a相關描述的技術,互連組件500被描繪在形成擴散屏障334、第一互連結構330、蝕刻停止膜336、開口539和/或擴散屏障338之後進行。在一些實施例中,開口539可以被配置以允許形成雙鑲嵌互連結構。即,對應於開口539的第一開口539a之通孔結構以及對應於開口539的第二開口539b的溝槽結構可在相同的沉積程序期間同時被填充。在一些實施例中,第二開口539b可使用圖形化程序在第一互連結構330上以介電質材料332形成以及第一開口539a可隨後使用圖形化程序在第二開口539b中用以暴露第一互連結構330。隨後形成第一開口539a、擴散屏障338可形成在第一互連結構330上。
參照圖5b,互連組件500被描繪沉積金屬以形成雙鑲嵌結構540之後。在一些實施例中,金屬可被沉積以同時填充第一和第二開口539a、539b,以形成相應的第二和第三互連結構540a、540b。在一些實施例中,該第二互連結構540a可以是通孔結構以及第三互連結構540b可以是溝槽結構。雙鑲嵌結構540的金屬可以與圖3所描述的第二互連結構340之相關金屬的實施例相稱。
圖6根據一些實施例示意地顯示用於製造互連組件的方法600之流程圖(例如,圖3a-b的互連組件300或圖5a-b的互連組件500)。方法600可相稱於關於 圖1-5描述的實施例,反之亦然。
在步驟602,方法600可以包括提供半導體基板(例如,圖2的半導體基板102a)。在一些實施例中,半導體基板可包括晶圓形式的晶粒。
在步驟604,方法600可以包括在半導體基板上沉積介電質材料(例如,圖3a-b或圖5a-b的介電質材料332)。例如,介電質材料可被沉積在晶粒的裝置層(例如,圖2的裝置層102b)上以形成互連層(例如,圖2的互連層102c)。
在步驟606,方法600可以包括形成包括第一金屬的第一互連結構(例如,圖3a-b或圖5a-b的第一互連結構330)。第一互連結構可以根據在圖3a-b的第一互連結構330相關描述技術來形成。在一些實施例中,形成第一互連結構可以包括形成在介電質材料中的開口以及沉積第一金屬到該開口中。第一金屬可以與圖3a-b的第一互連結構330的金屬之相關所述實施例相稱。在一些實施例中,形成第一互連結構包括形成溝槽結構。
在步驟608,方法600可以包括在第一互連結構上形成擴散屏障(例如,圖3a-b或圖5a-b的擴散屏障338)。在一些實施例中,擴散屏障的第三金屬可以選擇性地沉積於第一互連結構的第一金屬之上。例如,第三金屬可被沉積在第一互連結構和第二互連結構之間的界面,用以形成擴散屏障。選擇性沉積可在第一金屬上沉積第三金屬,而不會沉積在介電質材料332上,使得在擴散屏障 上沉積第二金屬之後,擴散屏障的第三金屬不直接設置在第二互連結構的第二金屬和介電質材料(例如,第三金屬不設置在圖3a的開口339的側壁上)之間。
擴散屏障的第三金屬可以與圖3a-b的擴散屏障338的金屬之相關所述實施例相稱。在一些實施例中,第三金屬可以藉由ALD或CVD來沉積。在一些實施例中,形成擴散屏障包括以一種或多種的硼(B)、矽(Si)、鍺(Ge)、錫(Sn)、氮(N)、磷(P)、硫(S)、硒(Se)或碲(Te)來摻雜第三金屬。在一些實施例中,選擇性地沉積第三金屬可包括使用全配位基N,N’二烷基-二氮雜丁二烯金屬先質。在一些實施例中,形成擴散屏障可包括形成多個層。
在步驟610,方法600可以包括形成第二互連結構(例如,圖3a-b的第二互連結構340或圖5a-b的雙鑲嵌結構540),其包含在擴散屏障上的第二金屬。在一些實施例中,第一金屬和第二金屬可以具有不同的化學組成。擴散屏障(例如,圖3a-b或圖5a-b的擴散屏障338)和第二金屬的材料可以具有不同的化學組成。在一些實施例中,第二互連結構是通孔結構。在其它實施例中,第二互連結構可以是雙鑲嵌結構。
各種操作描述為依次多個分立操作,以最有助於理解所請專利標的之方式。然而,描述的順序不應被解釋為暗示這些操作必須是順序相關的。本發明的實施例可被實現成使用任何合適的硬體和/或軟體用以配置所希 望的系統。
圖7根據一些實施例示意地顯示可包括如本文所述的互連組件(例如,圖3a-b的互連組件300或圖5a-b的互連組件500)之示例性系統(例如,計算裝置700)。計算裝置700的元件可以被容納在外殼(例如,外殼708)。主機板702可包括多個元件,包括但不限於處理器704和至少一個通訊晶片706。處理器704可以在實體地和電性地耦接到主機板702。在一些實現中,至少一個通訊晶片706也可以實體地和電性地耦接到主機板702。在另外的實現中,通訊晶片706可以是處理器704的一部分。
取決於其應用,計算裝置700可以包括可以或可以不被實體地和電性地耦接到主機板702的其他元件。這些其他元件可以包括但不限於,揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位訊號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、蓋革計數器、加速計、陀螺儀、揚聲器、照相機和大容量儲存裝置(如硬碟、光碟(CD)、數位多功能光碟(DVD)等)。
通訊晶片706可以致使用於資料傳送往來於計算裝置700的無線通訊。用語“無線”及其衍生詞可以用於描述電路、裝置、系統、方法、技術、通訊通道等, 其可藉由非固體媒體、藉由使用調製的電磁輻射來傳送資料。該用語不暗示相關的裝置不包含任何導線,儘管在一些實施例中它們可能沒有。通訊晶片706可以實現任何數目的無線標準或協議,包括但不限於電機電子協會(IEEE)標準,其包括Wi-Fi(IEEE 802.11系列)、IEEE 802.16標準(例如IEEE 802.16-2005修訂)、長期演進(LTE)計畫以及任何修訂、更新和/或再版(如,進階的LTE計畫、超行動寬帶(UMB)計畫(也被稱為“3GPP2”)等)。IEEE 802.16相容的寬帶無線存取(BWA)網絡通常被稱為WiMAX網絡,代表全球互通微波存取,其為通過IEEE 802.16標準之協調性及互通性測試之產品的認證標誌。通訊晶片706可以根據行動通訊全球系統(GSM)、通用封包無線服務(GPRS)、全球行動電信系統(UMTS)、高速封包存取(HSPA)、演進的HSPA(E-HSPA)或LTE網絡來操作。通訊晶片706可以根據GSM增強資料演進(EDGE)、GSM EDGE無線電存取網絡(GERAN)、全球地面無線電存取網路(UTRAN)或演進UTRAN(E-UTRAN)來操作。通訊晶片706可以根據分碼多工存取(CDMA)、分時多工存取(TDMA)、數位增強無線電信(DECT)、演進資料最佳化(EV-DO),其衍生物,以及任何被指定為3G、4G、5G及之後的其他無線協議來操作。在其他實施例中,通訊晶片706可以根據其它無線協議來操作。
該計算裝置700可以包括複數個通訊晶片 706。例如,第一通訊晶片706可專用於短範圍無線通訊,例如Wi-Fi和藍牙以及第二通訊晶片706可專用於長範圍無線通訊如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO和其他。
如本文所述,計算裝置700的處理器704可包括具有互連組件(例如,圖3a-b的互連組件300或圖5a-b的互連組件500)的晶粒(例如,圖1-2的晶粒102)。例如,圖1-2的晶粒102可以被安裝在一個安裝在電路板,如主機板702,上的封裝組件。用語“處理器”可以指任何裝置或裝置的部分,其處理來自暫存器和/或記憶體的電子資料以轉換該電子資料成其他可以儲存在暫存器和/或記憶體的電子資料。
如本文所述,通訊晶片706還可以包括具有互連組件(例如,圖3a-b的互連組件300或圖5a-b的互連組件500)的晶粒(例如,圖1-2的晶粒102)。如本文所述,在進一步的實施例中,容納在計算裝置700中的另一種元件(例如,記憶體裝置或其他積體電路裝置)可包含具有互連組件(例如,圖3a-b的互連組件300或圖5a-b的互連組件500)的晶粒(例如,圖1-2的晶粒102)。
在各種實現中,計算裝置700可以是行動計算裝置、膝上型電腦、小筆電、筆記型電腦、超輕薄電腦、智慧手機、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上電腦、伺務器、印表機、掃描 器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或者數位錄影機。在另外的實現中,計算裝置700可以是用於處理資料的任何其它電子裝置。
實例
根據各種實施例,本發明描述了一種設備(例如,互連組件)。實例1的裝置可包括介電質材料、第一互連結構,包括設置在該介電質材料中的第一金屬、第二互連結構,包括設置在該介電質材料中及與該第一互連結構電耦接的第二金屬、以及擴散屏障,設置在該第一互連結構和該第二互連結構之間的界面,其中該第一金屬和該第二金屬具有不同的化學組成,該擴散屏障的材料和該第二金屬具有不同的化學組成以及該擴散屏障的材料係未直接設置在該第二金屬和該介電質材料之間。實例2可以包括實例1的設備,其中該第一金屬包含銅(Cu)以及該第二金屬包含鈷(Co)。實例3可以包括實例1的設備,其中該擴散屏障包括金屬、金屬矽化物或金屬氮化物。實例4可以包括實例3的設備,其中該擴散屏障包括鎳(Ni)、鎢(W)、鉬(Mo)、鐵(Fe)、鈷(Co)、錳(Mn)或鋯(Zr)。實例5可以包括實例1~4的任何裝置,其中該第一互連結構包括溝槽結構以及該第二互連結構包括通孔結構或雙鑲嵌結構。實例6可包括實例1~4的任何設備,更包括額外的擴散屏障,設置在該第一金屬與該介電質材料之間,其中該額外的擴散屏障 的材料具有與該擴散屏障的該材料不同的化學組成。實例7可包括實例1~4的任何設備,更包括蝕刻停止膜,設置在該第二互連結構以及與該擴散屏障耦接。實例8可包括實例1~4的任何設備,其中該擴散屏障包括多個層。實例9可以包括實例1~4的任何設備,其中該擴散屏障包括以一種或多種的硼(B)、矽(Si)、鍺(Ge)、錫(Sn)、氮(N)、磷(P)、硫(S)、硒(Se)、碲(Te)、鎢(W)、鎳(Ni)、錸(Re)、錫(Sn)、鋅(Zn)、錳(Mn)、銠(Rh)、釕(Ru)、鉻(Cr)、鉑(Pt)、鋨(Os)或銥(Ir)摻雜之金屬。
根據各種實施例,本發明描述了一種方法(例如,一種製造互連組件的方法)。實例10的方法可以包括形成包括第一金屬的第一互連結構、形成在該第一互連結構上的擴散屏障、以及形成包括在該擴散屏障上的第二金屬之第二互連結構,其中該擴散屏障係設置在該第一互連結構和該第二互連結構之間的界面,該第一金屬和該第二金屬具有不同的化學組成,該擴散屏障的材料和該第二金屬具有不同的化學組成,該第一互連結構和該第二互連結構係設置在介電質材料中,該擴散屏障的材料不直接設置在該第二金屬和該介電質材料之間。實例11可包括實例10的方法,其中形成包括沉積該第一金屬的該第一互連結構、形成包括沉積該第二金屬的該第二互連結構、該第一金屬包含銅(Cu)以及該第二金屬包含鈷(Co)。實例12可包括實例10的方法,其中形成該擴散 屏障包括選擇性地在該第一互連結構的該第一金屬上沉積第三金屬。實例13可包括實例12的方法,其中形成該擴散屏障包括選擇性地沉積鎳(Ni)、鎢(W)、鉬(Mo)、鐵(Fe)、鈷(Co)或錳(Mn)。實例14可包括實例12的方法,其中形成該擴散屏障包括以一種或多種的硼(B)、矽(Si)、鍺(Ge)、錫(Sn)、氮(N)、磷(P)、硫(S)、硒(Se)、碲(Te)、鎢(W)、鎳(Ni)、錸(Re)、錫(Sn)、鋅(Zn)、錳(Mn)、銠(Rh)、釕(Ru)、鉻(Cr)、鉑(Pt)、鋨(Os)或銥(Ir)摻雜該第三金屬。實例15可包括實例12的方法,其中選擇性地沉積該第三金屬係藉由原子層沉積(ALD)或化學氣相沉積(CVD)來進行。實例16可包括實例12的方法,其中選擇性地沉積該第三金屬包括使用全配位基N,N’-二烷基-二氮雜丁二烯(dialkyl-diazabutadiene)金屬先質。實例17可以包括實例10~16中的任何方法,其中形成該第一互連結構包括形成溝槽結構以及形成該第二互連結構包括形成通孔結構。實例18可包括實例10~16中的任何方法,還包括在形成該擴散屏障之前,形成額外的擴散屏障,該額外的擴散屏障係被設置在該第二金屬和該介電質材料之間,其中該額外的擴散屏障的材料具有與該擴散屏障的該材料不同的化學組成。實例19可包括實例10~16中的任何方法,在形成該擴散屏障之前,在該第二互連結構上形成蝕刻停止膜,其中在形成該擴散屏障之後,該蝕刻停止膜係與該擴散屏障 耦接。實例20可包括實例10~16中的任何方法,其中形成該擴散屏障包括形成多個層。
根據各種實施例,本發明描述一種系統(例如,計算裝置)。實例21的計算裝置可包括電路板和晶粒,其與該電路板耦接,其中該晶粒包括半導體基板、介電質材料,設置在該半導體基板上、第一互連結構,包括設置在該介電質材料中的第一金屬、第二互連結構,包括設置在該介電質材料中及與該第一互連結構電耦接的第二金屬、以及擴散屏障,設置在該第一互連結構和該第二互連結構之間的界面,其中該第一金屬和該第二金屬具有不同的化學組成,該擴散屏障的材料和該第二金屬具有的不同的化學組成以及該擴散屏障的材料未直接設置在該第二金屬和該介電質材料之間。實例22可包括實例21的裝置,其中該第一金屬包含銅(Cu)以及該第二金屬包含鈷(Co)。實例23可包括實例21-22中的任何裝置,其中該第二互連結構係雙鑲嵌結構。實例24可包括實例21-22中的任何計算裝置,其中該晶粒係處理器以及該計算裝置係包括一個或多個天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、蓋革計數器、加速計、陀螺儀、揚聲器和照相機的行動計算裝置。
各種實施例可包括上述實施例的任何合適組合包括上述以結合形式(和)(例如,“和”可為“和/或”)的實施例之替代(或)實施例。此外,一些實施例 可以包括一個或多個製品(例如,非暫態電腦可讀媒體),其具有指令儲存於其上,當執行時導致任一上述實施例的動作。此外,一些實施例可以包括具有用於執行上述實施例的各種操作之任何合適的機制的裝置或系統。
上述圖示實現的說明,包括在摘要中所描述的,並非意在窮舉或限制本發明的實施例為所揭露的精確形式。雖然在本文中描述的具體實現和實例用於說明性目的,那些相關領域技術人員將理解各種等同修改是可能在本發明的範圍之內。
可以根據上述詳細說明修飾本發明的實施例。在下面的申請專利範圍中使用的用語不應當被解釋為限制本發明的各種實施例在說明書和申請專利範圍中揭露的具體實現。相對的,根據申請專利範圍詮釋的既定原則解釋,範圍完全由下面的申請專利範圍來確定。
300‧‧‧互連組件
330‧‧‧第一互連結構
332‧‧‧介電質材料
334‧‧‧擴散屏障
336‧‧‧蝕刻停止膜
338‧‧‧擴散屏障
340‧‧‧第二互連結構

Claims (23)

  1. 一種互連組件,包括:介電質材料;第一互連結構,其包括設置在該介電質材料中的第一金屬;第二互連結構,其包括設置在該介電質材料中且與該第一互連結構電耦接的第二金屬;以及擴散屏障,其設置在該第一互連結構和該第二互連結構之間的界面,其中該第一金屬和該第二金屬具有不同的化學組成,該擴散屏障的材料和該第二金屬具有不同的化學組成以及該擴散屏障的材料係未直接設置在該第二金屬和該介電質材料之間,其中該擴散屏障包括在該第一互連結構的該第一金屬上的第三金屬。
  2. 如申請專利範圍第1項的互連組件,其中:該第一金屬包含銅(Cu);以及該第二金屬包含鈷(Co)。
  3. 如申請專利範圍第1項的互連組件,其中該擴散屏障包括金屬、金屬矽化物或金屬氮化物。
  4. 如申請專利範圍第3項的互連組件,其中該擴散屏障包括鎳(Ni)、鎢(W)、鉬(Mo)、鐵(Fe)、鈷(Co)、錳(Mn)或鋯(Zr)。
  5. 如申請專利範圍第1項的互連組件,其中:該第一互連結構包括溝槽結構;以及 該第二互連結構包括通孔結構或雙鑲嵌結構。
  6. 如申請專利範圍第1項的互連組件,更包括:額外的擴散屏障,其設置在該第一金屬與該介電質材料之間,其中該額外的擴散屏障的材料具有與該擴散屏障的該材料不同的化學組成。
  7. 如申請專利範圍第1項的互連組件,更包括:蝕刻停止膜,設置在該第二互連結構以及與該擴散屏障耦接。
  8. 如申請專利範圍第1項的互連組件,其中該擴散屏障包括多個層。
  9. 如申請專利範圍第1項的互連組件,其中該擴散屏障包括以一種或多種的硼(B)、矽(Si)、鍺(Ge)、錫(Sn)、氮(N)、磷(P)、硫(S)、硒(Se)、碲(Te)、鎢(W)、鎳(Ni)、錸(Re)、錫(Sn)、鋅(Zn)、錳(Mn)、銠(Rh)、釕(Ru)、鉻(Cr)、鉑(Pt)、鋨(Os)或銥(Ir)摻雜之金屬。
  10. 一種製造互連組件的方法,包括:形成包括第一金屬的第一互連結構;形成在該第一互連結構上的擴散屏障;以及形成包括在該擴散屏障上的第二金屬之第二互連結構,其中該擴散屏障係設置在該第一互連結構和該第二互連結構之間的界面,該第一金屬和該第二金屬具有不同的化學組成,該擴散屏障的材料和該第二金屬具有不同的化學組成,該第一互連結構和該第二互連結構係設置在介電 質材料中,該擴散屏障的材料係未直接設置在該第二金屬和該介電質材料之間,其中形成該擴散屏障包括選擇性地在該第一互連結構的該第一金屬上沉積第三金屬。
  11. 如申請專利範圍第10項的方法:形成包括沉積該第一金屬的該第一互連結構;形成包括沉積該第二金屬的該第二互連結構;該第一金屬包含銅(Cu);以及該第二金屬包含鈷(Co)。
  12. 如申請專利範圍第10項的方法,其中形成該擴散屏障包括選擇性地沉積鎳(Ni)、鎢(W)、鉬(Mo)、鐵(Fe)、鈷(Co)或錳(Mn)。
  13. 如申請專利範圍第10項的方法,其中形成該擴散屏障包括以一種或多種的硼(B)、矽(Si)、鍺(Ge)、錫(Sn)、氮(N)、磷(P)、硫(S)、硒(Se)、碲(Te)、鎢(W)、鎳(Ni)、錸(Re)、錫(Sn)、鋅(Zn)、錳(Mn)、銠(Rh)、釕(Ru)、鉻(Cr)、鉑(Pt)、鋨(Os)或銥(Ir)摻雜該第三金屬。
  14. 如申請專利範圍第10項的方法,其中選擇性地沉積該第三金屬係藉由原子層沉積(ALD)或化學氣相沉積(CVD)來進行。
  15. 如申請專利範圍第10項的方法,其中選擇性地沉積該第三金屬包括使用全配位基N,N’-二烷基-二氮雜丁二烯(dialkyl-diazabutadiene)金屬先質。
  16. 如申請專利範圍第10項的方法:形成該第一互連結構包括形成溝槽結構;以及形成該第二互連結構包括形成通孔結構。
  17. 如申請專利範圍第10項的方法,還包括:在形成該擴散屏障之前,形成額外的擴散屏障,該額外的擴散屏障係被設置在該第二金屬和該介電質材料之間,其中該額外的擴散屏障的材料具有與該擴散屏障的該材料不同的化學組成。
  18. 如申請專利範圍第10項的方法,還包括:在形成該擴散屏障之前,在該第二互連結構上形成蝕刻停止膜,其中在形成該擴散屏障之後,該蝕刻停止膜係與該擴散屏障耦接。
  19. 如申請專利範圍第10項的方法,其中形成該擴散屏障包括形成多個層。
  20. 一種計算裝置,包括:電路板;和晶粒,其與該電路板耦接,其中該晶粒包括:半導體基板;介電質材料,其設置在該半導體基板上;第一互連結構,其包括設置在該介電質材料中的第一金屬;第二互連結構,其包括設置在該介電質材料中及與該第一互連結構電耦接的第二金屬;以及擴散屏障,其設置在該第一互連結構和該第二互 連結構之間的界面,其中該第一金屬和該第二金屬具有不同的化學組成,該擴散屏障的材料和該第二金屬具有不同的化學組成以及該擴散屏障的材料係未直接設置在該第二金屬和該介電質材料之間。
  21. 如申請專利範圍第20項的計算裝置,其中:該第一金屬包含銅(Cu);以及該第二金屬包含鈷(Co)。
  22. 如申請專利範圍第20項的計算裝置,其中:該第二互連結構係雙鑲嵌結構。
  23. 如申請專利範圍第20項的計算裝置,其中:該晶粒係處理器;以及該計算裝置係包括一個或多個天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、蓋革計數器、加速計、陀螺儀、揚聲器和照相機的行動計算裝置。
TW104114893A 2014-06-16 2015-05-11 在積體電路裝置的金屬之間的選擇性擴散屏障 TWI567831B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/042568 WO2015195080A1 (en) 2014-06-16 2014-06-16 Selective diffusion barrier between metals of an integrated circuit device

Publications (2)

Publication Number Publication Date
TW201611133A TW201611133A (zh) 2016-03-16
TWI567831B true TWI567831B (zh) 2017-01-21

Family

ID=54935902

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104114893A TWI567831B (zh) 2014-06-16 2015-05-11 在積體電路裝置的金屬之間的選擇性擴散屏障

Country Status (7)

Country Link
US (1) US20170148739A1 (zh)
EP (1) EP3155655B1 (zh)
JP (1) JP2017520109A (zh)
KR (1) KR102245667B1 (zh)
CN (1) CN106463412A (zh)
TW (1) TWI567831B (zh)
WO (1) WO2015195080A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019531597A (ja) * 2016-09-30 2019-10-31 インテル・コーポレーション コバルト相互接続を可能にするタングステン含有接着層を使用した相互接続信頼性性能を増大するためのマイクロ電子デバイス及び方法
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US11348839B2 (en) 2019-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices with multiple silicide regions
US20210091009A1 (en) * 2019-09-23 2021-03-25 Micron Technology, Inc. Integrated Assemblies Having Barrier Material Between Silicon-Containing Material and Another Material Reactive with Silicon
US11725270B2 (en) * 2020-01-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. PVD target design and semiconductor devices formed using the same
US11495599B2 (en) * 2021-02-19 2022-11-08 Nanya Technology Corporation Semiconductor device with self-aligning contact and method for fabricating the same
US20220403505A1 (en) * 2021-06-16 2022-12-22 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080012134A1 (en) * 2006-06-28 2008-01-17 Samsung Electronics Co., Ltd. Metal interconnection structures and methods of forming the same
US20080206982A1 (en) * 2007-02-26 2008-08-28 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
KR20090067365A (ko) * 2007-12-21 2009-06-25 주식회사 동부하이텍 반도체 소자의 제조 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02231714A (ja) * 1989-03-03 1990-09-13 Toshiba Corp 半導体装置の製造方法
JPH08264538A (ja) * 1995-03-28 1996-10-11 Sumitomo Metal Ind Ltd 配線の形成方法
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US7008872B2 (en) * 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7304388B2 (en) * 2003-06-26 2007-12-04 Intel Corporation Method and apparatus for an improved air gap interconnect structure
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
KR20060058961A (ko) * 2004-11-26 2006-06-01 에스케이 텔레콤주식회사 통신 망을 이용한 보험료 책정 방법 및 보험사 서버 및그를 포함하는 시스템
US8470685B2 (en) * 2006-01-18 2013-06-25 Stmicroelectronics (Crolles 2) Sas Integration of self-aligned trenches in-between metal lines
JP5489717B2 (ja) * 2006-08-30 2014-05-14 ラム リサーチ コーポレーション 金属堆積のために基板表面を調整する方法および統合システム
JP2008060507A (ja) * 2006-09-04 2008-03-13 Renesas Technology Corp 半導体装置とその製造方法
JP5267130B2 (ja) * 2006-12-22 2013-08-21 日本電気株式会社 半導体装置およびその製造方法
CN101312129A (zh) * 2007-02-15 2008-11-26 气体产品与化学公司 提高介电膜的材料性能的活化化学方法
US8691687B2 (en) * 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US20140019716A1 (en) * 2012-07-10 2014-01-16 Christopher J. Jezewski Plateable diffusion barrier techniques
US9035194B2 (en) * 2012-10-30 2015-05-19 Intel Corporation Circuit board with integrated passive devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080012134A1 (en) * 2006-06-28 2008-01-17 Samsung Electronics Co., Ltd. Metal interconnection structures and methods of forming the same
US20080206982A1 (en) * 2007-02-26 2008-08-28 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
KR20090067365A (ko) * 2007-12-21 2009-06-25 주식회사 동부하이텍 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
KR20170017878A (ko) 2017-02-15
EP3155655A1 (en) 2017-04-19
KR102245667B1 (ko) 2021-04-28
EP3155655A4 (en) 2018-03-21
CN106463412A (zh) 2017-02-22
US20170148739A1 (en) 2017-05-25
WO2015195080A1 (en) 2015-12-23
EP3155655B1 (en) 2021-05-12
JP2017520109A (ja) 2017-07-20
TW201611133A (zh) 2016-03-16

Similar Documents

Publication Publication Date Title
TWI567831B (zh) 在積體電路裝置的金屬之間的選擇性擴散屏障
US10629525B2 (en) Seam healing of metal interconnects
JP6659077B2 (ja) 装置、方法およびシステム
JP6657529B2 (ja) 装置、方法およびシステム
TWI596661B (zh) 用於虛擬陣列洩漏縮減之相變記憶體胞元植入技術
US11769729B2 (en) Metal structures, devices, and methods
JP6455846B2 (ja) 複数の金属層および関連する構成を有する高アスペクト比の細長い構造を充填するための技法
US20240105728A1 (en) Transistor devices with double-side contacts and standard cell
US20240105797A1 (en) Transistor devices with double-side contacts
TW201737316A (zh) 具有釕磷薄膜的半導體裝置
TWI761321B (zh) 用於含有鍺的通道的介電質金屬氧化物蓋帽
KR20230033582A (ko) 상이한 금속 재료를 갖는 상호접속 구조체
CN115939093A (zh) 集成电路结构及其制造方法