TWI556448B - 第三族氮化物奈米線電晶體 - Google Patents

第三族氮化物奈米線電晶體 Download PDF

Info

Publication number
TWI556448B
TWI556448B TW104104569A TW104104569A TWI556448B TW I556448 B TWI556448 B TW I556448B TW 104104569 A TW104104569 A TW 104104569A TW 104104569 A TW104104569 A TW 104104569A TW I556448 B TWI556448 B TW I556448B
Authority
TW
Taiwan
Prior art keywords
group iii
iii nitride
nanowire
layer
channel region
Prior art date
Application number
TW104104569A
Other languages
English (en)
Other versions
TW201539761A (zh
Inventor
漢威 陳
羅伯特 趙
班傑明 朱功
吉伯特 狄威
傑克 卡瓦李耶羅
馬修 梅茲
尼洛依 穆可吉
拉維 皮拉瑞斯提
馬可 拉多撒福傑維克
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201539761A publication Critical patent/TW201539761A/zh
Application granted granted Critical
Publication of TWI556448B publication Critical patent/TWI556448B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/158Structures without potential periodicity in a direction perpendicular to a major surface of the substrate, i.e. vertical direction, e.g. lateral superlattices, lateral surface superlattices [LSS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0605Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits made of compound material, e.g. AIIIBV
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)

Description

第三族氮化物奈米線電晶體
本發明之實施例大致關於微電子裝置及製造,更具體地關於第三族氮化物電晶體。
系統單晶片(SoC)在過去幾十年內已實現一些功能。SoC解決方案提供無法由板級元件集成所匹敵的微縮(scaling)優點。當類比及數位電路早已被集成到相同基底上以提供Soc的一種形式,該種SoC形式提供混合信號功能時,用於諸如智慧型手機及平板之行動計算平台的SoC解決方案仍然難以捉摸,因為這些裝置典型地包括以兩個以上之高電壓、高功率及高頻率操作之元件。因此,傳統的行動計算平台典型地使用第三至五族化合物半導體,例如GaAs異質接面雙極電晶體(HBTs),以產生在GHz載波頻率之足夠的功率放大,及使用橫向擴散矽MOS(LDMOS)技術以管理電壓轉換及功率分佈(包括升壓及/或降壓的電壓轉換等的電池電壓調節)。實現CMOS技術之傳統的矽場效電晶體係成為行動計算平台內 用於邏輯及控制功能的第三裝置技術。
在行動計算平台中使用的多個電晶體技術整體而言限制了裝置的可微縮性,且因而成為更多功能、更高集成度、更低成本及更小形狀因素等的障礙。當用於行動計算空間之SoC解決方案,其可集成兩個以上之這些第三裝置技術,因而有吸引力時,SoC解決方案之一個障礙為缺乏具有足夠速度(即,足夠高的增益截止頻率,Ft)及足夠高的崩潰電壓(BV)兩者之可微縮的電晶體技術。
一個有前景的電晶體技術係基於第三族氮化物(III-N)。然而,這種電晶體技術面臨微縮至特徵尺寸(例如,閘極長度)小於100nm的基本困難,在此情形下短通道效應變得難以控制。具有良好控制之短通道效應的微縮第三族氮化物電晶體因而對於以足夠高的崩潰電壓(BV)來達到高Ft係重要的。對於提供產品特定電流及行動計算平台之功率要求的SoC解決方案而言,需要能夠處理高輸入電壓波動(swing)及提供在RF頻率之高功率附加效率的快速切換高電壓電晶體。可微縮及順應此種性能之先進的第三族氮化物電晶體因此係有利的。
100‧‧‧第三族氮化物電晶體
200‧‧‧第三族氮化物電晶體
205‧‧‧基底層
210A‧‧‧奈米線
210B‧‧‧奈米線
211A‧‧‧二維電子氣
220‧‧‧源極區
245‧‧‧通道區
230‧‧‧汲極區
235A‧‧‧外部汲極區
235B‧‧‧外部汲極區
215‧‧‧半導體層
215A‧‧‧側壁部分
215B‧‧‧側壁部分
215D‧‧‧頂表面部分
215C‧‧‧底表面部分
250‧‧‧閘極堆疊
250A‧‧‧閘極堆疊
240‧‧‧閘極介電層
212A‧‧‧半導體材料層
212B‧‧‧半導體材料層
212C‧‧‧半導體材料層
255‧‧‧間隔物
222‧‧‧源極接觸
222A‧‧‧源極接觸
222B‧‧‧源極接觸
232‧‧‧汲極接觸
232A‧‧‧汲極接觸
232B‧‧‧汲極接觸
407‧‧‧絕緣層
410‧‧‧鰭狀結構
412‧‧‧犧牲閘極
420‧‧‧層間介電層
421‧‧‧層間介電層
700‧‧‧行動計算平台
705‧‧‧顯示螢幕
710‧‧‧系統單晶片
713‧‧‧電池
500‧‧‧矽基板
715‧‧‧電源管理積體電路
725‧‧‧RF積體電路
711‧‧‧控制器
730‧‧‧中央處理器核心
731‧‧‧中央處理器核心
本發明之實施例係透過示例的方式而非透過限制的方式示出,且當結合附圖考慮時,可參照下面詳細說明而更全面地了解,其中:圖1A係依據一實施例之第三族氮化物電晶體的等角 圖;圖1B係圖1A中所示之第三族氮化物電晶體之通道區的橫截面圖;圖1C係依據一實施例之第三族氮化物電晶體的等角圖;圖2A係依據一實施例之第三族氮化物電晶體之GaN晶體取向的等角圖;圖2B係第三族氮化物電晶體之通道區的橫截面圖,該第三族氮化物電晶體採用具有圖2A中所示之晶體取向的非平面GaN本體;圖2C係圖2B中所示之通道區的能帶圖;圖2D係依據一實施例之第三族氮化物電晶體之GaN晶體取向的等角圖;圖2E係第三族氮化物電晶體之通道區的橫截面圖,該第三族氮化物電晶體採用具有圖2D中所示之晶體取向的非平面GaN本體;圖3係圖示依據一實施例之非平面高電壓電晶體之製造方法的流程圖;圖4A、4B、4C、4D及4E係依據圖3中所示之方法實施例所製造的非平面高電壓電晶體的等角圖;及圖5係依據本發明之實施例之行動計算平台之SoC實作的功能方塊圖。
【發明內容及實施方式】
在下面說明中闡述許多細節,熟習此技藝之人士將更清楚的是無需這些具體細節亦可實施本發明。在某些情況下,以方塊圖形式而非詳細地示出習知的方法及裝置,以避免模糊本發明。本說明書中所指之「一實施例」意味著結合該實施例所描述之特定特徵、結構、功能或特性係包含於本發明之至少一個實施例中。因此,在本說明書中多處出現之「在一實施例中」之詞不一定指本發明之相同的實施例。此外,特定特徵、結構、功能或特性可能在一或多個實施例中以任何適合的方式結合。例如,一第一實施例可能與一第二實施例結合,該兩個實施例在各處係互斥的。
「耦合」與「連結」之詞及它們的衍生詞可在本文被用來描述元件之間的結構關係。應可了解的是,這些詞並非企圖成為彼此的同義詞。相反地,在特定實施例中,「連結」可被用來指示兩個或更多個元件係彼此直接物理接觸或電性接觸。「耦合」可被用來指示兩個或更多個元件係彼此直接或間接(以它們之間的其他中間元件)物理接觸或電性接觸,及/或指示兩個或更多個元件彼此合作或交互(例如,作為一因果關係)。
本文所使用之「之上」、「之下」、「之間」及「上」之詞指的是一個材料層相對於其他層的相對位置。因此,例如,設置在其他層之上或之下的一層可能直接地接觸該其他層或可能具有一或多個中間層。此外,設置在兩層之間的一層可能直接地接觸該兩層或可能具有一或多 個中間層。相反地,在一第二層「上」的一第一層係直接地接觸該第二層。
本文所述為第三族氮化物(III-N)半導體奈米線之實施例,及致能高電壓、高帶寬場效電晶體之製造的製造技術。在特定實施例中,在集成高功率無線資料傳輸及/或具有低功率CMOS邏輯資料處理之高電壓功率管理功能的SoC架構中採用此種電晶體。奈米線結構涉及用於短通道效應之優異靜電控制的「環繞式閘極(gate-all-around)」,且因此,允許第三族氮化物電晶體之超微縮至次百奈米(sub-100nm)定制。適用於寬頻無線資料傳輸應用之高頻率操作係可能的,同時大能帶隙第三族氮化物材料之使用亦提供高BV,使得可能產生用於無線資料傳輸應用之足夠的RF輸出功率。高Ft及高電壓能力之此種組合亦使得可以將本文所述之電晶體用於使用縮小尺寸之感應元件的DC至DC轉換器中的高速切換應用。由於功率放大及DC至DC切換應用兩者為智慧型手機、平板、及其他行動平台中的關鍵功能塊,本文所述之結構可被用於此種裝置之SoC解決方案。
在實施例中,可包括複數個第三族氮化物材料之一多層半導體結構,被充分利用來形成奈米線、複數個垂直堆疊的奈米線,且可被進一步利用來將具有不同能帶隙的半導體材料併入電晶體的各種區域(例如,更寬能帶隙的材料可被併入裝置通道及汲極接觸之間的外部汲極區)。在範例實施例中,閘極結構環繞通道區域之所有側,以提供 用於閘極長度(Lg)之微縮的通道電荷的完整閘極式侷限。取決於實施例,奈米線的一或多個表面係以寬能帶隙之第三族氮化物材料覆蓋,以提供下列之一或多者:增強型通道遷移率、由自發及壓電極化產生之片電荷[二維電子氣(2DEG)]、界面狀態的鈍化、及通道電荷載子侷限之能量障礙。
圖1A係依據一實施例之第三族氮化物電晶體100的等角圖。通常,第三族氮化物電晶體100為閘極電壓控制裝置(即,FET),其通常被稱為高電子遷移率電晶體(HEMT)。第三族氮化物電晶體100包括至少一個非平面結晶半導體本體,其在平行於其上設置有電晶體100的基底層205之上表面的平面上。在一實施例中,基底層205係絕緣的或半絕緣的,及/或具有設置於其上的絕緣或半絕緣層,在該基底層上設置有奈米線210A。在這樣一個實施例中,基底層205係成長於支撐基底上或轉移至施體基底上(支撐及施體基底未圖示)之第三族氮化物半導體(示於圖1A中)的上層。在特定實施例中,基底層205包括矽支撐基底,其上磊晶成長有第三族氮化物層,然而,支撐基底亦可具有可能或可能不與矽結合的替代材料,包括但不限於,鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵或銻化鎵、碳(SiC)及藍寶石。在另一實施例中,其上設置有電晶體100的基底層205為介電層,使得基底層205為埋入式氧化物(BoX),其可能例如由轉移半導體之一或多層至基底層205而形成,奈米線210A 係由該半導體所形成。
如圖1A中進一步所示,第三族氮化物電晶體100之縱向長度L在被劃分為源極區220、通道區245、汲極區230及設置於其間的外部汲極區235A。特別強調外部汲極區235A,因為其在裝置之BV上具有顯著效果。至少在通道區245內,非平面結晶半導體本體係藉由除了形成本體的結晶半導體或形成基底層205的材料之外的中間材料,而被物理地自基底層205分開,以形成橫向取向的奈米線210A。對於本文所述之實施例而言,奈米線210A之橫截面的幾何形狀可能自圓形至矩形非常不同,使得奈米線210A之厚度(即,在z維度)可能大約等於奈米線210A之寬度(即,在y維度),或者奈米線210A之厚度及寬度可能彼此顯著地不同(即,物理地類似於帶狀等),以形成圓柱體及平行六面體的半導體本體。對於範例實施例而言,奈米線210A之寬度在5及50奈米(nm)之間,但這可能取決於實作而不同。
在通道區245之內,奈米線210A具有比多晶材料更大的長程有序(long range order)。在範例實施例中,通道區245為實質上單晶,雖然本文稱為「單晶體(monocrystalline)」,然而熟習此技藝之人士將理解到,一低程度的晶體缺陷可能存在作為不完善的磊晶成長製程的人造物(artifact)。在通道區245之內,奈米線210A為包括一或多個第三族元素及氮的第一半導體材料(即,第三族氮化物半導體)之結晶排列。通常,通道區 245中的此第一第三族氮化物材料應具有相對高的載子遷移率,且因此在實施例中,通道區245將成為用於最小雜質散射之實質上未摻雜的第三族氮化物材料(即,雜質濃度最小化)。在第一範例實施例中,通道區245為GaN。 在第二範例實施例中,通道區245為氮化銦(InN)。因為InN具有大於GaN的載子遷移率(2700cm2/Vs,相比於1900cm2/Vs),對於InN實施例而言,電晶體度量指標,諸如特定導通電阻(Ron),可能相對較佳。在第三範例實施例中,通道區245為GaN的三元合金,諸如氮化鋁鎵(AlxGa1-xN),其中x小於1。在第四範例實施例中,通道區245為InN的三元合金,諸如氮化鋁銦(AlxIn1-xN),其中x小於1。在其他實施例中,通道區245為包括至少一個第三族元素及氮的四元合金,諸如InxAlyGa1-x-yN。
至少在通道區245內,奈米線210A被一第二半導體層215覆蓋,該第二半導體層215被設置在奈米線210A之一或多個側壁、頂表面及/或底表面之上。在範例實施例中,半導體層215被直接設置在奈米線210A之至少兩個相對的表面。圖1B為通過第三族氮化物電晶體100之通道區245沿著B平面的橫截面圖,示出設置在奈米線210A(及奈米線210B)之所有周邊表面上的半導體層215。如圖1A中進一步所示,半導體層215亦覆蓋在外部汲極區235A內的奈米線210A,其中層215作用如同電荷誘導層。
半導體層215具有第二半導體組成物,其具有較通道區245內之奈米線210A中所使用之第三族氮化物材料之能帶隙更寬的能帶隙。較佳地,結晶半導體層215為實質上單晶體(即,具有低於臨界厚度之厚度),其與通道區245內之奈米線210A中所使用之第三族氮化物材料晶格匹配。在範例實施例中,半導體層215具有第二第三族氮化物材料,其具有與奈米線210之結晶度相同的結晶度,以形成通道區245內之量子阱異質界面。
通常,所述用於奈米線210A之任何第三族氮化物材料可被用於半導體層215,如同取決於所選用於奈米線210A之材料以提供半導體層215大於奈米線210A之能帶隙。在奈米線210A為GaN之第一範例實施例中,半導體層215為AlN。在奈米線210A為InN之第二範例實施例中,半導體層215為GaN。在奈米線210A為AlxIn1-xN之第三實施例中,半導體層215為AlyIn1-yN,其中y大於x。在奈米線210A為AlxGa1-xN之第四實施例中,半導體層215為AlyGa1-yN,其中y大於x。包括至少一個第三族元素及氮之四元合金,諸如Al1-x-yInxGayN(x,y<1),亦為可能的。半導體層215可能另外包含這些第三族氮化物之任何多層堆疊,例如,AlxIn1-xN/AlN堆疊,具有相鄰於(例如,GaN)奈米線210A之堆疊的AlN層。
即使在半導體層215存在於奈米線210A之所有表面上(頂表面、底表面及側壁)的情形中,如圖1B中所示,厚度仍可能不同。半導體層215在通道區245內提供 至少兩個功能。該兩個功能為半導體結晶體210A內之極性鍵所導致之不對稱的結果以及由相對於半導體層215的這些鍵所造成的極化方向。取決於奈米線210A之晶體取向,奈米線210A之各相對表面係與不同的結晶平面對齊,使得由於在這些相對表面上的半導體層215所導致的電場效應不同。
在一實施例中,由圖2A所示,奈米線210A之第三族氮化物具有已知為纖鋅礦(wurtzite)結構的結晶結構。本文所述之GaN及其他第三族氮化物可能以纖鋅礦結構形成,該纖鋅礦結構係以其為非中心對稱而著名,此意味著結晶缺乏反轉對稱,更具體的是{0001}平面係不相等的。對於範例GaN實施例而言,{0001}平面之一係典型地被稱為Ga-面(+c極性),而其他被稱為N-面(-c極性)。通常對於平面第三族氮化物裝置而言,{0001}平面之其中一者或另一者係更靠近基板表面,因而,若Ga(或其他第三族元素)的三個鍵指向該基板,則可能被稱為Ga極性(+c),或者若Ga(或其他第三族元素)的三個鍵指離該基板,則可能被稱為N極性(-c)。然而對於第三族氮化物電晶體200之第一實施例而言,纖鋅礦晶體取向係如:具有晶格常數c的(1010)平面形成該結晶的頂表面,並界面接合基底層205。
如圖2B中進一步所示,在奈米線210A具有如圖2A中之取向的第三族氮化物材料的情形中,半導體層215包括側壁部分215A及215B,其作用如同在側壁之其中一者 (例如,215B)上的電荷誘導層,使得2DEG 211A可形 成在鄰近半導體層215之奈米線210A中。如此取向,半導體層側壁部分215A可進一步作為背阻障(back barrier)以侷限通道區245內之電荷載子。如所示,第一側壁部分215A係實質上沿著(000)平面,使得第一側壁部分215A的大部分表面在奈米線210A的(000)平面上。相同地,第二側壁部分215B係實質上沿著(0001)平面,使得第二側壁215B的大部分表面係由(0001)平面來定義。在奈米線210A內之自發極化場PSP係因此由第二側壁部分215B指向第一側壁部分215A。如此,非平面第三族氮化物電晶體200之極性係通過奈米線210A之寬度(沿y-維度橫向)。
如圖2B中進一步所示,因為半導體層215的結晶性,自發極化場PSP亦存在半導體層215內,且與奈米線210A之自發極化場對齊。此外,當半導體層215在平行於側壁部分215A及215B之高度維度(沿著如圖2B中所示之z-維度)方向中的拉伸應變下時,壓電極化場PPE亦在自第二側壁部分215B至第一側壁部分215A的方向中對齊PSP。奈米線210A及半導體層215之極化因而在沿著靠近第二側壁部分215B之(0001)平面的異質界面處形成極化場。如麥斯威爾方程組(Maxwell’s equations)所述,極化場誘導2DEG 211A。
對於第三族氮化物電晶體200之第二實施例而言,纖鋅礦晶體取向係如:具有晶格常數a的(0001)平面形成 該結晶的頂表面,並界面接合基底層205,如圖2D中所示。對於此實施例而言,如圖2E中進一步所示,存在奈米線210A之頂部及底部上之半導體層215(215D及215C),分別作用如同電荷誘導層及背阻障。奈米線210A內之自發極化場PSP係因而由頂表面部分215D指向底表面部分215C。如此,非平面第三族氮化物電晶體200之極化係通過奈米線210A之厚度(沿z-維度垂直)。如圖2E中所示,奈米線210A及半導體層部分215D及215C之極化因而分別在沿著(0001)平面及(000)之異質界面處形成極化場,具有誘導鄰近奈米線210A之頂表面之2DEG 211A的極化場。
在任一晶體取向(圖2A或圖2D)中,背阻障及電荷誘導層兩者皆由閘極堆疊250所閘控。圖2C係依據奈米線210A(及奈米線210B)為GaN且半導體層215為AlN之範例實施例之自圖2B中所示之非平面結晶半導體本體所形成之非平面第三族氮化物電晶體的能帶圖,具有如圖1A中所示之環繞閘極結構。如圖2C中所示,在零閘極偏壓處,跨過奈米線210A之橫截面寬度及在半導體層部分215A及半導體層部分215B之間的波段係不對稱的。在奈米線210A內波段自極化場彎曲低於費米能階EF的位置處,存在有電荷載子。如由該能帶圖所示,圖1B中所示之對稱閘極結構具有由奈米線210A之極性所引起的不對稱功能。當靠近半導體層部分215A之閘極導體250調變背阻障時,靠近半導體層部分215B之閘極導體250因此 調變通道區內2DEG 111之電荷載子密度。對於圖2D中之取向而言,於閘極導體填滿奈米線210A及基底層205之間提供相同閘控背阻障,以在通道區245內完全地同軸環繞奈米線210A,如圖1A中以虛線所示。圖2C之能帶圖係因此亦可適用於圖2D之晶體取向。
如圖1B中進一步所示,閘極堆疊包括閘極導體250,其藉由設置在該閘極導體之下的閘極介電材料240與奈米線210A電性隔離,以減少該閘極導體與奈米線210A之間的漏電流。因為閘極堆疊係設置於半導體層215之上,半導體層215及閘極堆疊之閘極介電材料可被視為複合介電堆疊。在圖1B所示之實施例中,閘極介電材料240係進一步設置在基底層205及閘極導體250之間。如圖1A中所示,同軸環繞涉及在區域250A內設置於奈米線210A及基底層205之間的閘極導體。
通常,閘極介電材料240可能包括適於FET閘極介電質之一或多個本領域已知的任何材料,且較佳為高K介電質(即,具有介電常數大於氮化矽(Si3N4)的介電常數),諸如,但不限於,如氧化釓(Gd2O3)、氧化鉿(HfO2)之高K氧化物、諸如HfSiO,TaSiO,AlSiO之高K矽酸鹽、及諸如HfON之高K氮化物。相同的,閘極導體250可具有用於電晶體閘極電極之本領域已知的任何材料。在一實施例中,閘極導體250包括功函數金屬,其可被選擇來獲得所欲之臨界電壓(Vt)(例如,大於0V等)。範例導電閘極材料包括鎢(W)、鋁(Al)、鈦 (Ti)、鉭(Ta)、鎳(Ni)、鉬(Mo)、鍺(Ge)、 鉑(Pt)、金(Au)、釕(Ru)、鈀(Pd)、銥(Ir)、該等元素之合金及其矽化物、碳化物、氮化物、磷化物及碳氮化物。
回到圖1A,奈米線210A進一步包括源極區220及汲極區230。在範例實施例中,在源極區220及汲極區230內,奈米線210A係存在通道區245中之相同的第三族氮化物半導體材料,但可能另外包括更高濃度的摻雜物,諸如n型雜質(即,N+)。在某些實施例中,源極區220及汲極區230內之奈米線210A保持與在通道區245內相同的單結晶體。在第一實施例中,半導體材料層212A係與源極及/或汲極區220、230內之奈米線210接觸。對於第三族氮化物電晶體200而言,奈米線210A係夾在半導體材料層212A及212B之間。在範例實施例中,在半導體材料層212A促進通道區245內之奈米線210A的下部切割的情形下,半導體材料層212A具有與奈米線210A不同的材料。
在實施例中,半導體材料層212A係所述用於奈米線210A之實施例之第三族氮化物的任一者,其不同於用於奈米線210A之該者。例如,半導體材料層212A可為AlN、GaN、InN、AlzIn1-zN或AlzGa1-zN,其中z不同於x。在一實施例中,半導體材料層212A之能帶隙低於奈米線210A之能帶隙(例如,z小於x,其中奈米線210A及半導體材料層212A兩者均為三元的),以協助降低源極/ 汲極接觸電阻。在替代實施例中,半導體材料層212A之能帶隙高於奈米線210A之能帶隙(例如,z大於x,其中奈米線210A及半導體材料層212A兩者均為三元的)。 在奈米線210A之第三族氮化物及半導體材料層212A之第三族氮化物係合金的或混合(intermixed)的情形中,外部汲極區235A內之能帶隙可被有利的增加為通道區245中之能帶隙及半導體材料層212A之能帶隙的中間值,致能甚至更高的BV。如上所述,取決於實施例,電晶體100可併入在通道區245內為犧牲的半導體,以選擇性地減少或增加在通道區245中所採用之半導體材料的能帶隙。
圖1C係依據一實施例之第三族氮化物電晶體200的等角圖。第三族氮化物電晶體100具有一外部汲極區235A,其係大致等於源極接觸222A與通道區245之間的間隔(即,由介質間隔物255所決定),然而第三族氮化物電晶體200具有一外部汲極區235B,其係大於源極接觸222B與通道區245之間的間隔。外部汲極區235A、235B可能被輕微地摻雜且進一步包括半導體層215,如圖1A及1C兩者中所示。在外部汲極區235A、B內,半導體層215作用如同一電荷誘導層,且因為外部汲極區的縱向長度係BV所欲之函數,因此第三族氮化物電晶體200具有比第三族氮化物電晶體100大的BV。
在一範例實施例中,外部汲極區235B為第一及第二半導體材料的合金,以將一介於其間的能帶隙中間值提供 給該第一及第二半導體材料之能帶隙。如圖1C中之空心箭頭所示,在外部汲極區235B內,第一及第二半導體材料的合金為一無序的多層結構之形式。該多層結構包括奈米線210A之第三族氮化物材料,其延伸通過外部汲極區235B,並將通道區245耦合至汲極區230,其之間夾有半導體材料層212A及212B。隨著相鄰半導體材料層212A及212B之奈米線210A的相對側,在外部汲極區235B之部分內可能達到好的合金均勻性。
在一實施例中,第三族氮化物電晶體包括內嵌有源極及/或汲極接觸之奈米線的源極區及/或汲極區。圖1C示出源極接觸222B,其完全地同軸環繞奈米線210A以填滿奈米線210A與基底層205之間的間隙。如圖1A中所示,因為半導體層212A及212B殘留,因此源極接觸222A並未完全地環繞奈米線210A。源極接觸222A、222B可能包括一歐姆金屬層,且可能進一步包括與奈米線210A不同組成之一磊晶生長半導體。例如,源極接觸222A、222B可能包括一穿隧接面(例如,一p+層,其環繞源極區220內之奈米線210A)。可能以此種穿隧接面提供超陡峭的導通及關閉(即,改良的次臨界效能),用於減少關閉狀態漏電流。
奈米線210A進一步包括一汲極區230。如同源極區,該汲極區可能或多或少內嵌在汲極接觸232內。在圖1C中,汲極接觸232B完全地同軸環繞汲極區230內的奈米線210A,以填滿奈米線210A與基底層205之間的間 隙。如圖1A中所示,因為半導體層212A及212B殘留,因此汲極接觸232A並未完全地環繞奈米線210A。如同源極接觸222A、222B,汲極接觸232A、232B可能包括一歐姆金屬層,並亦可能進一步包括與奈米線210A不同組成之一磊晶生長半導體。
在實施例中,如圖1A及1C中所示,第三族氮化物電晶體包括奈米線之垂直堆疊,以達到基底層之上給定足跡(footprint)之更大的電流承載能力(例如,更大驅動電流)。取決於製造限制,以實質平行於基底層205之頂表面的各個奈米線的縱軸,可能垂直地堆疊任意數量的奈米線。在圖1A或1C中所示之範例實施例中,奈米線210A、210B之各者係具有相同的通道區245內之第一半導體材料。在其他實施例中,奈米線210A及210B之各者係由閘極堆疊250A同軸環繞(例如,如圖1B、2B及2E中進一步所示)。至少閘極介電層240被設置於奈米線210A及210B之間,但在圖1B所示之範例實施例中,閘極導體亦存在於奈米線210A、210B之各者的通道區之間。
在圖1C所示之實施例中,複數個奈米線210B之各者係藉由在外部汲極區235B中的半導體材料而物理地耦合在一起。對於包括複數個奈米線210A、210B的實施例而言,第三族氮化物電晶體100、200具有複數個汲極區,各者用於奈米線之垂直堆疊內的各個奈米線。各個汲極區可能以汲極接觸(例如,232B)同軸包住,該汲極接 觸完全地同軸環繞填充於奈米線210A、210B之間的間隙中的各個汲極區。相同地,源極接觸222B可完全地同軸環繞複數個源極區。
現在提供針對電晶體200及100之各者的製造過程的顯著部份之簡單描述。圖3係依據一實施例之流程圖,描述製造非平面高電壓電晶體200及100之方法300。當方法300強調主要操作時,各操作可能涉及更多的程序流程,且圖3中之操作的編號或該等操作的相對位置並無暗示任何順序。圖4A、4B、4C、4D及4E係依據方法300之實施例所製造的非平面第三族氮化物電晶體100、200的等角圖。
在操作301,使用任何標準化學氣相沈積(CVD)、分子束磊晶(MBE)、氫化物氣相磊晶(HVPE)生長技術等(使用標準前驅物、溫度等)來生長單晶體半導體材料之堆疊。生長至少兩個不同的半導體層作為該磊晶堆疊的部分。在一實施例中,層212A、212B及212C係第一第三族氮化物材料,該等層中設置有第二第三族氮化物。
在操作305,藉由以任何電漿或本技術領域已知之用於生長為磊晶堆疊之部分的特定材料的濕化學蝕刻技術蝕刻磊晶堆疊,來定義奈米線(例如,長度及寬度)。如圖4A中所示,在操作303,蝕刻鰭狀結構410成為奈米線210A、210B與半導體層212A、212B及212C交錯的磊晶堆疊。如所示,奈米線210A及210B之各者均被設置在半導體層212A、212B之上及之下。層厚度T1-T4係取決 於所欲之奈米線維度,且亦取決於在奈米線210A及210B上形成半導體層215之後,在閘極堆疊內回填厚度T1,T3的能力。亦示於圖4A中,例如藉由淺溝渠隔離技術,在基底層205之上的鰭狀結構410之任一側上形成絕緣體層407。
回到圖3,在操作305,形成汲極接觸以部分地(如圖1A中所示)或完全地(如圖1C中所示)環繞奈米線210A及210B。在操作310,相同地形成源極接觸。在操作315,閘極導體係完全地同軸環繞奈米線210A及210B之縱向通道長度。然後在操作320完成該裝置,例如使用傳統的互連技術。
圖4B描述操作305、310及315之一實施例,涉及設置在鰭狀結構410上之犧牲閘極412的形成。在這樣一個實施例中,犧牲閘極412係由一犧牲閘極氧化層及一犧牲多晶閘極層組成,該等層係均厚沉積(blanket deposited),且以傳統光刻及電漿蝕刻製程來圖案化。可能在犧牲閘極412的側壁上形成間隔物,且可能形成一層間介電層以覆蓋犧牲閘極412。可能拋光層間介電層以暴露犧牲閘極412,用於取代型閘極(replacement gate)或閘極最後(gate-last)製程。參考圖4C,犧牲閘極412已被移除,留下間隔物255及層間介電層(ILD)420、421之部分。如圖4C中進一步所示,在原先由犧牲閘極412所覆蓋之通道區中,移除半導體層212A、212B及212C。然後留下第一半導體材料之分離的奈米線210A及 210B。
如圖4D中所示,接著形成閘極堆疊250A,同軸環繞通道區245內之奈米線210A、210B。圖4D示出半導體層215之磊晶生長後的閘極堆疊、閘極介電質240之沉積、及閘極導體250之沉積以回填藉由選擇性地蝕刻半導體層212A、212B及212C所形成之間隙。即,在將磊晶堆疊蝕刻成分離的第三族氮化物奈米線之後,在層間介電層420、421之間的隧道中形成閘極堆疊。此外,圖4D示出閘極堆疊250A之形成後隨即除去層間介電層420的結果。對於包括外部汲極區235B(例如,第三族氮化物電晶體200)的實施例而言,保留外部汲極區235B內之層間介電層421之部分(例如,以層間介電質之光刻定義屏蔽的蝕刻)。對於替代實施例(例如,對於第三族氮化物電晶體100)而言,不保留ILD 421之部分。
對於包括完全地同軸環繞奈米線210A、210B之源極及汲極接觸的實施例而言,一旦移除ILD層420、421,未被閘極堆疊(及殘留的層間介電層421之任何部分)所保護的半導體層212A及212B的部分接著相對於第一半導體材料之奈米線210A、210B而被選擇性地移除,以形成奈米線210A、210B與基底層205之間的間隙。第一半導體之分離部分然後留在源極及汲極區220及230中,如圖4D中所示。接著,藉由回填在源極及汲極區220、230內形成的間隙,可能形成源極及汲極接觸222A及232A(如圖1A中所示)。在這樣一個實施例中,接觸金屬係 藉由CVD、原子層沉積(ALD)、或金屬回流而被保形地沉積。在替代實施例中,源極及汲極接觸222A、232A係形成在半導體層212A及212B上以及形成在極及汲極區內之奈米線210A及210B的側壁上。接著,在操作320準備完成裝置,例如以傳統的互連金屬化等。
在進一步實施例中,由圖4E所示,ILD 421之任何保留的部份可能被選擇性地移至間隔物255、閘極導體250、及源極、汲極接觸222B、232B。奈米線210A、210B與半導體層212A、212B、212C之其中一者可能接著越過奈米線210A、210B與半導體層212A、212B、212C之其中另一者而被選擇性地移除。在範例實施例中,能帶隙大於奈米線210A、210B之能帶隙的結晶半導體材料係在下部切割的間隙中磊晶再生長。接著,半導體層212A、212B、212C可能作用如同外部汲極區235B內之電荷誘導層。半導體層215可能另外生長於奈米線210A、210B之側壁上(例如,如圖2B中所示之晶體取向)。替代地,或另外地,在ILD 421之殘留部分被移除之後,諸如Al、Ga或Zn的擴散元素可能被併至外部汲極區235B內之第一半導體上。
在實施例中,存在於外部汲極區235B內的半導體材料係藉由熱退火而被合金。例如,第一半導體材料210A、210B與半導體層212A、212B及212C可能被混合(即,無序多層結構)。替代地,熱退火可能以擴散元素(例如,Al、Ga或Zn)來混合半導體材料。在這樣一個 實施例中,熱退火係與源極及汲極接觸之形成同時發生(例如,操作305及310)。尤其,犧牲閘極412之取代可能發生在源極及汲極接觸222、232之形成之後、及/或在外部汲極區235B之退火之後。並且,在外部汲極區235B之熱退火之後可生長半導體層215,以維持在半導體層215與通道區245內之奈米線210A、210B之間的突然異質界面,及/或外部汲極區235B。
圖5係依據本發明之一實施例之行動計算平台之SoC實作的功能方塊圖。行動計算平台700可為任何可攜式裝置,組態用於電子資料顯示、電子資料處理、及無線電子資料傳輸之各者。例如,行動計算平台700可為平板、智慧型手機、膝上型電腦等之任一者,且包括顯示螢幕705、SoC 710、及電池713,該顯示螢幕在範例實施例中為允許接收使用者輸入之觸控螢幕(例如,電容式、電感式、電阻式等)。如所示,SoC 710之集成程度越大,由用於充電之間最長工作壽命的電池713所佔用或由用於最大功能之諸如固態硬碟的記憶體(未圖示)所佔用的行動計算裝置700內之形狀因素越大。
取決於其之應用,行動計算平台700可包括其他組件,包括但不限於揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、加密處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音訊編解碼器、視訊編解碼器、功率放大器、全球定位系統(GPS) 裝置、指南針、加速度計、陀螺儀、揚聲器、照相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位多功能光碟(DVD)等等)。
在展開視圖720中進一步描述SoC 710。取決於該實施例,SoC 710包括矽基板500之部分(即,晶片),其上製造有電源管理積體電路(PMIC)715、包括RF發射器及/或接收器之RF積體電路(RFIC)725、其之控制器711及一或多個中央處理器核心730、731之兩者或多者。如熟習本技藝之人士將可理解的,在這些功能不同的電路模組中,除了在PMIC 715及RFIC 725中,係典型地專門採用CMOS電晶體,PMIC 715及RFIC 725分別典型地使用LDMOS及III-V HBT技術。然而在本發明之實施例中,PMIC 715及RFIC 725採用本文所述之第三族氮化物電晶體(例如,第三族氮化物電晶體100或200)。在其他實施例中,採用本文所述之第三族氮化物電晶體之PMIC 715及RFIC 725係與控制器711及處理器核心720、730之一或多者集成,以與PMIC 715及/或RFIC 725單片集成至矽基板500上的矽CMOS技術提供。將可理解的是,在PMIC 715及/或RFIC 725內,本文所述之有高電壓、高頻率能力的第三族氮化物電晶體不需要排除CMOS而被使用,而是矽CMOS可進一步被包括在PMIC 715及RFIC 725之各者中。
RFIC 725可實作任何許多無線標準或協議,包括但不限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長程演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、該等之衍生物,以及被指定為3G、4G、5G及之後的任何其他無線協議。 平台725可包括複數個通訊晶片。例如,第一通訊晶片可專用於諸如Wi-Fi及藍牙之更短範圍的無線通訊,而第二通訊晶片可專用諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他之更長範圍的無線通訊。
在存在高電壓波動(例如,PMIC 715內之7-10V電池功率調節、DC至DC轉換等)之情形中,可具體使用本文所述之第三族氮化物電晶體。如圖所示,在範例實施例中,PMIC 715具有耦合至電池713之輸入,並具有輸入,提供電流供應給SoC 710中的所有其他功能模組。在其他實施例中,在行動計算平台700內但在SoC 710外設置額外的IC的情況下,PMIC 715輸出進一步提供電流供應給所有這些在SoC 710外的額外IC。如進一步所示,在範例實施例中,RFIC 725具有耦合至天線的一輸出,且可進一步具有一輸入,其耦合至SoC 710上的通訊模組,諸如RF類比及數位基頻模組(未圖示)。替代地,此種通訊模組可被設置在SoC 710之晶片外IC上,且耦合至SoC 710用於傳輸。取決於所使用之第三族氮化物材料,本文所述之第三族氮化物電晶體(例如,200或100)可進一步提供大附加功率效率(PAE),其為具有至少十倍載波頻率之Ft(例如,設計用於3G或GSM蜂 巢式通訊之RFIC 725中的1.9GHz)的功率放大器電晶體所需要。
應可理解的是,上面說明係示例的而非限制的。例如,雖然圖式中的流程圖顯示由本發明之某些實施例所實施之特別的操作順序,但應可理解的是,此種順序可能非必需的(例如,替代實施例可能以不同順序實施該等操作、結合某些操作、重疊某些操作等)。此外,許多其他實施例將在熟習此技藝之人士閱讀及理解上面說明後變得顯而易見。雖然本發明已參考特定範例實施例來說明,將可理解的是本發明並不限於所述之實施例,而可以在隨附之申請專利範圍之精神及範圍內的修改及變更來實施。因此,本發明之範圍應參考隨附之申請專利範圍,以及此等申請專利範圍所請求之等效的全部範圍。
100‧‧‧第三族氮化物電晶體
200‧‧‧第三族氮化物電晶體
205‧‧‧基底層
210A‧‧‧奈米線
210B‧‧‧奈米線
220‧‧‧源極區
245‧‧‧通道區
230‧‧‧汲極區
235A‧‧‧外部汲極區
235B‧‧‧外部汲極區
215‧‧‧半導體層
215A‧‧‧側壁部分
250‧‧‧閘極堆疊
250A‧‧‧閘極堆疊
240‧‧‧閘極介電層
212A‧‧‧半導體材料層
212B‧‧‧半導體材料層
212C‧‧‧半導體材料層
255‧‧‧間隔物
222A‧‧‧源極接觸
222B‧‧‧源極接觸
232A‧‧‧汲極接觸
232B‧‧‧汲極接觸

Claims (7)

  1. 一種第三族氮化物電晶體,包含:奈米線,設置在一基板上,其中該奈米線之縱向長度進一步包含:第一第三族氮化物材料之通道區,該第一第三族氮化物材料具有纖鋅礦結構的結晶結構;源極區,與該通道區之第一端電性耦合;汲極區,與該通道區之第二端電性耦合;及包含第二第三族氮化物材料的外部汲極區,分開該汲極區及該通道區,閘極堆疊,其完全地同軸環繞該通道區。
  2. 如申請專利範圍第1項之第三族氮化物電晶體,其中該第二第三族氮化物材料具有比該第一第三族氮化物材料之能帶隙更寬的能帶隙。
  3. 如申請專利範圍第1項之第三族氮化物電晶體,其中該外部汲極區為該第一及第二第三族氮化物材料之合金,具有在該第一及第二第三族氮化物材料之能帶隙之間的能帶隙中間值。
  4. 如申請專利範圍第1項之第三族氮化物電晶體,其中該第一第三族氮化物材料基本上由GaN構成、或基本上由InN構成、或基本上由AlxIn1-xN構成,其中x小於1、或基本上由AlxGa1-xN構成,其中x小於1。
  5. 如申請專利範圍第4項之第三族氮化物電晶體,其中該第二第三族氮化物包含AlN、GaN、InN、 AlzIn1-zN、或AlzGa1-zN,其中z不同於x。
  6. 一種系統單晶片(SoC),包含:一電源管理積體電路(PMIC),包括切換式電壓調節器或切換式DC-DC(直流-直流)轉換器之至少一者;及一RF積體電路(RFIC),包括一功率放大器,其可以均至少為20GHz之截止頻率Ft及最大振盪頻率Fmax操作,並產生至少為2GHz之一載波頻率,其中該PMIC及RFIC兩者均係單片集成至一相同基板上,且其中PMIC及RFIC之至少一個包括如申請專利範圍第1項之第三族氮化物電晶體。
  7. 一種行動計算裝置,包含:一觸控螢幕;一電池;一天線;及如申請專利範圍第6項之SoC,其中該PMIC係耦合至該電池,且其中該RFIC係耦合至該天線。
TW104104569A 2011-12-19 2012-12-04 第三族氮化物奈米線電晶體 TWI556448B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/065919 WO2013095343A1 (en) 2011-12-19 2011-12-19 Group iii-n nanowire transistors

Publications (2)

Publication Number Publication Date
TW201539761A TW201539761A (zh) 2015-10-16
TWI556448B true TWI556448B (zh) 2016-11-01

Family

ID=48669010

Family Applications (3)

Application Number Title Priority Date Filing Date
TW104104569A TWI556448B (zh) 2011-12-19 2012-12-04 第三族氮化物奈米線電晶體
TW105125775A TWI592992B (zh) 2011-12-19 2012-12-04 第三族氮化物奈米線電晶體
TW101145434A TWI483398B (zh) 2011-12-19 2012-12-04 第三族氮化物奈米線電晶體

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW105125775A TWI592992B (zh) 2011-12-19 2012-12-04 第三族氮化物奈米線電晶體
TW101145434A TWI483398B (zh) 2011-12-19 2012-12-04 第三族氮化物奈米線電晶體

Country Status (5)

Country Link
US (5) US9240410B2 (zh)
CN (2) CN104011868B (zh)
DE (1) DE112011105945B4 (zh)
TW (3) TWI556448B (zh)
WO (1) WO2013095343A1 (zh)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104011868B (zh) * 2011-12-19 2017-02-15 英特尔公司 Ⅲ族‑n纳米线晶体管
CN107039515B (zh) * 2011-12-19 2021-05-25 英特尔公司 高电压场效应晶体管
US9123790B2 (en) * 2011-12-28 2015-09-01 Intel Corporation Contact techniques and configurations for reducing parasitic resistance in nanowire transistors
US8896101B2 (en) * 2012-12-21 2014-11-25 Intel Corporation Nonplanar III-N transistors with compositionally graded semiconductor channels
EP3050111A4 (en) * 2013-09-27 2017-06-07 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
EP3050112B1 (en) * 2013-09-27 2021-12-15 Google LLC Composite high-k metal gate stack for enhancement mode gan semiconductor devices
KR102514481B1 (ko) * 2013-09-27 2023-03-27 인텔 코포레이션 Iii-v족 재료 능동 영역과 그레이딩된 게이트 유전체를 갖는 반도체 디바이스
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
KR102168475B1 (ko) * 2014-03-24 2020-10-21 인텔 코포레이션 나노와이어 디바이스들을 위한 내부 스페이서들을 제조하는 집적 방법들
JP2015207651A (ja) * 2014-04-21 2015-11-19 日本電信電話株式会社 半導体装置
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US9269777B2 (en) * 2014-07-23 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structures and methods of forming same
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
CN106575670B (zh) 2014-09-18 2020-10-16 英特尔公司 用于硅cmos相容半导体器件中的缺陷扩展控制的具有倾斜侧壁刻面的纤锌矿异质外延结构
US10229991B2 (en) 2014-09-25 2019-03-12 Intel Corporation III-N epitaxial device structures on free standing silicon mesas
US10573647B2 (en) 2014-11-18 2020-02-25 Intel Corporation CMOS circuits using n-channel and p-channel gallium nitride transistors
WO2016099509A1 (en) 2014-12-18 2016-06-23 Intel Corporation N-channel gallium nitride transistors
EP3235009A4 (en) * 2014-12-19 2018-07-25 INTEL Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
CN105990414A (zh) * 2015-02-06 2016-10-05 联华电子股份有限公司 半导体结构及其制作方法
CN104934479A (zh) * 2015-05-11 2015-09-23 中国科学院半导体研究所 基于soi衬底的ⅲ-v族纳米线平面晶体管及制备方法
EP3298628A4 (en) 2015-05-19 2019-05-22 INTEL Corporation SEMICONDUCTOR DEVICES WITH SURFACE-DOPED CRYSTALLINE STRUCTURES
WO2016209283A1 (en) 2015-06-26 2016-12-29 Intel Corporation Heteroepitaxial structures with high temperature stable substrate interface material
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10204985B2 (en) * 2015-11-16 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN105633166B (zh) * 2015-12-07 2019-06-18 中国科学院微电子研究所 具有高质量外延层的纳米线半导体器件及其制造方法
US10978591B2 (en) 2015-12-07 2021-04-13 Institute of Microelectronics, Chinese Academy of Sciences Nanowire semiconductor device having high-quality epitaxial layer and method of manufacturing the same
US9425291B1 (en) 2015-12-09 2016-08-23 International Business Machines Corporation Stacked nanosheets by aspect ratio trapping
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US9548381B1 (en) * 2015-12-14 2017-01-17 Globalfoundries Inc. Method and structure for III-V nanowire tunnel FETs
WO2017111869A1 (en) 2015-12-24 2017-06-29 Intel Corporation Transition metal dichalcogenides (tmdcs) over iii-nitride heteroepitaxial layers
KR102413782B1 (ko) 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
US9570552B1 (en) * 2016-03-22 2017-02-14 Globalfoundries Inc. Forming symmetrical stress liners for strained CMOS vertical nanowire field-effect transistors
US9882000B2 (en) * 2016-05-24 2018-01-30 Northrop Grumman Systems Corporation Wrap around gate field effect transistor (WAGFET)
FR3051970B1 (fr) 2016-05-25 2020-06-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'une structure de canal formee d'une pluralite de barreaux semi-conducteurs contraints
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
CN105870062B (zh) * 2016-06-17 2019-05-31 中国科学院微电子研究所 高质量纳米线cmos器件及其制造方法及包括其的电子设备
CN107546125B (zh) * 2016-06-24 2020-05-15 上海新昇半导体科技有限公司 一种基于纳米线的高电子迁移率晶体管及其制作方法
US10134905B2 (en) * 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
CN106549047B (zh) * 2016-11-03 2020-10-02 武汉华星光电技术有限公司 一种纳米线无结晶体管及其制备方法
CN108063143B (zh) * 2016-11-09 2020-06-05 上海新昇半导体科技有限公司 一种互补晶体管器件结构及其制作方法
US10170627B2 (en) 2016-11-18 2019-01-01 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
US10170378B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device and manufacturing method thereof
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
CN106847910B (zh) * 2017-03-09 2020-07-07 复旦大学 一种GaN基半导体器件及其制备方法
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US11101270B2 (en) * 2017-06-29 2021-08-24 Intel Corporation Techniques and mechanisms for operation of stacked transistors
US11335801B2 (en) 2017-09-29 2022-05-17 Intel Corporation Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication
US11233053B2 (en) 2017-09-29 2022-01-25 Intel Corporation Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication
WO2019066935A1 (en) * 2017-09-29 2019-04-04 Intel Corporation REDUCED CONTACT RESISTANCE GROUP III (N-N) NITRIDE DEVICES AND METHODS OF MAKING THE SAME
US11557667B2 (en) 2017-09-30 2023-01-17 Intel Corporation Group III-nitride devices with improved RF performance and their methods of fabrication
WO2019139619A1 (en) * 2018-01-12 2019-07-18 Intel Corporation Source contact and channel interface to reduce body charging from band-to-band tunneling
CN108519174B (zh) * 2018-03-27 2020-09-08 中国电子科技集团公司第十三研究所 GaN电桥式绝压压力传感器及制作方法
KR102254858B1 (ko) * 2018-09-27 2021-05-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 핀 기반의 전계 효과 트랜지스터
US11257818B2 (en) 2018-09-27 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based field effect transistors
US11387329B2 (en) * 2018-09-28 2022-07-12 Intel Corporation Tri-gate architecture multi-nanowire confined transistor
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN109616519A (zh) * 2018-12-06 2019-04-12 贵阳学院 一种零亚阈摆幅零碰撞电离晶体管器件及制造方法
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
EP4029139A4 (en) 2019-09-13 2023-09-27 Milwaukee Electric Tool Corporation CURRENT TRANSFORMER WITH WIDE BANDGAP SEMICONDUCTORS
CN111243960A (zh) * 2020-01-20 2020-06-05 中国科学院上海微系统与信息技术研究所 一种半导体纳米线及场效应晶体管的制备方法
US11855225B2 (en) * 2020-02-27 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial bridge feature and methods of forming the same
US11257917B2 (en) * 2020-06-05 2022-02-22 Qualcomm Incorporated Gate-all-around (GAA) transistors with additional bottom channel for reduced parasitic capacitance and methods of fabrication
KR20220051884A (ko) 2020-10-19 2022-04-27 삼성전자주식회사 반도체 소자

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921700B2 (en) * 2003-07-31 2005-07-26 Freescale Semiconductor, Inc. Method of forming a transistor having multiple channels
US20080161073A1 (en) * 2006-08-14 2008-07-03 Joonbae Park Radio frequency integrated circuit
US20100163926A1 (en) * 2008-12-29 2010-07-01 Hudait Mantu K Modulation-doped multi-gate devices

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6664575B2 (en) 2000-12-05 2003-12-16 Showa Denko Kabushiki Kaisha GaInP stacked layer structure and field-effect transistor manufactured using the same
US7030428B2 (en) 2001-12-03 2006-04-18 Cree, Inc. Strain balanced nitride heterojunction transistors
US7135728B2 (en) 2002-09-30 2006-11-14 Nanosys, Inc. Large-area nanoenabled macroelectronic substrates and uses therefor
US7101761B2 (en) * 2003-12-23 2006-09-05 Intel Corporation Method of fabricating semiconductor devices with replacement, coaxial gate structure
FR2875338B1 (fr) 2004-09-13 2007-01-05 Picogiga Internat Soc Par Acti Methode d'elaboration de structures hemt piezoelectriques a desordre d'alliage nul
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
KR100618900B1 (ko) * 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
WO2006135336A1 (en) * 2005-06-16 2006-12-21 Qunano Ab Semiconductor nanowire transistor
US7354831B2 (en) * 2005-08-08 2008-04-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
CN101390201B (zh) 2005-12-28 2010-12-08 日本电气株式会社 场效应晶体管和用于制备场效应晶体管的多层外延膜
FR2897204B1 (fr) * 2006-02-07 2008-05-30 Ecole Polytechnique Etablissem Structure de transistor vertical et procede de fabrication
US7388236B2 (en) 2006-03-29 2008-06-17 Cree, Inc. High efficiency and/or high power density wide bandgap transistors
JP4296195B2 (ja) 2006-11-15 2009-07-15 シャープ株式会社 電界効果トランジスタ
JP4436843B2 (ja) 2007-02-07 2010-03-24 株式会社日立製作所 電力変換装置
GB0801494D0 (en) * 2007-02-23 2008-03-05 Univ Ind & Acad Collaboration Nonvolatile memory electronic device using nanowire used as charge channel and nanoparticles used as charge trap and method for manufacturing the same
KR100850905B1 (ko) * 2007-02-23 2008-08-07 고려대학교 산학협력단 나노선­나노입자 이종결합의 비휘발성 메모리 전자소자 및그 제조방법
WO2008112185A1 (en) 2007-03-09 2008-09-18 The Regents Of The University Of California Method to fabricate iii-n field effect transistors using ion implantation with reduced dopant activation and damage recovery temperature
JP4584293B2 (ja) 2007-08-31 2010-11-17 富士通株式会社 窒化物半導体装置、ドハティ増幅器、ドレイン電圧制御増幅器
US8188513B2 (en) 2007-10-04 2012-05-29 Stc.Unm Nanowire and larger GaN based HEMTS
JP4966153B2 (ja) * 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
US8674407B2 (en) 2008-03-12 2014-03-18 Renesas Electronics Corporation Semiconductor device using a group III nitride-based semiconductor
US8106459B2 (en) * 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
US8017933B2 (en) 2008-06-30 2011-09-13 Intel Corporation Compositionally-graded quantum-well channels for semiconductor devices
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US7816275B1 (en) * 2009-04-03 2010-10-19 International Business Machines Corporation Gate patterning of nano-channel devices
US20100270591A1 (en) 2009-04-27 2010-10-28 University Of Seoul Industry Cooperation Foundation High-electron mobility transistor
WO2011014129A1 (en) * 2009-07-31 2011-02-03 Agency For Science, Technology And Research A transistor arrangement and a method of forming a transistor arrangement
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
WO2011038228A1 (en) * 2009-09-24 2011-03-31 President And Fellows Of Harvard College Bent nanowires and related probing of species
US8623451B2 (en) * 2009-11-10 2014-01-07 Georgia Tech Research Corporation Large-scale lateral nanowire arrays nanogenerators
US8563395B2 (en) * 2009-11-30 2013-10-22 The Royal Institute For The Advancement Of Learning/Mcgill University Method of growing uniform semiconductor nanowires without foreign metal catalyst and devices thereof
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US20110147840A1 (en) * 2009-12-23 2011-06-23 Cea Stephen M Wrap-around contacts for finfet and tri-gate devices
US8193523B2 (en) 2009-12-30 2012-06-05 Intel Corporation Germanium-based quantum well devices
US8344425B2 (en) * 2009-12-30 2013-01-01 Intel Corporation Multi-gate III-V quantum well structures
WO2011118098A1 (ja) 2010-03-26 2011-09-29 日本電気株式会社 電界効果トランジスタ、電界効果トランジスタの製造方法、および電子装置
CN102280375B (zh) 2010-06-08 2013-10-16 中国科学院微电子研究所 一种先栅工艺中叠层金属栅结构的制备方法
US8298881B2 (en) * 2010-06-28 2012-10-30 International Business Machines Corporation Nanowire FET with trapezoid gate structure
US8536563B2 (en) * 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
EP2761663B1 (en) * 2011-09-29 2016-09-14 Intel Corporation Method of depositing electropositive metal containing layers for semiconductor applications
US8580624B2 (en) * 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
CN107039515B (zh) * 2011-12-19 2021-05-25 英特尔公司 高电压场效应晶体管
CN104011868B (zh) * 2011-12-19 2017-02-15 英特尔公司 Ⅲ族‑n纳米线晶体管
WO2013096821A1 (en) 2011-12-21 2013-06-27 Massachusetts Institute Of Technology Aluminum nitride based semiconductor devices
US8890119B2 (en) * 2012-12-18 2014-11-18 Intel Corporation Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US8896101B2 (en) * 2012-12-21 2014-11-25 Intel Corporation Nonplanar III-N transistors with compositionally graded semiconductor channels
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US8872161B1 (en) * 2013-08-26 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate circuit with nanowires
US9450046B2 (en) * 2015-01-08 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with fin structure and wire structure and method for forming the same
US20170170268A1 (en) * 2015-12-15 2017-06-15 Qualcomm Incorporated NANOWIRE METAL-OXIDE SEMICONDUCTOR (MOS) FIELD-EFFECT TRANSISTORS (FETs) (MOSFETs) EMPLOYING A NANOWIRE CHANNEL STRUCTURE HAVING ROUNDED NANOWIRE STRUCTURES
US10157992B2 (en) * 2015-12-28 2018-12-18 Qualcomm Incorporated Nanowire device with reduced parasitics

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921700B2 (en) * 2003-07-31 2005-07-26 Freescale Semiconductor, Inc. Method of forming a transistor having multiple channels
US20080161073A1 (en) * 2006-08-14 2008-07-03 Joonbae Park Radio frequency integrated circuit
US20100163926A1 (en) * 2008-12-29 2010-07-01 Hudait Mantu K Modulation-doped multi-gate devices

Also Published As

Publication number Publication date
CN106887453A (zh) 2017-06-23
US20160315153A1 (en) 2016-10-27
TWI592992B (zh) 2017-07-21
CN104011868A (zh) 2014-08-27
US20170288022A1 (en) 2017-10-05
US9397188B2 (en) 2016-07-19
TWI483398B (zh) 2015-05-01
US9691857B2 (en) 2017-06-27
US9240410B2 (en) 2016-01-19
US10541305B2 (en) 2020-01-21
TW201539761A (zh) 2015-10-16
US20190165106A1 (en) 2019-05-30
CN106887453B (zh) 2020-08-21
TW201342601A (zh) 2013-10-16
US20130279145A1 (en) 2013-10-24
WO2013095343A1 (en) 2013-06-27
DE112011105945T5 (de) 2014-09-25
US10186581B2 (en) 2019-01-22
US20160064512A1 (en) 2016-03-03
DE112011105945B4 (de) 2021-10-28
TW201707062A (zh) 2017-02-16
CN104011868B (zh) 2017-02-15

Similar Documents

Publication Publication Date Title
US10541305B2 (en) Group III-N nanowire transistors
US10263074B2 (en) High voltage field effect transistors
US9806203B2 (en) Nonplanar III-N transistors with compositionally graded semiconductor channels
CN107275287B (zh) 用于集成有功率管理和射频电路的片上系统(soc)结构的iii族-n晶体管
TWI577018B (zh) 非平面第三族氮化物電晶體