TWI543255B - 用於電漿切割半導體晶圓的方法及裝置 - Google Patents

用於電漿切割半導體晶圓的方法及裝置 Download PDF

Info

Publication number
TWI543255B
TWI543255B TW103107619A TW103107619A TWI543255B TW I543255 B TWI543255 B TW I543255B TW 103107619 A TW103107619 A TW 103107619A TW 103107619 A TW103107619 A TW 103107619A TW I543255 B TWI543255 B TW I543255B
Authority
TW
Taiwan
Prior art keywords
workpiece
substrate
plasma
cover ring
processing chamber
Prior art date
Application number
TW103107619A
Other languages
English (en)
Other versions
TW201448027A (zh
Inventor
瓦拉卡內特 基普拉
伏樂得 大衛 培
林內爾 馬丁內斯
克里斯 強生
大衛 強生
羅素 威斯特曼
Original Assignee
帕斯馬舍門有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 帕斯馬舍門有限責任公司 filed Critical 帕斯馬舍門有限責任公司
Publication of TW201448027A publication Critical patent/TW201448027A/zh
Application granted granted Critical
Publication of TWI543255B publication Critical patent/TWI543255B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/782Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Dicing (AREA)
  • Plasma Technology (AREA)

Description

用於電漿切割半導體晶圓的方法及裝置 【對相關申請案之交叉參考】
本申請案主張在2011年3月14日申請之題為「Apparatus for Plasma Dicing a Semi-conductor Wafer」的共同擁有之美國臨時專利申請案第61/452,450號之優先權且與該申請案相關,此臨時專利申請案係以引用之方式併入本文中。本申請案為在2012年3月5日申請之題為「用於電漿切割半導體晶圓的方法及裝置(Method and Apparatus for Plasma Dicing a Semi-conductor Wafer)」的同在申請中之專利申請案第13/412,119號之部分接續案,該專利申請案之內容被併入本文中。
本發明係關於對一種用於自半導體晶圓形成個別器件晶片的裝置之使用,且詳言之,係關於一種使用電漿切割將晶圓分離成個別晶粒之裝置。
半導體器件係在呈薄晶圓之形式的基板上加以製造。通常將矽用作基板材料,但亦使用諸如III-V化合物(例如,GaAs及InP)之其他材料。在一些例子(例如,LED之製造)中,基板為上面沈積有半導電材料之薄層的藍寶石或碳化矽晶圓。此等基板之直徑的範圍為自2吋及3吋直至200mm、300mm及450mm,且存在描述此等基板大小之許多標準(例如,SEMI)。
在此等基板之處理中廣泛地使用電漿蝕刻設備以產生半導體器件。此設備通常包括配備有諸如電感耦合電漿(Inductively Coupled Plasma;ICP)之高密度電漿源的真空腔室,該高密度電漿源用以確保成本有效製造所必要之高蝕刻速率。為了移除在處理期間產生之熱,通常將基板夾持至溫度控制支撐件。在基板與支撐件之間維持通常為諸如氦氣之氣體的加壓流體,以提供用於熱轉移之導熱路徑。可使用機械夾持機構,在該機構中將向下力施加至基板之頂面,但此使用可導致歸因於夾鉗與基板之間的接觸的污染。在使用機械夾鉗時亦可發生工件弓形彎曲,此係因為通常在工件邊緣處進行接觸且加壓流體對工件之背面施加力。更頻繁地使用靜電夾盤(ESC)來提供夾持力。
已開發出適用於待蝕刻之材料的眾多氣體化學物質。此等氣體化學物頻繁地使用鹵素(氟、氯、溴或碘)或含鹵素氣體連同經添加以改良蝕刻品質(例如,蝕刻各向異性、遮罩選擇性及蝕刻均一性)之額外氣體。使用含氟氣體(諸如,SF6、F2或NF3)以高速率蝕刻矽。詳言之,通常使用使高速率矽蝕刻步驟與鈍化步驟交替以控制蝕刻側壁之製程(Bosch或TDM)將深部特徵蝕刻至矽中。通常使用含氯氣體及含溴氣體蝕刻III-V材料。
電漿蝕刻不限於半導電基板及器件。該技術可適用於用以蝕刻基板之合適氣體化學物質可用的任何基板類型。其他基板類型可包括含碳基板(包括聚合基板)、陶瓷基板(例如,AlTiC及藍寶石)、金屬基板、玻璃基板及晶粒附接薄膜(die attach film)。
為了確保結果一致、損壞率低及操作簡便,通常在製造程序中使用機器人晶圓處置。處置器經設計以在具有最少接觸之情況下支撐晶圓,以便最少化可能污染且減少微粒之產生。一般使用單獨邊緣接觸或僅在少數位置處之接近晶圓邊緣(通常在距晶圓邊緣3至6mm內)的底面接 觸。包括晶圓匣、機器人臂及在包括晶圓支撐件及ESC之處理腔室夾具內的處置方案經設計以處置標準晶圓大小,如先前所提到。
在製造於基板上之後,個別器件(晶粒或晶片)在封裝或用於其他電子電路中之前彼此分離。許多年以來,已使用機械構件將晶粒彼此分離。已包括此等機械構件以沿著與基板晶軸對準之刻劃線或藉由使用高速鑽石鋸以在晶粒之間的區(切割道)中鋸切至基板中或鋸穿基板來使晶圓斷裂。最近,已使用雷射來促進刻劃製程。
此等機械晶圓切割技術具有影響此方法之成本有效性的限制。沿著晶粒邊緣之切屑及斷裂可減少所產生之良好晶粒的數目,且在晶圓厚度減小時變得更成問題。由鋸條(鋸口)所消耗之區域可大於100微米,該區域為不可用於晶粒產生之有價值區域。對於含有小晶粒之晶圓(例如,具有500微米×500微米之晶粒大小的個別半導體器件)而言,此區域消耗可表示大於20%之損耗。另外,對於具有許多小晶粒且因此具有眾多切割道之晶圓而言,由於個別地分割每一切割道,因此切割時間增加且生產率減小。機械構件亦限於沿著直線之分離及正方形或長方形晶片之產生。此情形不可表示基礎器件佈局(例如,高功率二極體為圓形),且因此直線狀晶粒格式導致可用基板區域之顯著損耗。雷射切割亦由於將殘餘材料留於晶粒表面上或將應力引入至晶粒中而具有限制。
注意到鋸切及雷射切割技術兩者本質上為串列操作係重要的。因此,隨著器件大小減小,切割晶圓所用之時間與晶圓上之總切割道長度成比例地增加。
最近,已提議電漿切割技術作為分離晶粒及克服此等限制中之一些的手段。在器件製造之後,用合適遮罩材料遮蔽基板,而在晶粒之間留下開放區域。接著使用蝕刻在晶粒之間曝露之基板材料的反應性氣體電漿來處理經遮蔽基板。基板之電漿蝕刻可部分或完全地穿過基板而繼續 進行。在部分電漿蝕刻之狀況下,藉由後續分解步驟來分離晶粒,從而留下經分離之個別晶粒。該技術提供由於機械切割之數個益處:1)減少斷裂及切屑;2)可將鋸口尺寸減少至遠低於20微米;3)處理時間不隨著晶粒數目增加而顯著增加;4)減少較薄晶圓之處理時間;及5)晶粒佈局不限於直線狀格式。
在器件製造之後,但在晶粒分離之前,可藉由機械研磨或類似製程將基板薄化降至數百微米或甚至小於一百微米之厚度。
在切割製程之前,通常將基板安裝於切割夾具上。此夾具通常由支撐黏膜之剛性框架組成。將待切割之基板黏附至該膜。此夾具固持經分離晶粒以用於後續下游操作。用於晶圓切割之大多數工具(鋸或基於雷射之工具)經設計以在此組態中處置基板,且已建立數個標準夾具,然而,此等夾具與其支撐之基板截然不同。儘管此等夾具經最佳化以用於當前晶圓切割設備中,但不可在已經設計以處理標準基板之設備中處理該等夾具。因此,當前自動化電壓切割設備不適合於處理經固定以用於切割之基板,且難以實現電漿蝕刻技術對於晶粒分離而應具有的益處。
一些群體已預期使用電漿來自晶圓基板使晶粒單體化。專利6,642,127描述電漿切割技術,其中在經設計以用於處理矽晶圓之設備中的電漿處理之前,首先經由黏著材料將基板晶圓附接至載體晶圓。此技術提議將待切割之基板的外觀尺寸調適成與標準晶圓處理設備相容。雖然此技術允許標準電漿設備切割晶圓,但所提議之技術將不與切割操作下游之標準設備相容。將需要額外步驟來調適下游設備抑或為標準下游設備還原基板外觀尺寸。
美國專利申請案2010/0048001預期使用經黏附至薄膜且支 撐於框架內之晶圓。然而,在2010/0048001申請案中,藉由在電漿處理之前將遮罩材料黏附至晶圓之背面及使用雷射界定蝕刻切割道來達成遮罩製程。與自正面使基板單體化之標準切割技術相對比,此技術引入可抵消電漿切割之優點中之一些的額外複雜及昂貴步驟。此技術亦需要使背面遮罩與正面器件圖案對準之額外需求。
因此,需要一種電漿蝕刻裝置,其可用於將半導體基板切割成個別晶粒且與處置安裝於帶上且支撐於框架中之基板的已建立晶圓切割技術相容,且亦與標準的正面遮罩技術相容。
在先前技術中,無事項提供本發明所伴隨之益處。
因此,本發明之目標為提供改良,其克服先前技術器件之不適當性且為對使用電漿蝕刻裝置切割半導體基板之進步的顯著貢獻。
本發明之另一目標為提供一種用於電漿處理基板之方法,該方法包含:提供具有壁之處理腔室;鄰近於處理腔室之壁提供電漿源;在處理腔室內提供工件支撐件;將工件裝載至該工件支撐件上,該工件具有支撐薄膜、框架及基板;在該工件上方提供蓋環,該蓋環具有至少一個穿孔區;使用電漿源產生電漿;及使用所產生電漿處理該工件。
本發明之又一目標為提供一種用於電漿處理基板之方法,該方法包含:提供具有壁之處理腔室;鄰近於處理腔室之壁提供電漿源;在處理腔室內提供工件支撐件;將工件裝載至該工件支撐件上,該工件具有支撐薄膜、框架及基板;在該工件上方提供蓋環,該蓋環具有至少一個穿孔區及至少一個非穿孔區;使用電漿源產生電漿;及使用所產生電漿處理該工件。
前述內容已概括本發明之相關目標中之一些。此等目標應解釋為僅說明所預期的本發明之更突出特徵及應用中之一些。許多其他有益結果可藉由在本發明之範疇內以不同方式應用所揭示的本發明或修改本發 明來獲得。因此,除由結合隨附圖式採用的申請專利範圍界定的本發明之範疇外,亦可藉由參考【發明內容】及在較佳具體實例之【實施方式】來得到本發明之其他目標及對本發明之更完整理解。
本發明描述一種電漿處理裝置,其允許一半導體基板之電漿切割。在器件製造及晶圓薄化之後,使用保護電路組件及留下晶粒之後的未受保護區域的習知遮罩技術來遮蔽基板之正面(電路側)。將基板安裝於支撐於剛性框架內之薄型帶上。將基板/帶/框架總成轉移至真空處理腔室中,且使該總成曝露至反應性氣體電漿,其中蝕刻掉晶粒之間的未受保護區域。在此製程期間,保護框架及帶以免受反應性氣體電漿損害。該處理使晶粒完全分離。在蝕刻之後,另外使基板/帶/框架總成曝露至自基板表面移除潛在損害之殘餘物的電漿。在將基板/帶/框架總成轉移出處理腔室之後,使用熟知技術自帶移除晶粒且接著在必要時進一步處理(例如,封裝)晶粒。
本發明之另一特徵為提供一種用於電漿處理一基板之方法。該基板可具有諸如矽之一半導電層,及/或該基板可具有諸如GaAs之一III-V層。該基板可具有在該基板之一電路側上圖案化的一保護層,諸如一光阻層。提供具有一壁之一處理腔室,該處理腔室具有鄰近於該處理腔室之該壁的一電漿源。該電漿源可為一高密度電漿源。可提供與該處理腔室形成流體連通之一真空泵及與該處理腔室形成流體連通之一氣體入口。在該處理腔室內提供一工件支撐件。藉由將該基板置放於一載體支撐件上來形成一工件。可藉由將該基板黏附至一支撐薄膜及接著將具有該支撐薄膜之該基板安裝至一框架來形成該工件。該支撐薄膜可具有一聚合物層及/或一導電層。該支撐薄膜可為標準切割帶。該框架可具有一導電層及/或一金屬層。接著將該工件裝載至該工件支撐件上以供電漿處理。一RF電源可 耦合至該工件支撐件以在該工件周圍產生一電漿。可藉由將諸如氦氣之一加壓氣體自該工件支撐件供應至該工件來提供該工件與該工件支撐件之間的一熱連通。可將一靜電夾盤併入至該工件支撐件中,藉以該靜電夾盤可將該支撐薄膜夾持至該靜電夾盤。在該工件上方安置一蓋環。該蓋環具有至少一個穿孔區。該蓋環可進一步包含大小可比該基板之一直徑大至少0.1mm的一開口,或該開口之大小可比該基板之一直徑大至少1mm。該蓋環可並不重疊該基板。該蓋環之該穿孔區可允許電漿在該基板之一周邊外部接觸該工件。該蓋環之該穿孔區可並不重疊該基板。該蓋環之該穿孔區可重疊該薄膜。該蓋環之該穿孔區可重疊該框架。該工件支撐件內之該靜電夾盤可具有重疊該蓋環之該穿孔區的至少一個夾持電極。該靜電夾盤之該夾持電極可完全重疊該蓋環之該穿孔區。該蓋環之該穿孔區可重疊該工件之一部分,在該部分處,該工件與該工件支撐件進行熱接觸。除在該工件之由該基板重疊的一區域中外,該蓋環之該穿孔區可重疊該工件。該蓋環之該穿孔區可並不重疊該工件之一未受夾持部分。該蓋環之該穿孔區可並不重疊該工件之一外部部分,其中該工件之該外部部分可在該靜電夾盤之一最外密封環帶外部。可經由該真空泵減小該處理腔室內之壓力,且可經由該氣體入口將一處理氣體引入至該處理腔室中。經由該電漿源產生一電漿,藉以藉由該所產生電漿處理該工件。可提供與該處理腔室連通之一真空相容轉移模組。可將該工件裝載至該真空相容轉移模組中之一轉移臂上,藉以在將該工件自該真空相容轉移模組轉移至該處理腔室期間將該處理腔室維持在真空下。
本發明之又一特徵為提供一種用於電漿處理一基板之方法。該基板可具有諸如矽之一半導電層,及/或該基板可具有諸如GaAs之一III-V層。該基板可具有在該基板之一電路側上圖案化的一保護層,諸如一光阻層。提供具有一壁之一處理腔室,該處理腔室具有鄰近於該處理腔 室之該壁的一電漿源。該電漿源可為一高密度電漿源。可提供與該處理腔室形成流體連通之一真空泵及與該處理腔室形成流體連通之一氣體入口。在該處理腔室內提供一工件支撐件。藉由將該基板置放於一載體支撐件上來形成一工件。可藉由將該基板黏附至一支撐薄膜及接著將具有該支撐薄膜之該基板安裝至一框架來形成該工件。該支撐薄膜可具有一聚合物層及/或一導電層。該支撐薄膜可為標準切割帶。該框架可具有一導電層及/或一金屬層。接著將該工件裝載至該工件支撐件上以供電漿處理。一RF電源可耦合至該工件支撐件以在該工件周圍產生一電漿。可藉由將諸如氦氣之一加壓氣體自該工件支撐件供應至該工件來提供該工件與該工件支撐件之間的一熱連通。可將一靜電夾盤併入至該工件支撐件中,藉以該靜電夾盤可將該支撐薄膜夾持至該靜電夾盤。在該工件上方安置一蓋環。該蓋環具有至少一個穿孔區及至少一個非穿孔區。該蓋環可進一步包含大小可比該基板之一直徑大至少0.1mm的一開口,或該開口之大小可比該基板之一直徑大至少1mm。該蓋環可並不重疊該基板。該蓋環之該穿孔區可允許電漿在該基板之一周邊外部接觸該工件。該蓋環之該穿孔區可並不重疊該基板。該蓋環之該穿孔區可重疊該薄膜。該蓋環之該穿孔區可重疊該框架。該工件支撐件內之該靜電夾盤可具有重疊該蓋環之該穿孔區的至少一個夾持電極。該靜電夾盤之該夾持電極可完全重疊該蓋環之該穿孔區。該蓋環之該穿孔區可重疊該工件之一部分,在該部分處,該工件與該工件支撐件進行熱接觸。該蓋環之該穿孔區可並不重疊該工件,其中該工件不與該工件支撐件進行熱接觸。該蓋環之該穿孔區可並不重疊該工件之一未受夾持部分。該蓋環之該穿孔區可並不重疊該工件之一外部部分,其中該工件之該外部部分可在該靜電夾盤之一最外密封環帶外部。該蓋環之該非穿孔區可防止電漿接觸與由蓋環之該非穿孔區重疊的該工件。該蓋環之該非穿孔區之一部分可定位成不與該蓋環之該穿孔區共平面。該蓋環之該穿孔區可定 位於與該工件相距一第一距離處;該蓋環之該非穿孔區可定位於與該工件相距一第二距離處;其中該第一距離可大於該第二距離。一第一離子密度可在該蓋環下;一第二離子密度可在該基板處;其中該第一離子密度可小於該第二離子密度。一第一離子密度可在該蓋環之該穿孔區下;一第二離子密度可在該基板處;其中該第一離子密度可小於該第二離子密度。該蓋環之該穿孔區可使一離子通量減少至少10%。該蓋環之該穿孔區可使一離子通量減少至少30%。一第一電漿密度可在該蓋環之該非穿孔區下;一第二電漿密度可在該基板處;其中該第一電漿密度可小於該第二電漿密度。一第一電漿密度可在該蓋環之該非穿孔區下的該工件處;一第二電漿密度可在該蓋環之該穿孔區下;一第三電漿密度可在該基板處;其中該第一電漿密度可小於該第二電漿密度;且其中該第二電漿密度可小於該第三電漿密度。可經由該真空泵減小該處理腔室內之壓力,且可經由該氣體入口將一處理氣體引入至該處理腔室中。經由該電漿源產生一電漿,藉以藉由該所產生電漿處理該工件。可提供與該處理腔室連通之一真空相容轉移模組。可將該工件裝載至該真空相容轉移模組中之一轉移臂上,藉以在將該工件自該真空相容轉移模組轉移至該處理腔室期間將該處理腔室維持在真空下。
前述內容已相當廣泛地概括了本發明之更突出及重要特徵,以便更好地理解本發明之以下【實施方式】,使得可更充分地理解當前技術貢獻。將在下文中描述形成本發明之申請專利範圍之標的物的本發明之額外特徵。彼等熟習此項技術者應瞭解,所揭示之概念及特定具體實例可容易用作修改或設計用於實現本發明之相同目的之其他結構的基礎。彼等熟習此項技術者亦應認識到,此等均等構造並不脫離如在附加申請專利範圍中所闡述的本發明之精神及範疇。
100‧‧‧剛性基板
101‧‧‧外周邊
110‧‧‧器件結構/晶粒
120‧‧‧切割道區域
200‧‧‧保護材料
300‧‧‧膠帶/可撓性膜
310‧‧‧框架
320‧‧‧工件
400‧‧‧電漿
500‧‧‧晶粒
600‧‧‧真空處理腔室
610‧‧‧氣體入口
620‧‧‧高密度電漿源
630‧‧‧工件支撐件
640‧‧‧RF電源
650‧‧‧真空泵
660‧‧‧保護蓋環
661‧‧‧內周邊
662‧‧‧開口
663‧‧‧開口
670‧‧‧靜電夾盤(ESC)
680‧‧‧提昇機構
690‧‧‧機械隔板
695‧‧‧穿孔
700‧‧‧襯環
800‧‧‧距離
810‧‧‧基板至框架尺寸
820‧‧‧蓋環至基板距離
830‧‧‧蓋環懸垂尺寸
1000‧‧‧散熱片
1010‧‧‧泵抽孔/泵抽孔口
1100‧‧‧轉移臂
1110‧‧‧對準夾具
1700‧‧‧密封區
1710‧‧‧氦氣入口孔
1720‧‧‧提昇銷孔
1730‧‧‧經圖案化表面
1800‧‧‧壓力區帶
1810‧‧‧壓力區帶
2000‧‧‧間隙
2010‧‧‧夾持電極
2015‧‧‧餘隙
2020‧‧‧表面
2025‧‧‧提昇銷
2030‧‧‧基板接觸表面
2200‧‧‧重疊區
2210‧‧‧圖案特徵間隔
2220‧‧‧圖案特徵大小
2230‧‧‧圖案深度
2240‧‧‧重疊區
2250‧‧‧未受遮擋密封環帶區
2300‧‧‧電絕緣層
2310‧‧‧上部電絕緣層
2320‧‧‧所夾持材料
2330‧‧‧靜電夾盤(ESC)
2340‧‧‧夾持電極
2600‧‧‧穿孔大小
2610‧‧‧穿孔之間的間隔
2620‧‧‧機械隔板厚度
2700‧‧‧開凹口
2710‧‧‧圖案間隙
2720‧‧‧材料
2730‧‧‧材料
2800‧‧‧穿孔區
2810‧‧‧非穿孔區
2820‧‧‧蓋環開口
2830‧‧‧蓋環
2831‧‧‧內周邊
3100‧‧‧製程控制監視器(PCM)結構
3110‧‧‧製程控制監視器(PCM)切割道區
3120‧‧‧切割道相交區
3130‧‧‧切割道區
3200‧‧‧蝕刻輔助特徵
3201‧‧‧蝕刻輔助特徵群組
3300‧‧‧製程控制監視器(PCM)輔助特徵
3310‧‧‧間隙
3320‧‧‧帶拉伸間隙
3330‧‧‧寬度
3400‧‧‧晶粒群組
3410‧‧‧製程控制監視器(PCM)切割道寬度
3500‧‧‧經修改製程控制監視器(PCM)結構
3600‧‧‧最外晶圓
3620‧‧‧邊緣區
3625‧‧‧虛線
3700‧‧‧負載輔助特徵
3710‧‧‧圖案間隙
3720‧‧‧負載輔助特徵間隙
3730‧‧‧經曝露區域
3800‧‧‧距離
3900‧‧‧距離
圖1為說明由切割道分離之個別器件的半導體基板之自上而下的圖;圖2為說明由切割道分離之個別器件的半導體基板之橫截面圖;圖3為經安裝至帶及框架之半導體基板之橫截面圖;圖4為經安裝至帶及框架之正由電漿製程蝕刻的半導體基板之橫截面圖;圖5為經安裝至帶及框架之經分離半導體器件之橫截面圖;圖6為真空處理腔室之橫截面圖;圖7為在處理位置中之晶圓/框架之橫截面;圖8為在真空處理腔室中之框架及蓋環之放大橫截面圖;圖9為具有安裝至腔室壁之蓋環的腔室內部之截面之橫截面圖;圖10為具有安裝至內部散熱片之蓋環的腔室內部之截面之橫截面圖;圖11為經安裝至由轉移臂支撐之帶及框架的半導體基板之自上而下的圖;圖12為經安裝至由轉移臂支撐之帶及框架的半導體基板之橫截面圖;圖13為在轉移位置中之晶圓/框架之橫截面圖;圖14為擋板(screen)之俯視圖;圖15為根據先前技術之靜電夾盤之俯視圖;圖16為根據先前技術之多區帶靜電夾盤之俯視圖;圖17為根據本發明之一個具體實例的靜電夾盤之俯視圖;圖18為根據先前技術之靜電夾盤上的基板之橫截面圖;圖19為根據本發明之一個具體實例的靜電夾盤上之工件之橫截面圖;圖20為根據本發明之一個具體實例的靜電夾盤之橫截面圖;圖21為根據本發明之一個具體實例的靜電夾盤之橫截面圖;圖22為根據本發明之一個具體實例的具有多個基板之工件之俯視圖; 圖23a至圖23c為根據本發明之機械隔板的變化之橫截面圖;圖24為根據本發明之一個具體實例的經蝕刻特徵之橫截面圖;圖25為根據本發明之用以調整機械隔板的方法之流程圖;圖26為根據本發明之一個具體實例的靜電夾盤之橫截面;圖27為根據本發明之一個具體實例的靜電夾盤之橫截面;圖28為根據本發明之蓋環之自上而下的圖;圖29為根據本發明之蓋環及ESC之橫截面;圖30為根據本發明之蓋環及ESC之橫截面;圖31a為根據先前技術之個別器件群組之俯視圖;圖31b為根據先前技術之個別器件群組及製程控制監視器之俯視圖;圖32為根據本發明之個別器件群組之俯視圖;圖33為根據本發明之個別器件群組及製程控制監視器之俯視圖;圖34為根據本發明之個別器件群組及製程控制監視器之俯視圖;圖35為根據本發明之個別器件群組及製程控制監視器之俯視圖;圖36為根據先前技術的說明由切割道分離之個別器件的半導體基板之自上而下的圖;圖37為根據本發明的說明由切割道分離之個別器件的半導體基板之自上而下的圖;圖38為根據本發明之蓋環之自上而下的圖;圖39為根據本發明之蓋環之自上而下的圖;圖40為根據本發明之蓋環之自上而下的圖;及圖41為根據本發明之蓋環之自上而下的圖。
貫穿圖式之所有視圖,類似參考字元係指類似部分。
在器件製造之後的典型半導體基板說明於圖1中。基板 (100)在其表面上具有數個區域,其含有由允許將器件結構分離成個別晶粒之切割道區域(120)分離的器件結構(110)。儘管通常將矽用作基板材料,但頻繁地使用由於特定特性而被選擇之其他材料。此等基板材料包括砷化鎵及其他III-V材料,或上面已沈積有半導電層之非半導體基板。其他基板類型亦可包括絕緣體上矽(Silicon-On-Insulator;SOI)晶圓及安裝於載體上之半導體晶圓。雖然上文之實例描述由切割道分離之晶粒,但本發明之態樣可有益地適用於基板上之其他圖案組態。
在本發明中,如圖2中以橫截面圖所展示,接著用保護材料(200)覆蓋器件結構(110),而保持切割道區域(120)未受保護。此保護材料(200)可為光阻,可藉由熟知技術塗覆及圖案化。作為最終製程步驟,藉由跨越整個結構而塗覆之保護介電層(諸如,二氧化矽或PSG)來塗佈一些器件。可藉由用光阻圖案化及蝕刻介電材料來自切割道區域(120)選擇性地移除此介電層,如工業中所熟知的。此移除使器件結構(110)受介電材料保護,且基板(100)在切割道區域(120)中實質上未受保護。注意到,在一些狀況下,用以檢查晶圓品質之測試特徵可位於切割道區域(120)中。取決於特定晶圓製造程序流程,此等測試特徵在晶圓切割製程期間可能或可能未受到保護。儘管所說明之器件圖案展示長方形晶粒,但此並非必要的,且個別器件結構(110)可為如最適合於對基板(100)之最佳利用的任何其他形狀,諸如六邊形。重要的是注意到,雖然先前實例將介電材料視為保護薄膜,但本發明可藉由包括半導電及導電保護薄膜的廣泛範圍之保護薄膜來實踐。此外,保護層可由多種材料組成。注意到保護薄膜之某一部分可為最終器件結構之組成部分亦為重要的。(例如,鈍化介電質、金屬接合墊等。)此外,本發明亦可有益地供塊狀晶圓使用,而不必具有器件或器件結構。一個此實例可為安裝於載體上或未安裝、由界定待蝕刻之結構之遮罩材料覆蓋的半導體基板(矽、III-V化合物等)。該基板亦可含 有具有不同材料性質之至少一個額外層,諸如絕緣層。
通常可藉由研磨製程使基板(100)薄化,該研磨製程將基板厚度減少至數百微米至薄如大約30微米或30微米以下。如圖3中所展示,接著將經薄化基板(100)黏附至帶(300),該帶又被安裝於剛性框架(310)中以形成工件(320)。該框架通常為金屬或塑膠,但其他框架材料為可能的。該帶(300)通常係由含碳聚合物材料製成,且可另外具有塗覆至其表面之薄導電層。該帶(300)提供對經薄化基板(100)之支撐,否則,該經薄化基板太過易碎而無法在不斷裂情況下處置。應注意,圖案化、薄化及接著安裝之順序並非關鍵的,且該等步驟可經調整以最佳適配於所使用之特定器件及基板以及處理設備。重要的是注意到,雖然先前實例考慮由將基板(100)安裝於又被附接至框架(310)之膠帶(300)上組成的工件(320),但本發明並不受晶圓及載體之組態限制。晶圓載體可包含多種材料。載體在電漿切割製程期間支撐基板。此外,無需使用黏著劑將晶圓附接至載體,將晶圓固持至載體且允許基板至陰極之構件熱連通的任何方法為足夠的(例如,靜電夾持載體、具有機械夾持機構之載體等)。
在將具有帶(300)之基板(100)安裝於切割框架(310)中之後,將工件(320)轉移至真空處理腔室中。理想地,轉移模組亦在真空下,其允許處理腔室在轉移期間保持處於真空,從而減少處理時間且防止處理腔室曝露至大氣及可能污染。如圖6中所展示,真空處理腔室(600)裝備有氣體入口(610)、用以產生諸如電感耦合電漿(ICP)之高密度電漿的高密度電漿源(620)、用以支撐工件(320)之工件支撐件(630)、用以經由工件支撐件(630)將RF功率耦合至工件(320)之RF電源(640),及用於自處理腔室(600)泵抽氣體之真空泵(650)。在處理期間,使用如圖4中所展示之反應性電漿蝕刻製程(400)蝕刻掉基板(100)之未受保護區域(120)。此蝕刻留下分離成個別晶粒(500)之器件(110),如圖5中 所展示。在本發明之另一具體實例中,使用反應性電漿蝕刻製程(400)部分地蝕刻掉基板(100)之未受保護區域(120)。在此狀況下,諸如機械斷裂操作之下游操作可用以完成晶粒分離。此等下游方法為此項技術中熟知的。
雖然先前實例描述使用結合高密度電漿(例如,ECR、ICP、螺旋子(helicon)及磁性增強電漿源)之真空腔室的本發明,但亦有可能使用廣泛範圍之電漿製程來蝕刻基板之未受保護區域。舉例而言,熟習此項技術者可設想到在真空腔室中使用低密度電漿源或甚至使用處於或接近大氣壓之電漿的本發明之變化。
當工件(基板/帶/框架總成)(320)處於用於電漿處理之位置中時,可保護框架(310)以免曝露至電漿(400)。曝露至電漿(400)可引起對框架(310)之加熱,該加熱又可引起對安裝帶(300)之局部加熱。在高於大約100℃之溫度下,帶(300)之物理性質及其黏著能力可退化且其將不再黏附至框架(310)。另外,框架(310)曝露至反應性電漿氣體可引起框架(310)之退化。由於通常在晶圓切割之後再使用框架(310),因此降級可限制框架(310)之有效壽命。框架(310)曝露至電漿(400)亦可不利地影響蝕刻製程:例如,框架材料可與處理氣體反應,從而有效地減小其在電漿中之濃度,此可減小基板材料之蝕刻速率,因此增加處理時間。為了保護框架(310),在框架(310)上方定位如圖6、圖7及圖8中所展示之保護蓋環(660)。在一個具體實例中,由於與框架(310)之接觸(其將在至處理腔室(600)中之轉移期間發生)可產生不當的粒子,因此該蓋環(660)並不觸碰框架(310)。
在圖8中,尺寸(800)表示蓋環(660)與框架(310)之間的距離。此尺寸之範圍可為大於大約0.1mm至小於大約20mm,其中最佳值為4mm。若距離(800)過大,則電漿將接觸框架(310),且蓋環(660) 之益處可失去。
在一個具體實例中,蓋環(660)受溫度控制。在無冷卻之情況下,蓋環(660)之溫度可歸因於曝露至電漿而增加,且又經由熱輻射對帶(300)及框架(310)加熱,從而引起如上文所提到之退化。對於蓋環(660)受冷卻之狀況而言,藉由使蓋環(660)直接與冷卻主體(諸如,圖9中所展示之處理腔室(600)之壁或圖10中所展示之位於處理腔室(600)內之散熱片(1000))接觸來實現對蓋環之冷卻。為了確保將熱適當地自蓋環(660)移除至散熱片(1000),蓋環(660)應由具有良好熱導率之材料製成。此等材料包括許多金屬,例如,鋁,但可使用其他導熱材料,諸如氮化鋁及其他陶瓷。對蓋環材料之選擇經選擇以與所使用之電漿處理氣體相容。雖然鋁適合於基於氟之製程,但在使用基於氯之製程時替代材料(諸如,氮化鋁)或添加保護塗層(諸如,氧化鋁)可為必要的。在電漿處理期間的蓋環(660)之操作溫度的範圍為約25℃至約350℃。較佳地,蓋環(660)之溫度保持在50℃至約90℃之範圍中,此最少化至帶(300)及框架(310)之熱輻射且確保帶(300)維持其機械完整性。或者,可藉由使蓋環(660)與溫度控制流體接觸而使蓋環(660)受溫度控制。此流體可為液體或氣體。在蓋環(660)之溫度受流體控制的狀況下,蓋環(660)可含有數個流體通道以促進熱轉移。此等流體通道可在蓋環(660)內部、在外部附接,或兩者之某一組合。
在一個具體實例中,蓋環(660)可自基板直徑連續地延伸至內部腔室直徑。為了避免可不利地影響處理腔室(600)內之壓力控制的泵抽熱導(pumping conductance)之損失,可將複數個泵抽孔(1010)添加至蓋環(660),此實現處理氣體之足夠熱導,同時仍提供用於自蓋環(660)之熱移除的路徑。在圖9及圖10中,展示以特定幾何形狀配置之複數個孔(1010),但孔(1010)之形狀、密度、大小、圖案及對稱性可取決於處理腔 室(600)之尺寸及所需之泵抽熱導而變化。孔(1010)較佳並不重疊帶(300)。在另一具體實例中,孔(1010)並不重疊工件(320)。
藉由轉移臂(1100)將工件(基板/帶/框架總成)(320)轉移至處理腔室(600)中與轉移出處理腔室(600),該轉移臂(1100)支撐框架(310)及基板(100)使得將該兩者維持成接近共平面,如圖11及圖12中所展示。轉移臂(1100)可支撐帶(300)及框架(310)兩者或僅支撐框架(310),但重要的係,因為經薄化基板(100)之易碎性,所以並不僅在基板(100)區域之下支撐總成(320)。轉移臂(1100)具有附接至其之對準夾具(1110),其在框架(310)被轉移至處理腔室(600)之前將框架對準於可重複位置中。框架(310)亦可藉由半導體處理中所熟知之其他技術(例如,光學對準)來對準。亦可藉由此等熟知技術對基板(100)執行對準。重要的係,在將工件(基板/帶/框架總成)(320)置放於處理腔室(600)中之前使該工件對準以避免錯過處理,如下文所解釋。
在圖8中,基板至框架尺寸(810)表示基板(100)之外徑與框架(310)之內徑之間的距離。此距離可為20mm至30mm(例如,迪斯科公司(Disco Corporation)之切割框架具有用於200mm基板的約250mm之內徑,使得基板至框架尺寸(810)標稱地為25mm)。在將晶圓(100)安裝於框架(310)內之帶(300)上期間,晶圓(100)置放之偏差可多達2mm,使得蓋環至基板距離(820)亦可在總成間變化多達2mm,該蓋環至基板距離為基板(100)外徑與蓋環(660)之內徑之間的距離。若在某一點處,蓋環至基板距離(820)小於零,則蓋環(660)將覆疊基板(100)之邊緣。基板之此區域將被遮蓋且防止蝕刻,此可防止晶圓分離且引起後續處理步驟中之問題。蓋環(660)較佳並不重疊基板(100)。需要基板/帶/框架總成(320)在轉移之前的對準以防止此等問題。另外,為了另外確保蓋環至基板距離(820)不小於零,蓋環內徑應大於基板(100)之直徑。 蓋環內徑較佳比基板直徑大5mm(例如,對於200mm基板,蓋環內徑為205mm)。圖8中之蓋環懸垂尺寸(830)表示自蓋環(660)之內徑至框架(310)之內徑之間的距離。框架(310)在轉移至處理腔室(600)中之前的對準確保蓋環懸垂尺寸(830)沿著基板(100)周圍之整個周邊保持本質上恆定,且帶(300)之未由靜電夾盤(Electrostatic chuck;ESC)(670)接觸的任何部分實質上被遮蓋而免於接觸電漿。在較佳具體實例中,未與ESC(670)進行熱接觸之任何帶(300)由蓋環(660)重疊。在一個具體實例中,蓋環(660)及基板(100)位於不同平面中。因此,蓋環之內周邊(661)不鄰近於基板之外周邊(101)。
當將工件(例如,基板/帶/框架總成)(320)轉移至處理腔室(600)中時,將工件置放於提昇機構(680)上且自轉移臂(1100)移除。在將工件(例如,基板/帶/框架總成)(320)移出處理腔室(600)期間發生相反程序。提昇機構(680)觸碰框架(310)區域,且不提供至基板(100)之點接觸。至基板(100)之點接觸可引起對基板(100)之損害,尤其在晶粒分離及工件(320)之卸載之後,此係因為帶(300)之可撓性可使晶粒彼此接觸且發生損害。圖13展示自底面提昇框架(310)之提昇機構(680);然而,亦可藉由使用夾持器件與框架(310)之頂表面、底表面、外徑或此等之任何組合來自轉移臂(1100)移除框架(310)。為了具有足夠餘隙來將工件(320)置放於工件支撐件(630)上以便處理基板(100),框架(310)、工件支撐件(630)及蓋環(660)可相對於彼此移動。可藉由移動蓋環(660)、工件支撐件(630)或提昇機構(680)或三者之任何組合來實現此移動。
在電漿處理期間,將熱轉移至電漿觸碰之所有表面,包括基板(100)、帶(300)及框架(310)。蓋環(660)將最少化至帶(300)及框架(310)之區域的熱轉移,但基板(100)必須曝露至電漿(400)以供處理。
如圖6中所展示,穿孔機械隔板(690)可介入於電漿源(620)與工件支撐件(630)之間。機械隔板(690)可為導電的(例如,由金屬或塗佈金屬之材料製成)。機械隔板(690)較佳由鋁製成。機械隔板(690)可有助於減小離子密度以及到達工件之電漿發射強度,同時允許高含量之中性物質到達工件。本發明提供對離子密度及到達工件之電漿發射強度的控制。對於與本發明相關之應用而言,較佳藉由機械隔板使離子密度及自電漿源(620)到達工件之電漿發射強度減小在10%至50%之範圍中的量。在一個較佳具體實例中,由機械隔板達成之減少可為10%。在一個較佳具體實例中,由機械隔板達成之減少可為30%。在又一較佳具體實例中,由機械隔板達成之減少可為50%。
在一個具體實例中,藉由經由工件支撐件(630)及/或ESC(670)施加RF偏壓功率(640)來維持機械隔板(690)下方之電漿。在此狀況下,藉由經由工件支撐件(630)及/或ESC(670)施加RF偏壓功率來維持對基板(100)起作用之電漿。
在本發明中,機械隔板(690)之溫度的範圍可在0℃與350℃之間。可較佳將機械隔板(690)維持在大於60℃之溫度下。機械隔板可將工件與電漿源完全或部分地分離。隔板可較佳重疊待蝕刻之基板。在較佳具體實例中,基板(100)完全由機械隔板(690)重疊。在又一具體實例中,機械隔板(690)之直徑比基板(100)之直徑大至少10%。
機械隔板(690)應定位於基板(100)與電漿源(620)之間。機械隔板(690)可將電漿源(620)中之區域與腔室(600)之剩餘區域完全隔離。為了防止將機械隔板(690)中之穿孔之圖案列印於基板(100)上,自基板之頂部至重疊機械隔板(690)之底表面的距離較佳至少與基板(100)頂表面處之電漿鞘一樣大。電漿鞘之厚度隨壓力、氣體組合物及電漿密度連同其他參數而變。通常,電漿鞘厚度之範圍為大約100微米至大 約2公分。在一個具體實例中,機械隔板(690)與基板(100)之頂表面相距至少0.1mm。較佳地,機械隔板(690)與基板(100)之頂表面相距至少1cm。
機械隔板(690)中之穿孔(695)允許電漿擴散遍及基板且對基板起作用。穿孔(695)可具有任何形狀及尺寸(例如,圓形、六邊形、橢圓形、任何多邊形等)。機械隔板厚度(2620)可經設計以影響對基板表面起作用之電漿物質組合物。如圖23c中所展示,機械隔板(690)之厚度(2620)可跨越隔板而變化。該厚度變化可為連續的、離散的或兩者之組合。較佳地,機械隔板厚度(2620)小於大約2.5cm。穿孔直徑(2600)之範圍可為大約0.1mm直至大約1cm。穿孔(695)之典型縱橫比可在0.5:1直至100:1之間,但較佳在0.5:1至10:1之間。在一個具體實例中,機械隔板(690)將電漿離子密度自電漿源內之大於大約1011cm-3減小至基板表面附近之小於大約1010cm-3
可以數種方式配置機械隔板(690)中之穿孔(695)。圖14展示具有以直線狀圖案均一分佈之穿孔(695)之圖案的機械隔板(690)之俯視圖。雖然圖14展示穿孔(695)之直線狀圖案,但可使用包括六邊形、蜂窩形或圓形穿孔圖案之替代組態。穿孔之尺寸(2600)可跨越機械隔板(690)而變化(例如,圖23b及圖23c)。
在替代具體實例中,機械隔板(690)中之穿孔圖案可經設計以使得穿孔之間的間隔(2610)可變(例如,圖23b及圖23c)。在又一具體實例中,穿孔之大小及/形狀可跨越機械隔板(690)而變化。機械隔板(690)可具有穿孔圖案,使得穿孔大小(2600)及間隔(2610)兩者跨越隔板而變化。
雖然圖6中之示意圖展示具有一個機械隔板(690)之處理腔室(600),但具有安置於電漿源(620)與基板(100)之間的一個以上機 械隔板(690)可為有益的。該等機械隔板(690)可為相同大小及形狀,或可為不同大小及/或形狀。可在同一平面或不同平面中組態多個機械隔板(690)(例如,重疊或堆疊隔板)。該多個機械隔板(690)可具有彼此相同或不同之穿孔形狀、大小及圖案。
高密度電漿源(620)可產生高能級之UV輻射。此UV輻射可引起不當的副反應或損害基板(100)。在一些應用中,需要遮擋基板以免受來自電漿源(620)之UV發射。減少此發射之一種方式為限制自電漿源至基板之UV發射之直接路徑(例如,限制自電漿源至基板之「視線」)。在處於不同平面中且重疊之隔板的狀況下,確保隔板之重疊區中的穿孔(695)不重合為有益的(例如,隔板具有某一重疊區,在該區處,隔板之穿孔並不彼此重疊)。在重疊隔板(695)之一個具體實例中,隔板之重疊區中的至少一個穿孔(695)並不重疊另一隔板中之穿孔。在重疊隔板之又一具體實例中,隔板中之穿孔(695)中無一者彼此重疊。在此組態中,不存在用於自電漿源發射穿過隔板之重疊區而到達基板之光的直接路徑。
機械隔板(690)中之穿孔(695)的圖案可用以調整基板(100)上之蝕刻均一性。可經由使隔板之厚度(2620)、穿孔大小(2600)、穿孔形狀、穿孔間隔(2610)或此等因素之任何組合變化來實現此調整。
為了判定用於機械隔板(690)之恰當穿孔(695)組態,對於給定隔板組態,可遵循以下步驟(參見圖25):處理基板;量測至少一個晶圓性質(例如,材料蝕刻速率、選擇性比率、特徵剖面等);基於至少一個所量測性質來調整機械隔板(690)(例如,隔板間隔、自隔板至基板之距離、隔板厚度及/或穿孔大小、間隔、形狀及/或縱橫比等)。處理另一晶圓,且在必要時,重複使用機械隔板(690)以達成一或多個所要晶圓性質。
通常,在以化學方式驅動之電漿蝕刻製程中,需要在維持所要特徵剖面的同時最大化基板蝕刻速率對遮罩材料蝕刻速率之比率(蝕刻 選擇率)。在使用分時多工製程(例如,Bosch製程或DRIE)之矽蝕刻的狀況下,可藉由經由基板支撐件將某一最小RF偏壓功率施加至基板以便維持所要特徵剖面來實現此最大化。通常,此RF偏壓功率小於大約50W。在較高RF偏壓功率下,可不當地減小蝕刻選擇率(材料蝕刻速率/遮罩蝕刻速率)。當將機械隔板置放於高密度電漿源與基板之間時,顯著減小可用以蝕刻基板之離子之密度。與先前技術相比,此情形允許有益地將較高RF偏壓功率施加至基板。在機械隔板處於電漿源與基板之間的情況下,可在施加至基板之在50W至150W之範圍中的RF偏壓功率下達成有益的分時多工(例如,Bosch、DRIE)製程結果。在較佳具體實例中,施加至基板之RF偏壓功率大於大約50W。在另一較佳具體實例中,施加至基板之RF偏壓功率大於大約100W。在另一較佳具體實例中,施加至基板之RF偏壓功率大於大約150W。
在電漿處理期間,常常經由使用靜電夾盤(ESC)(670)來提供對基板(100)之額外冷卻。圖15至圖17展示ESC(670)之實例,ESC通常用於半導體處理中以在通常為氣體(諸如,氦氣)之加壓流體維持於基板100與ESC之間的間隙(2000)中時將吸引力施加至基板(100)。此情形確保可在基板(100)與受溫度控制之工件支撐件(630)之間發生有效熱轉移。注意到,在圖15及圖16中,出於說明目的,虛線表示ESC的晶圓(100)重疊之區域。在處理期間,晶圓(100)坐落於ESC(670)之頂表面上。
圖15展示如此項技術中已知之靜電夾盤之俯視圖。ESC(670)通常將具有用以將加壓流體限於ESC與正被夾持之基板(100)之間的一或多個密封區(1700)。通常在ESC之周邊附近及原本將使加壓流體洩漏及使熱轉移退化的任何特徵周圍使用密封區(1700)。如圖16中所展示,一些ESC使用多個同心密封環帶(1700),以產生允許對各別區帶內之流體 壓力之單獨控制的離散體積或區帶(1800、1810)。通常將此等ESC描述為多壓力區帶ESC。壓力區帶(1800、1810)並非離散的且加壓流體中之一些在區帶之間洩漏亦為可能的。寬密封區(1700)通常並非較佳的。通常,跨越重疊該寬密封區之工件區域的熱梯度可負面地影響一些蝕刻特性。相反,若密封區不夠寬,則加壓流體可洩漏且熱轉移可退化。如圖15中所展示,在先前技術中,上文所描述之密封區或環帶(1700)並不延伸超過基板(100),此係因為延伸超過基板將使密封環帶(1700)之密封表面曝露至可減少ESC之壽命的潛在腐蝕性電漿氣體。圖18展示在如此項技術中已知之靜電夾盤上的剛性基板(100)之橫截面圖。注意到,密封環帶(1700)由基板(100)重疊。此外,在此項技術中通常使基板(100)延伸超出密封環帶(1700)之邊緣,以便適應在將晶圓置放於ESC(670)上期間之任何置放誤差。亦重要的是注意到,在此項技術中,用以將基板提離ESC之提昇銷孔(1720)及提昇銷(2025)亦位於基板(100)下處於最外環帶(1700)內部或內。最終,此項技術中已知之ESC具有限於基板(100)之下的區域之夾持電極(2010)。因此,夾持電極(2010)在由外部密封環帶(1700)界定之區域內部,夾持電極及外部密封環帶兩者在晶圓周邊內部。
圖19展示本發明之一個具體實例之橫截面圖。當夾持可撓性工件(例如,含有帶(300)之工件(320)等)時,可較佳使至少一個夾持電極(2010)重疊密封區(1700),如圖19中所描繪。此情形在工件之可撓性區重疊密封區(1700)時尤為重要。夾持電極(2010)與可撓性工件(300)之重疊可有助於最少化氦氣洩漏。較佳地,此重疊區(2200)之寬度大於1mm。重疊區(2200)可沿著密封環帶周邊內部、外部密封環帶周邊、密封環帶內或三者之某一組合而延伸。
在本發明之一個具體實例中,由夾持電極(2010)與密封環帶(1700)之重疊而界定的區形成劃定基板(100)之界限的連續邊界。在 本發明之另一具體實例中,密封環帶(1700)可完全由夾持電極(2010)重疊。
在本發明之又一具體實例中,夾持電極(2010)可重疊蓋環(660)。重疊區(2240)通常在大約1mm至小於大約10mm之範圍中。在一個較佳具體實例中,重疊區(2240)小於大約1mm。在另一較佳具體實例中,重疊區(2240)小於大約10mm。重疊區(2240)可為零。
在另一具體實例中,密封環帶(1700)之某一部分未由蓋環(660)重疊,此未受遮擋密封環帶區(2250)展示於圖19中。在此組態中,夾持電極(2010)較佳重疊未受遮擋密封環帶區(2250)之某一部分(例如,未由蓋環(660)覆蓋之密封環帶(1700))。夾持電極(2010)與未受遮擋密封環帶區(2250)之重疊區的寬度較佳大於大約1mm。夾持電極(2010)與未受遮擋密封環帶區(2250)之重疊區亦較佳劃定基板(100)之界限。在一個具體實例中,夾持電極(2010)重疊密封環帶(1700)之未由蓋環(660)重疊的整個未受遮擋密封環帶區(2250)。
該密封區(1700)之寬度通常在1mm與15mm之間,但較佳小於10mm。在工件(基板/帶/框架總成)(320)之情況下,在基板(100)之直徑外部且在框架(310)之內徑內部的區域為帶(300)。
雖然先前實例係針對具有單一區帶之ESC(具有一個密封環帶之ESC)來描述,但此等具體實例亦可有益地應用於具有多個壓力區帶(及多個密封環帶)之靜電夾盤。
在使用典型ESC之情況下,因為蓋環(660)大於基板(100)之直徑,所以將存在帶(300)之曝露至電漿製程的區域,該區域未由ESC(670)夾持及溫度控制或未由蓋環(660)遮擋以免受電漿(400)。帶(300)之此區域將達到高溫且可能失效。因此,圖8展示使用有目的地製成為大於基板直徑的ESC(670),使得在區(例如,由蓋環至基板距離(820)界 定之區域)中曝露至電漿的任何帶(300)亦被夾持且受溫度控制。ESC直徑可向外延伸至框架(310)之外周邊,但ESC直徑較佳比框架(310)之內徑小至少0.2mm。對於其他框架外觀尺寸而言,ESC直徑較佳小於框架中之最大開口。
如圖15中所展示,如此項技術中已知的且用於半導體處理中的典型ESC在其表面上具有圖案(1730)。該經圖案化表面(1730)完全由基板(100)重疊且在密封環帶(1700)內部。氦氣入口孔(1710)係在經圖案化區域(1730)中。通常制定該圖案以控制諸如(但不限於)熱轉移、溫度均一性、氦氣擴散及夾持力的某些ESC特性。亦可制定該圖案以最少化粒子產生。如圖18中所展示,圖案化產生在ESC之基板接觸表面(2030)下方的至少一個大部分平坦之表面(2020),因此在夾持基板時形成至少一個間隙(2000)。通常用諸如氦氣之加壓流體填充此間隙(2000)以促進熱轉移。
圖19展示本發明之另一具體實例。對於類似電漿切割之應用而言,在工件含有可撓性膜(300)之情況下,可較佳選擇圖案間隔(2210)以便最少化膜(300)之變形。對於電漿切割而言,在晶粒(110)已經分離(單體化)且實質上僅由可撓性帶(300)支撐之後,此間隔選擇尤為重要。當圖案間隔(2210)大於個別晶粒之至少一個尺寸(長度及/或寬度,但並非厚度)時,有可能晶粒在經分離之後可傾斜且彼此接觸,從而潛在地引起對晶粒之損害。在一個具體實例中,ESC表面上之圖案具有小於最小晶粒尺寸(長度及/或寬度)之圖案間隔(2210)。圖案深度具有小於大約50μm至小於大約100μm之較佳範圍。在較佳具體實例中,圖案深度(2230)較佳小於100μm。在另一較佳具體實例中,圖案深度(2230)較佳小於50μm。圖案深度(2230)可小於15μm。晶粒大小之範圍可為大約數十微米直至若干公分。
在另一具體實例中,在平行於密封表面之平面中的圖案特徵大小(2220)可在0.1mm與30mm之間,但圖案特徵大小(2220)較佳在0.5mm與10mm之間。圖案間隔(2210)通常至少為在平行於密封表面之平面中的圖案特徵大小(2220),但較佳至少為該圖案特徵大小(2220)之1.5倍。儘管大小用以描述圖案特徵之尺寸,但可使用具有類似尺寸之不同形狀。圖案特徵(2220)之大小及形狀可變化。同樣地,圖案特徵(2220)之間的圖案間隔(2210)之大小、形狀及深度亦可變化。
在另一具體實例中,為了防止一旦晶粒經分離其便彼此接觸,重疊基板之ESC區可經設計以具有圖案特徵大小(2220)及圖案特徵間隔(2210),使得圖案特徵大小(2220)及圖案特徵間隔(2210)兩者小於待單體化之晶粒。基板(100)可完全由ESC之經圖案化區重疊。晶粒大小之範圍可為大約數十微米直至若干公分。在一個具體實例中,使ESC(670)之由基板(100)重疊的表面粗糙化。可經由物理手段(例如,珠粒噴擊、噴砂處理等)或化學手段或兩者之組合來實現該粗糙化。經粗糙化表面允許背側冷卻氣體(例如,氦氣)填充ESC(670)與工件(320)之間的空隙。下伏於工件(320)之ESC表面的粗糙度較佳大於密封環(1700)之粗糙度。密封環區域通常具有小於大約10微吋(Ra)之表面粗糙度。進一步較佳地,由基板重疊之ESC(670)表面的粗糙度大於大約12微吋(Ra)。由基板重疊之ESC(670)表面的粗糙度可大於大約30微吋(Ra)。經粗糙化ESC表面亦較佳延伸超出基板(100)之周邊達在大約1mm至大約10mm之範圍中的距離。進一步較佳地,經粗糙化表面延伸超出基板(100)之周邊達至少大約1mm。在另一較佳具體實例中,ESC之經粗糙化表面可自基板之周邊延伸多於大約10mm。
在如圖22中所展示的工件(320)含有一個以上基板(100)之狀況下,ESC(670)較佳延伸超出至少一個基板(100)之邊緣,較佳延 伸超出所有基板(100)之邊緣。為了將冷卻氣體(通常為氦氣)限於基板後方,帶(300)必須形成在靜電夾盤(670)與帶(300)之間的密封表面。此密封表面常常被稱作密封環帶(1700)。在一個具體實例中,密封表面(1700)為連續的,且形成劃定所有基板(100)之界限的區。在另一具體實例中,密封環帶(1700)可為不連續的,且劃定至少一個基板之界限。在又一具體實例中,每一基板(100)由一個別密封環帶(1700)劃定界限。在另一具體實例中,基板(100)可覆疊密封環帶,或替代地,密封環帶可位於基板(100)外部。
在工件(320)含有多個基板之狀況下,ESC(670)可含有單一夾持電極(2010)(例如,單極)或多個夾持電極(2010)(例如,多極)。當多個基板(100)存在於工件(320)上時,夾持電極(2010)較佳延伸超出工件(320)上之至少一個基板(100)之周邊。較佳地,夾持電極延伸超出工件(320)上之所有基板(100)之周邊達在大約1mm至大約10mm之較佳範圍中的距離。夾持電極較佳延伸超出每一基板(100)周邊達至少1mm。在另一具體實例中,夾持電極(2010)可延伸超出工件(320)上之每一基板(100)周邊達至少10mm。在另一具體實例中,夾持電極(2010)重疊所有基板(100)。在另一具體實例中,每一基板(100)完全由夾持電極(2010)重疊。夾持電極(2010)在其重疊基板(100)之處較佳為連續的(例如,無切口)。氦氣入口孔(1710)亦較佳未由任何基板(100)重疊。氦氣入口孔可與任何基板周邊相距至少1mm。
在如圖15及圖17所展示之先前技術中,ESC(670)之未由晶圓(100)覆蓋的區域係由襯環(700)覆蓋及保護以免受電漿。此情形與ESC(670)之頂表面由帶(300)保護以免曝露至電漿的本發明形成對比。襯環(700)可經組態以使得襯環(700)並不曝露至電漿。在工件(320)上之多個基板(100)的狀況下,藉由在工件(320)中存在可撓性帶(300) 而保護頂表面。此情形與先前技術組態形成對比,先前技術組態在ESC上提供保護蓋罩,從而保護基板之間的ESC之表面以免曝露至電漿。
對於ESC(670)含有一個以上夾持電極之所有狀況(單一基板或多個基板在工件(320)上)而言,任何夾持電極之邊緣較佳不與基板(100)相交。進一步較佳地,夾持電極之邊緣遠離基板(100)之周邊達至少1mm。
當夾持與ESC接觸之表面為電絕緣體的工件時,電絕緣體之相對靜電電容率(通常稱為其相對介電常數)較佳大於2。覆蓋ESC之夾持電極的電絕緣層亦較佳具有大於6、但通常可大於2之相對介電常數。填充間隙(2000)之加壓流體的相對介電常數較佳小於任何邊界電絕緣體之最低相對介電常數。理想地,加壓流體之相對介電常數小於2。間隙內之強電場導致施加於工件之底表面上的強夾持力。間隙(2000)中之流體的壓力通常在1托與100托之間,但較佳在1托與40托之間。
如圖18中所展示,在此項技術中已知可藉由穿透ESC之孔(1710)或特徵將加壓流體引入至工件與ESC之間的間隙中。在此項技術中已知切除夾持電極(2010)之在此等孔或穿透該電極之任何其他特徵附近的任何部分。通常,此等孔(1710)或穿透特徵本質上為圓形的;因此,電極內之切口通常具有類似形狀。通常使用孔(1710)或穿透特徵與夾持電極(2010)切口之間的餘隙(2015)以防止加壓流體在操作期間之弧化成或離子化。圖15進一步展示,對於此項技術中已知的ESC而言,氣體引入孔(1710)通常由基板(100)重疊。此外,如圖18中所展示,在先前技術ESC中,夾持電極(2010)在孔(1710)或穿透特徵周圍某一距離(2015)處具有切口。由於孔(1710)或穿透特徵由基板重疊,因此夾持電極中之切口亦由基板重疊。
對於離子驅動(例如,將RF功率施加至工件支撐件及/或 ESC夾持電極)之蝕刻製程而言,起因於夾持電極及/或工件支撐件中之不連續性的電場中之局部失真可引起電漿鞘非均一性。電漿鞘中之非均一性可使離子以各種角度撞擊晶圓。撞擊離子將具有受將在下文論述之若干參數(例如,工件支撐件RF頻率)影響的角度失真。上文所論述之歸因於不連續性的鞘失真可使角度失真偏斜、窄化或加寬。當蝕刻特徵時,此等效應可轉譯成可被傾斜、偏斜、弓形彎曲或具有側壁退化之剖面。
圖17展示本發明之另一具體實例。當使用諸如圖3中所描繪之工件的工件或結合延伸超過基板(100)之ESC(670)安裝至過大載體之基板時,較佳將孔(例如,氦氣入口)(1710)置放在基板(100)周邊外部。類似地,提昇銷孔(1720)較佳位於晶圓(100)周邊外部。在一個具體實例(如圖9中所展示)中,ESC不含有用於提昇機構(680)之穿孔。提昇機構(680)可在工件支撐件(630)外部。注意到,在圖17中,出於說明目的,虛線表示ESC之重疊晶圓(100)的區域。在處理期間,工件(320)(及因此基板(100))坐落於ESC(670)之頂表面上。
如圖19中所展示,將孔(例如,He氣入口)(1710)及提昇銷孔(1720)置放於晶圓周邊外部允許由基板(100)重疊之夾持電極(2010)為連續的而無切口(與圖18中所展示之先前技術形成對比)。在較佳具體實例中,夾持電極(2010)完全重疊基板。在又一具體實例中,夾持電極(2010)完全重疊基板,且重疊大於或等於基板(100)直徑之1.02倍的區。在又一具體實例中,夾持電極(2010)重疊整個基板(100),且延伸超出基板(100)之邊緣達至少2mm。較佳地,夾持電極(2010)比基板(100)直徑大至少大約40%。
儘管對於典型ESC而言使孔(1710)及提昇銷孔(1720)在基板下為常見的(參見先前技術之圖15及圖16),但在至少一個夾持電極(2010)及/或工件支撐件(630)經RF供電時可較佳使該等孔不處於晶圓下。 當使用工件(320)及諸如圖19中所描繪之ESC的ESC時,經RF供電區(例如,工件支撐件(630)或夾持電極(2010))之直徑較佳大約正經蝕刻之基板(100)。起因於經RF供電區之邊緣的鞘非均一性可對蝕刻剖面具有有害影響(如上文所提到),且因此該一或多個經RF供電區較佳比基板(100)之直徑大至少5%。理想地,若在該一或多個經RF供電區上厚度及相對介電常數保持大部分不變,則該等經RF供電區之直徑應比基板(100)大大約40%.經RF供電區可超出基板(100)之周邊達大於10mm。
圖26展示本發明之另一具體實例。在此具體實例中,ESC(670)重疊框架(310)。在此組態中,在密封環帶(1700)與框架(310)之間存在重疊區。框架(310)可完全重疊密封環帶(1700)。圖26說明框架(310)之內徑小於最外密封環帶(1700)之內徑的狀況,注意到最外密封環帶(1700)之內徑可小於或等於框架(310)之內徑為重要的。此外,圖26展示針對具有單一密封環帶(1700)之單一氦氣背側冷卻區帶而組態的ESC,本發明亦可有益地應用於具有多個氦氣冷卻區帶及/或密封環帶之ESC。
圖26亦展示可撓性帶(300)(例如,切割帶)並不完全重疊框架(310)之工件組態。為了保護密封環帶表面以免因反應物或副產物而退化,密封環帶(1700)較佳不延伸超過可撓性帶(300)之周邊。為了將夾持力提供至框架(310),夾持電極(2010)之某一部分亦較佳重疊框架(310)之一部分。在此組態中,框架(310)與溫度控制工件支撐件(630)熱連通,因此框架(310)可曝露至電漿。
圖26中所展示之具體實例亦可受益於先前具體實例中所描述之特徵,除蓋環(660)之外。可在不使用蓋環之情況下有益地應用由圖26說明及描述之具體實例。
對於需要RF偏壓電壓之製程而言,為了最少化在基板(100) 表面處之電漿鞘中的可導致蝕刻非均一性的擾動,ESC(670)之由基板(100)重疊的區較佳為均一的,其中無穿過ESC(670)之穿孔(例如,氦氣入口孔(1710)或提昇銷孔(1720))。氦氣入口(1710)較佳不由基板重疊(例如,氦氣入口(1710)位於基板(100)之周邊外部)。氦氣入口(1710)必須位於最外密封環帶(1700)內部。任何密封環帶(1700)較佳不由基板(100)重疊。夾持電極(2010)亦較佳在夾持電極由基板(100)重疊之區中為連續的。夾持電極(2010)較佳完全重疊基板(100)。夾持電極(2010)可延伸超出基板(100)之周邊。進一步較佳地,提昇銷(2025)及提昇銷孔(1720)位於基板(100)周邊外部。提昇銷可在帶(300)重疊框架(310)處觸碰框架框架(310)及/或帶(300)。在替代具體實例中,提昇機構可位於工件支撐件(630)外部。提昇機構可自框架(310)之底部、頂部或側面或該三者之某一組合接觸框架(310)。
圖27展示本發明之又一具體實例。此具體實例可含有圖26中所描述之特徵,其中添加蓋環(660)。在此組態中,蓋環可重疊工件(320)之未受夾持部分,且保護該等部分以免受電漿。蓋環(660)位於電漿源(620)與工件(320)之間。蓋環(660)之內徑可大於框架(310)之內徑。蓋環(660)可具有允許泵抽效率增加之孔口(1010)。孔口(1010)較佳位於工件支撐件(630)之周邊外部。
亦重要的是注意到,圖26及圖27說明含有單一基板(100)之工件(320)的本發明之態樣。本發明亦可有益地應用於含有多個基板之工件(320)(例如,圖22中所展示之工件)。
在圖38中所展示的本發明之另一具體實例中,針對含有兩個或兩個以上基板(100)之工件(320)而組態蓋環(660)。基板(100)可為不同大小及/或形狀。基板(100)可為一片較大基板(100)。基板(100)可含有不同材料。較佳用類似化學物質來處理基板(例如,用含氟化學物 質來蝕刻矽及鍺兩者)。蓋環(660)含有使一個以上基板(100)曝露至電漿之至少一個開口(662)。蓋環(660)較佳不重疊基板(100)。在較佳具體實例中,自蓋環開口(662)至基板(100)周邊之距離(3800)為至少0.1mm。進一步較佳地,自蓋環開口(662)至任何基板(100)周邊之距離(3800)為至少0.1mm。在蓋環開口(662)與基板(100)周邊之間的距離(3800)可大於1mm。蓋環(660)可在與至少一個基板(100)不同之平面中。蓋環(660)可在與所有基板(100)不同之平面中。蓋環(660)較佳不與工件(320)接觸。雖然針對多個基板來組態此具體實例中所描述之蓋環(660),但該蓋環可含有在蓋環(660)之先前具體實例中所描述的特徵。
在圖39中所展示的本發明之又一具體實例中,針對含有兩個或兩個以上基板(100)之工件(320)而組態蓋環(660)。基板(100)可為不同大小及/或形狀。基板(100)可為一片較大基板(100)。基板(100)可含有不同材料。較佳用類似化學物質來處理基板(例如,用含氟化學物質來蝕刻矽及鍺兩者)。蓋環含有允許電漿接觸至少一個基板(100)之至少兩個開口(663)。在較佳具體實例中,每一開口(663)使一個基板(100)曝露至電漿。開口(663)可為不同大小及形狀。蓋環(660)較佳不重疊基板(100)。在較佳具體實例中,自蓋環開口(663)至含於開口內之基板(100)周邊的距離(3900)為至少0.1mm。進一步較佳地,自蓋環開口(663)至含於蓋環開口內之任何基板(100)周邊的距離(3900)為至少0.1mm。在蓋環開口(663)與基板(100)周邊之間的距離(3900)可大於1mm。蓋環(660)可在與至少一個基板(100)不同之平面中。蓋環(660)可在與所有基板(100)不同之平面中。蓋環(660)較佳不與工件(320)接觸。雖然針對多個基板來組態此具體實例中所描述之蓋環(660),但該蓋環可含有在本文中所描述之蓋環之其他具體實例中所描述的特徵。
雖然圖26及圖27展示具有位於同一平面中(例如,可撓性 膜(300)之同一側)之框架(310)及基板(100)的工件,但工件(320)可經組態以使得基板(100)及框架(310)位於膜(300)之相對側上(例如,將基板之底部黏附至帶之頂表面,而將框架之頂表面黏附至帶之底表面)。所描述發明之概念可有益地應用於此工件組態。
如圖19中所展示,ESC(2330)係由施加有高壓之一或多個電極(2340)組成。可將電位差施加於至少一個夾持電極(2340)與接觸電漿之導電表面(例如,腔室壁(600))之間,或簡單地施加於兩個或兩個以上夾持電極之間。典型的所施加夾持電位之範圍在1V與10kV之間,但所施加夾持電位較佳在1kV與5kV之間。對於曝露至2kV下的電漿引發之自偏壓電壓的所夾持材料(2320)而言,所施加夾持電位差較佳大於所夾持材料(2320)上之電漿引發之自偏壓。
對於上文所描述之本發明之所有具體實例而言,基板(100)之背面(例如,基板之與含有器件(110)之表面相對的表面)較佳面向可撓性膜(300)(例如,基板之背面可與可撓性膜(300)接觸)。在本發明之替代具體實例中,基板(100)可安裝於可撓性膜(300)上,使得基板(100)之含有器件(110)之表面面向可撓性膜(300)(例如,基板(100)之器件側面可與可撓性膜(300)接觸)。
如圖19中所展示,藉由電絕緣層(2300)使夾持電極(2340)與工件支撐件(630)分離,且藉由上部電絕緣層(2310)使夾持電極(2340)與待夾持材料(2320)分離。較佳選擇在ESC之夾持電極上方的上部電絕緣層(2310)之厚度及相對介電常數,以最小化待夾持絕緣材料(2320)將對夾持效能(例如,夾持力)所具有之影響。在本發明中,選擇介電質(2310)之厚度及該層(2310)之介電常數以使該兩者高於正被夾持之材料(2320)的厚度及介電常數。舉例而言,ESC上部介電質(2310)厚度及上部介電質(2310)相對介電常數兩者不必高於待夾持材料(2320)的厚度及相對介電 常數,可操縱任一參數使得ESC之上部介電層(2310)的相對介電常數與厚度之乘積大於待夾持材料(2320)厚度與相對介電常數之乘積。上部介電絕緣體(2310)相對介電常數與上部介電介電絕緣體(2310)厚度之乘積對待夾持材料(2320)之相同乘積的比率較佳大於1:1,但理想地大於5:1。
圖20展示另一具體實例,其中夾持電極(2340)不具有介入夾持電極(2340)與待夾持材料(2320)之間的電絕緣體。在ESC夾持電極(2340)經曝露(未由電絕緣體覆蓋)且夾持電極(2340)至少部分地與待夾持材料(2320)接觸的狀況下,待夾持材料(2320)之與ESC電極(2340)接觸的底表面必須電絕緣。
此項技術中已知之典型ESC主要係由雙極或單極電極組態組成,但其他多極組態為可能的。可根據應用來選擇電極組態。在夾持絕緣體之狀況下,多極夾持電極組態為典型的;然而,雙極或多極電極組態可導致工件之底表面上的電荷分離。表面上之此電荷分離可導致強殘餘力,其可使解夾持(declamp)常規程序更長且更複雜。
在本發明中,針對電絕緣材料之夾持及解夾持來最佳化ESC,其中與ESC之頂表面接觸的工件表面係由電絕緣體組成。使用單極型ESC以便促進解夾持常規程序。在單極ESC之狀況下,無側向電荷分離發生在底表面上;實情為,底表面大部分經均一帶電。由於工件之底表面具有大部分均一之電荷分佈,因此殘餘力亦將大部分均一。可易於取消此大部分均一之殘餘夾持力。通常,使用常規程序來取消殘餘夾持力且解夾持工件。在一個常規程序中,可藉由將所施加夾持電壓設定至電漿引發之自偏壓來操縱該電壓。在一些狀況下,可將夾持電壓設定至0V或具有與用以夾持工件之夾持電壓極性相反之極性的最佳化設定點。通常在已處理工件之後執行解夾持常規程序。
圖8展示自ESC(670)之外徑延伸至提昇機構(680)之襯 環(700)。此襯環(700)用以防止任何經曝露帶(300)之背表面接觸電漿。儘管展示單獨襯環(700),但ESC(670)之延伸亦將防止帶(300)之背面曝露至電漿。襯環(700)可由介電材料製成,諸如陶瓷(例如,氧化鋁)或塑膠材料(例如,聚四氟乙烯(PTFE、鐵氟龍)),該介電材料係因其低熱導率及其低電導率而被選擇。儘管不將未受夾持帶直接曝露至電漿為較佳的,但可容忍一些間接曝露。
通常在電漿處理期間,需要解耦離子能量與離子通量,以達成某些蝕刻特性。藉由使用經供電工件支撐件及高密度源(諸如,ICP),可達成對離子能量及通量之大部分獨立控制。可藉由DC或AC電源對工件支撐件供電(例如,加偏壓)。AC偏壓頻率之範圍可為數kHz至數百MHz。低頻通常係指處於或低於離子電漿頻率之彼等偏壓頻率,且高偏壓頻率係指高於離子電漿頻率之頻率。將離子電漿頻率理解為取決於離子之原子量,因此離子電漿頻率將受電漿化學物質影響。此等化學物質可含Cl、HBr、I或F。在含SF6電漿之狀況下,離子電漿頻率為約4MHz。如圖23中所展示,當將基板向下蝕刻至由具有不同相對介電常數之兩種材料(例如,絕緣體上矽、SOI結構)(例如,圖23中之2720及2730)之接觸界定的界面時,關於與界面處之帶電相關聯之蝕刻的問題為熟知的。此等問題可為電或實體的,且通常被稱為開凹口(例如,參見圖23中之2700)、開溝槽、特徵剖面退化。通常發生有此等問題之界面實例為安裝於絕緣載體上之絕緣體上矽(SOI)半導體基板、安裝於帶上之半導體晶圓(例如,GaAs、Si),及含有至少一個電絕緣層之基板。此等問題對於器件良率及效能而言為不良的。舉例而言,當使用停止於絕緣體(例如,SiO2)上之分時多工(例如,TDM、DRIE或Bosch)製程來蝕刻矽時,此項技術中已知在矽/絕緣體界面處將出現側蝕(或開凹口)。如此項技術中所熟知的,可藉由以低RF偏壓頻率(低於離子電漿頻率)操作及另外用脈衝控制或調變RF偏壓功率來減 少此等帶電問題,如美國專利6,187,685中所解釋。注意導,'685專利教示不使用大於離子電漿頻率(大約4MHz)之RF偏壓頻率來藉由絕緣蝕刻終止層蝕刻矽。
當結合高密度電漿源(620)與基板(100)之間的機械隔板(690)使用高頻RF偏壓時,本發明允許使用大於離子電漿頻率(例如,大於大約4MHz)之RF偏壓頻率來蝕刻此等結構(例如,SOI)。此組態允許基板(100)之處理(例如,蝕刻)繼續進行,同時仍消除或減少在界面處發生之損害(例如,在矽/經曝露絕緣體界面處之最少化的開凹口(2700))。較佳地,RF偏壓頻率為13.56MHz(ISM頻帶)。
在本發明之一個具體實例中,結合在製程期間之某一點處用脈衝控制的高頻RF偏壓來使用機械隔板(690)。可在整個製程期間用脈衝控制RF偏壓。受脈衝控制RF偏壓在脈衝串中可具有兩個功率位準:高值及低值。受脈衝控制RF偏壓可具有兩個以上RF偏壓功率位準。該低值可為零(無RF偏壓功率)。受脈衝控制RF偏壓位準可連續地、離散地或既連續又離散地改變。RF偏壓頻率亦可大於大約6MHz直至大約160MHz。
設備製造商必須建立其蝕刻系統之獨特組態以支援諸如(但不限於)低損害電漿蝕刻及SOI應用之應用,該等應用在不另外花費多個電源及/或匹配網路及有時靜電夾盤之情況下通常不可用於其他製程。在13.56MHz之頻率下的電源歸因於其可用性及低成本而在工業中係常用的。本發明使得有可能使用待用於上文所引證之應用的此等電源供應器,以便消除對附加硬體及/或精密硬體組態的需要。
由於經由厚介電材料的在此低頻下之RF耦合不太有效,因此至基板(100)之RF耦合可經由一或多個ESC夾持電極(2010),例如,經由耦合電容器而非經由經RF供電工件支撐件(630)。為了維持至基板(100)之均一RF耦合,一或多個ESC電極亦應均一地安置於基板(100) 後方。若使用多個電極,則難以達成此均一耦合,此係因為電極之間的必要間隙導致不利地影響蝕刻之品質的RF耦合之局部變化,尤其為在基板/帶界面處之側蝕。因此,ESC設計之較佳具體實例併有所謂的單極設計,在該設計中使用單一電極提供夾持力。
可使用半導體工業中熟知之技術來處理基板。一般使用氟基化學物質(諸如,SF6)來處理矽基板。通常,SF6/O2化學物質因為其高速率及各向異性剖面而用以蝕刻矽。此化學物質之缺點為其對遮罩材料(例如,對光阻)之相對低選擇性,其為15至20:1。或者,可使用在沈積與蝕刻之間交替的分時多工(TDM)製程以產生高度各向異性之深剖面。舉例而言,用以蝕刻矽之替代製程使用C4F8步驟來將聚合物沈積於矽基板之所有經曝露表面(亦即,遮罩表面、蝕刻側壁及蝕刻底面)上,且接著使用SF6步驟自蝕刻底面選擇性地移除聚合物且接著各向同性地蝕刻少量矽。該等步驟重複直至終止。此TDM製程可藉由對遮罩材料之大於200:1的選擇性而產生深至矽中之各向異性特徵。此情形接著使TDM成為用於矽基板之電漿分離的所要方法。注意到,本發明不限於使用含氟化學物質或分時多工(TDM)製程。舉例而言,亦可藉由如此項技術中已知的含Cl、HBr或I化學物質來蝕刻矽基板。
對於諸如GaAs之III-V基板而言,氯基化學物質廣泛地用於半導體工業中。在RF無線器件之製造中,使器件側向下而將經薄化GaAs基板安裝至載體上,在載體處,接著將該等基板薄化且用光阻圖案化。蝕刻掉GaAs以曝露至前側電路之電接點。此熟知製程亦可用以藉由在上文所提及之發明中描述的前側處理來分離器件。其他半導體基板及適當電漿製程亦可用於上文所提及之發明中的晶粒分離。
許多製程需要基板(100)表面處之離子通量,以便達成所要製程結果(例如,GaAs蝕刻、GaN蝕刻、SiO2蝕刻、SiC蝕刻、石英蝕刻 等。)對於基板(100)為工件(320)之部分的狀況而言,處理基板(100)所需之離子通量及/或離子能量可常常足夠高以損害工件之部分(例如,可撓性膜(300))或實現不當副反應,從而使得保護工件之部分免受電漿為重要的。相比之下,為了改良製程結果(例如,均一性、靜電夾持效能等),可需要提供工件之某一部分,該部分位於基板周邊外部、接觸電漿,但離子通量及/或離子能量低於基板(100)所經歷之離子通量及/或離子能量。需要用以使工件(320)之不同部分曝露至不同電漿離子通量及/或離子能量的手段。
如圖28中所展示,本發明之另一具體實例為含有至少一個穿孔區(2800)之經修改蓋環(2830),該至少一個穿孔區允許自電漿至工件之路徑,其中工件由穿孔區(2800)重疊。穿孔區(2800)可重疊可撓性膜(300)。穿孔區(2800)可重疊框架(310)。穿孔區(2800)可延伸超出工件(320)。穿孔區(2800)可使自電漿至工件之經重疊區域(例如,工件之由穿孔區(2800)重疊且在基板周邊外部的區域)之一部分的離子通量衰減至少10%。在較佳具體實例中,穿孔區(2800)可使自電漿至工件之由穿孔區(2800)重疊之一部分的離子通量衰減至少30%。穿孔區(2800)較佳不重疊基板(100)。在一個具體實例中,如圖29中所展示,經修改蓋環(2830)與基板(100)位於不同平面中(例如,非共平面)。因此,蓋環之內周邊(2831)不鄰近於基板之外周邊(101)。
經修改蓋環(2830)通常含有蓋環開口(2820),在該開口處,基板(100)並不由經修改蓋環(2830)重疊。蓋環開口(2820)之範圍可為大於大約0.1mm至小於大約20mm(大於基板直徑),其中較佳值在1mm與4mm之間。較佳地,蓋環開口(2820)比基板(100)直徑大2mm。基板(100)表面處之電漿離子密度較佳大於工件(320)表面處之電漿離子密度,在該工件表面處,工件由經修改蓋環(2830)重疊。
在一些狀況下,可需要使電漿存在於以下兩者之間的區中:經修改蓋環(2830)之穿孔區(2800)之某一部分,及由經修改蓋環(2830)之穿孔區(2800)重疊之工件。(例如,可需要在使用單極ESC將工件夾持於由經修改蓋環(2830)重疊之區域之某一部分中時允許電漿處於經修改蓋環(2830)之下)。在一個具體實例中,為了允許電漿穿透經修改蓋環(2830)與工件(320)之間的體積,蓋環之穿孔區的底表面與工件(320)之頂表面之間的距離可在1mm與5cm之間。
經修改蓋環(2830)可含有非穿孔之至少一個區(2810)。經修改蓋環(2830)之非穿孔區(2810)可防止電漿在非穿孔區(2810)重疊工件(320)處接觸工件。在工件由穿孔區(2800)重疊的工件(320)表面處之電漿離子密度較佳大於在工件由非穿孔區(2810)重疊的工件(320)表面處之電漿離子密度。在基板(100)處之工件(320)表面處之電漿密度亦較佳大於在由經修改蓋環(2830)之穿孔區(2800)重疊的工件表面處之電漿密度,後一電漿密度又大於在由經修改蓋環(2830)之非穿孔區(2810)重疊的工件(320)表面處之電漿密度。在經修改蓋環(2830)之非穿孔區下的電漿密度可為零。
圖29展示具有穿孔區(2800)之經修改蓋環(2830)之橫截面圖。經修改蓋環(2830)可含有泵抽孔口(1010)以改良系統導電性。泵抽孔口(1010)較佳位於工件(320)之周邊外部。泵抽孔口可位於經修改蓋環(2830)之穿孔區(2800)、非穿孔區(2810)或兩者中。泵抽孔口(1010)較佳不重疊工件(320)。
在較佳具體實例中,經修改蓋環(2830)之穿孔區(2800)重疊工件(320)之由ESC夾持電極(2010)重疊的部分。經修改蓋環(2830)之穿孔區(2800)可重疊工件(320)之未由基板重疊但由ESC夾持電極(2010)重疊的所有區域。穿孔區(2800)中之全部可由ESC夾持電極(2010)重 疊。經修改蓋環(2830)之穿孔區(2800)亦較佳不重疊工件(320)之未與工件支撐件(630)進行熱接觸的部分(例如,經修改蓋環(2830)之穿孔區(2800)不重疊工件(320)之未由靜電夾盤(670)夾持的部分,經修改蓋環(2830)之穿孔區(2800)不重疊工件(320)之在最外ESC密封環帶(1700)外部的部分)。
穿孔區(2800)中之穿孔可為任何大小及形狀。在穿孔區(2800)內或之間,穿孔大小及形狀可為可變或均一的。在穿孔區(2800)內或之間,穿孔之間隔可均一地或可變地分佈。
雖然將圖29中所展示之經修改蓋環(2830)說明為具有恆定厚度,但蓋環厚度可在環內變化(例如,厚度變化依據跨越環之半徑或厚度變化)。穿孔區(2800)之厚度可不同於非穿孔區(2810)之厚度。穿孔區(2800)之厚度可厚於非穿孔區(2810)之厚度。
圖30展示本發明之另一具體實例。此具體實例包括圖29中描述及說明之元件。另外,在此具體實例中,經修改蓋環(2830)之穿孔區(2800)不與經修改蓋環(2830)之非穿孔區(2810)共平面。在較佳具體實例中,穿孔區(2800)與工件(320)之間的距離大於非穿孔區(2810)與工件(320)之間的距離。蓋環(2830)可由兩個或兩個以上片件組成。
在圖40中所展示的本發明之另一具體實例中,針對含有兩個或兩個以上基板(100)之工件(320)而組態經修改蓋環(2830)。該經修改蓋環(2830)含有至少一個穿孔區(2800)。該經修改蓋環(2830)可含有一非穿孔區(2810)。基板(100)可為不同大小及/或形狀。基板(100)可為一片較大基板(100)。基板(100)可含有不同材料。較佳用類似化學物質來處理基板(例如,用含氟化學物質來蝕刻矽及鍺兩者)。經修改蓋環(2830)含有使一個以上基板(100)曝露至電漿之至少一個開口(2820)。經修改蓋環(2830)較佳不重疊基板(100)。在較佳具體實例中,自經修改 蓋環開口(2820)至基板(100)周邊之距離(3800)為至少0.1mm。進一步較佳地,自經修改蓋環開口(2820)至任何基板(100)周邊之距離(3800)為至少0.1mm。在經修改蓋環開口(2820)與基板(100)周邊之間的距離(3800)可大於1mm。經修改蓋環(2830)可在與至少一個基板(100)不同之平面中。經修改蓋環(2830)可在與所有基板(100)不同之平面中。經修改蓋環(2830)較佳不與工件(320)接觸。雖然針對多個基板來組態此具體實例中所描述之經修改蓋環(2830),但該蓋環可含有在本文中所描述之蓋環之其他具體實例中所描述的特徵。
在圖41中所展示的本發明之又一具體實例中,針對含有兩個或兩個以上基板(100)之工件(320)而組態經修改蓋環(2830)。該經修改蓋環(2830)含有至少一個穿孔區(2800)。該經修改蓋環可含有一非穿孔區(2810)。基板(100)可為不同大小及/或形狀。基板(100)可為一片較大基板(100)。基板(100)可含有不同材料。較佳用類似化學物質來處理基板(例如,用含氟化學物質來蝕刻矽及鍺兩者)。經修改蓋環(2830)含有允許電漿接觸至少一個基板(100)之至少兩個開口(2820)。在較佳具體實例中,每一經修改蓋環開口(2820)使一個基板(100)曝露至電漿。經修改蓋環開口(2820)可為不同大小及形狀。經修改蓋環(2830)較佳不重疊基板(100)。在較佳具體實例中,自經修改蓋環開口(2820)至含於彼開口內之基板(100)周邊的距離(3900)為至少0.1mm。進一步較佳地,自蓋環開口(2820)至含於彼開口內之任何基板(100)周邊的距離(3900)為至少0.1mm。在經修改蓋環開口(2820)與基板(100)周邊之間的距離(3900)可大於1mm。經修改蓋環(2830)可在與至少一個基板(100)不同之平面中。經修改蓋環(2830)可在與所有基板(100)不同之平面中。經修改蓋環(2830)較佳不與工件(320)接觸。雖然針對多個基板來組態此具體實例中所描述之經修改蓋環(2830),但該蓋環可含有在本文中之蓋環 之其他具體實例中所描述的特徵。
為了進一步減少與基板/帶界面處之帶電相關聯的問題,該製程可在界面曝露至第二製程時被改變,該第二製程具有較小側蝕傾向且通常為較低蝕刻速率製程。改變發生之時間點取決於很可能變化之基板厚度。為了補償此可變性,使用端點技術來偵測到達基板/帶界面之時間。監視電漿發射之光學技術通常用以偵測端點,且美國專利第6,982,175及7,101,805號描述適用於TDM製程之此端點技術。
圖31說明可在使用電漿處理之晶粒單體化製程期間出現的問題。圖31a展示晶圓(圖中未示)上的藉由切割道區(120)分離之晶粒(110)的典型圖案。電漿切割製程通常遵循化學輔助蝕刻機制,其中經曝露材料之蝕刻速率部分地隨可用發應物之濃度而變。對於含氟電漿(例如,SF6電漿)中之矽的狀況而言,蝕刻速率通常隨游離氟(例如,SF6部分壓力、SF6質量流量率等)而變。在遵循化學輔助蝕刻機制之製程中,具有相同面積之兩個區(3120、3130)可歸因於其局部環境(例如,縱橫比)而以不同速率蝕刻。可將縱橫比定義為特徵深度除以其最小側向尺寸(長度或寬度)。較高縱橫比特徵通常蝕刻較慢,尤其對於以化學方式驅動之製程而言係如此。舉例而言,雖然蝕刻區3120及區3130為相同面積,但切割道相交區(3120)將具有較低的有效縱橫比且通常比切割道區(3130)蝕刻更快。此較快蝕刻速率可導致不當特徵剖面,及/或可損害下伏帶(例如,損害帶可拉伸性)。
圖32展示本發明之又一具體實例。藉由添加可保護切割道相交區(3120)之部分以免受蝕刻的經遮蔽蝕刻輔助特徵(3200),可增加切割道相交區(3120)之有效縱橫比,從而將切割道相交區(3120)中之局部蝕刻速率減小至類似於周圍切割道區(3130)之值。可使用此項技術中已知之方法、使用具有合適抗蝕刻率之任何遮罩材料(例如,聚合物,其包 括聚醯亞胺及光阻;介電質,其包括SiO2、SiN、Al2O3、AlN;含碳材料,其包括碳及類鑽碳(DLC);及金屬,其包括Al、Cr、Ni等)來圖案化蝕刻輔助特徵(3200)。蝕刻輔助特徵(3200)之遮罩材料可為用以在電漿切割製程期間遮蔽晶粒(110)之相同材料。當存在一個以上蝕刻輔助特徵(3200)時,可使用一種以上遮罩材料來圖案化蝕刻輔助特徵(3200)。可在單一蝕刻輔助特徵(3200)內使用一種以上遮罩材料來圖案化該蝕刻輔助特徵(3200)。蝕刻輔助特徵(3200)遮罩材料對待移除之切割道材料的蝕刻選擇率較佳為至少10:1。進一步較佳地,遮罩材料之抗蝕刻率大於50:1。蝕刻輔助特徵(3200)較佳在電漿切割製程之後與晶粒(110)分離。需要蝕刻輔助特徵(3200)在電漿切割及/或下游操作期間保持完整(例如,蝕刻輔助特徵不斷裂或接觸晶粒(110))。蝕刻輔助特徵(3200)在電漿切割製程期間較佳保持黏附至帶(300)。經單體化蝕刻輔助特徵(3200)較佳不接觸晶粒(110)。
可將蝕刻輔助特徵(3200)圖案化成包括多邊形、正方形、矩形及/或四邊形之廣泛多種形狀。蝕刻輔助特徵(3200)可含有彎曲部或修圓特徵。蝕刻輔助特徵(3200)可為圓形或橢圓形。蝕刻輔助特徵(3200)可由一個以上較小特徵組成(例如,參見蝕刻輔助特徵群組(3201))。
為了在單體化製程期間清楚地分離晶粒(110),蝕刻輔助特徵(3200)較佳不連接至晶粒(110)。在較佳具體實例中,蝕刻輔助特徵(3200)中無一者連接至晶粒(110)。
蝕刻輔助特徵(3200)可彼此連接。蝕刻輔助特徵(3200)跨越晶圓可為均一或可變大小及形狀。對於晶粒(110)或切割道(120)跨越晶圓而變化之狀況而言,蝕刻輔助特徵(3200)大小及/或形狀較佳亦跨越晶圓而變化。雖然圖32說明本發明供矩形晶粒(110)使用,但本發明亦可有益地應用於具有不同形狀之晶粒,包括具有修圓角之晶粒。
圖31b展示含有製程控制監視器(PCM)結構(3100)之晶粒(110)之先前技術圖案。PCM結構(3100)可用以在器件製造程序期間檢查器件之品質。PCM結構(3100)通常並非可中斷(yieldable)晶粒,且消耗原本可用於有用晶粒之基板面積。由於PCM結構(3100)通常不併入至最終產品晶粒中,因此PCM結構(3100)通常位於晶圓之切割道區(120)中。PCM結構(3100)之數目及大小通常隨晶片設計及製造程序而變。在先前技術中,為了保持PCM結構(3100)與有用晶粒(110)分離,常常有必要增加PCM結構(3100)周圍之PCM切割道(3110)之寬度。由於以下兩個原因,此等較寬PCM切割道區(3110)可為不當的:首先,具有不同寬度之切割道區可以不同速率蝕刻(例如,較寬切割道蝕刻較快),從而潛在地引起晶粒側壁之不當可變性;及其次,較寬切割道區(3110)表示基板之潛在地可用以製造額外器件(110)的浪費區域。
圖33展示用以向電漿呈現更均一圖案負載之本發明之又一具體實例。在此具體實例中,將PCM輔助特徵(3300)添加至PCM切割道區(3110)以減小PCM切割道區(3110)中之經曝露基板之面積。藉由減小經曝露基板面積,PCM輔助特徵(3300)可將PCM輔助特徵(3300)附近之經曝露基板之蝕刻速率局部地減小至類似於兩個晶粒(110)之間的切割道(120)中之蝕刻速率的蝕刻速率。在較佳具體實例中,晶粒(110)與PCM輔助特徵(3300)之間的經曝露(例如,未遮蔽)材料之寬度(3330)類似於切割道區(120)之寬度。PCM輔助特徵(3300)可連接至/或觸碰PCM結構(3100)。PCM輔助特徵(3300)可與PCM結構(3100)分離達間隙(3310)。PCM輔助特徵(3300)與PCM結構(3100)之間的間隙(3310)可小於或等於切割道(120)寬度。
若將PCM輔助特徵(3300)圖案化成跨越基板而實質上連續,則連續PCM輔助特徵可潛在地抑制帶(300)在電漿切割之後的均一 拉伸,從而不利地影響下游操作。在一個具體實例中,PCM切割道(3110)的待保護以免受蝕刻之區域由一個以上PCM輔助特徵(3300)保護。在電漿切割之後,PCM輔助特徵(3300)較佳與鄰近晶粒(110)分離。在電漿切割製程之後,PCM輔助特徵(3300)可彼此分離。PCM輔助特徵(3300)可與另一PCM輔助特徵(3300)分離達帶拉伸間隙(3320)。在電漿切割製程期間,較佳以帶拉伸間隙(3320)來分離至少一個PCM輔助特徵(3300)(例如,移除至少一對鄰近PCM輔助特徵(3300)之間的基板材料以曝露下伏層)。此下伏層可為工件帶(300)或在基板背面之薄膜或薄膜堆疊,該薄膜可為如此項技術中已知之晶圓背面金屬層。此帶拉伸間隙允許切割帶(300)在下游拾取及置放操作期間之更均一拉伸。帶拉伸間隙(3320)之寬度較佳類似於切割道(120)寬度(例如,帶拉伸間隙之寬度在大約5微米至30微米之範圍中)。PCM輔助特徵(3300)可具有與晶粒(110)尺寸(例如,晶粒長度或寬度)大致相同的一個側向尺寸。
可使用此項技術中已知之方法、使用具有合適抗蝕刻率之任何遮罩材料(例如,聚合物,其包括聚醯亞胺及光阻;介電質,其包括SiO2、SiN、Al2O3、AlN;含碳材料,其包括碳及類鑽碳(DLC);及金屬,其包括Al、Cr、Ni等)來PCM輔助特徵(3300)。PCM輔助特徵(3300)之遮罩材料可為用以在電漿蝕刻製程期間遮蔽晶粒(110)之相同材料。PCM輔助特徵(3300)遮罩材料對待移除之切割道材料的蝕刻選擇率較佳為至少10:1。進一步較佳地,遮罩材料對切割道材料之抗蝕刻率(例如,蝕刻選擇率)大於50:1。當存在一個以上PCM輔助特徵(3300)時,可使用不同的一種遮罩材料來圖案化PCM輔助特徵(3300)。可在單一PCM輔助特徵(3300)內使用一種以上遮罩材料來圖案化該PCM輔助特徵(3300)。
圖34展示本發明之另一具體實例。不同於習知切割鋸,電漿切割不需要跨越整個基板的在晶粒(110)之間的直線狀切割道區(120) 為了向電漿呈現更均一蝕刻負載,較佳將晶粒群組(3400)移位至PCM結構(3100)附近,使得PCM結構(3100)附近之切割道寬度(3410)類似於標準切割道(120)區之寬度(例如,PCM切割道寬度(3410)之寬度為大約5微米至30微米)。
圖35展示本發明之又一具體實例。圖35展示具有修圓角之晶粒(110)。與此項技術中已知之矩形晶粒相比,此等修圓角在切割道(120)之相交處形成較大區域。為了使用晶粒(110)之間的此額外經曝露區域,可將經修改PCM結構(3500)置放於切割道(120)之相交處。經修改PCM結構(3500)可具有與此項技術中已知之PCM結構(3100)相同的功能性。此等經修改PCM結構(3500)可為各種大小及形狀(例如,直線狀、修圓或其他形狀)。在電漿切割之後,經修改PCM結構(3500)可保留測試功能性。較佳在電漿切割製程期間自晶粒單體化經修改PCM結構(3500)。(例如,移除至少一個晶粒與經修改PCM結構(3500)之間的基板材料以曝露下伏層。此下伏層可為工件帶(300)或在基板背面之薄膜或薄膜堆疊,該薄膜可為如此項技術中已知之晶圓背面金屬層)。經修改PCM結構(3500)較佳不觸碰晶粒(110)中之任一者。雖然圖35說明具有修圓角之晶粒,但本發明可有益地應用於具有任何形狀周邊之晶粒(例如,矩形或正方形晶粒)。
圖36說明可在使用電漿處理之晶粒單體化製程期間出現的另一問題。圖36展示晶圓(100)上的藉由切割道區(120)分離之晶粒(110)的典型圖案。電漿切割製程通常遵循化學輔助蝕刻機制,其中經曝露材料之局部蝕刻速率可部分地隨經曝露材料附近之量(例如,蝕刻負載效應)而變。基板(100)之大的經曝露區域附近之特徵通常具有較低蝕刻速率。在一些晶粒圖案佈局中,可存在經曝露晶圓之在最外晶圓(3600)之邊緣與基板(100)之周邊之間的邊緣區(3620)(例如,在虛線3625與基板(100) 之周邊之間的基板區域)。在電漿切割製程期間,邊緣區可以顯著不同於切割道區(120)之速率來蝕刻。邊緣區(3620)與切割道區(120)之間的蝕刻速率差異可導致不當的特徵剖面、對下伏帶之損害,或較長電漿切割製程時間。
圖37展示本發明之又一具體實例。藉由添加保護基板(100)之邊緣區(3620)的部分以免受蝕刻的經遮蔽負載輔助特徵(3700),可減小有效負載(例如,經曝露基板面積),從而允許邊緣區(3620)之經曝露區域(3730)中的局部蝕刻速率達到類似於鄰近切割道區(120)中之蝕刻速率的值。可使用此項技術中已知之方法、使用具有合適抗蝕刻率之任何遮罩材料(例如,聚合物,其包括聚醯亞胺及光阻;介電質,其包括SiO2、SiN、Al2O3、AlN;含碳材料,其包括碳及類鑽碳(DLC);及金屬,其包括Al、Cr、Ni等)來圖案化負載輔助特徵(3700)。負載輔助特徵(3700)之遮罩材料可為用以在電漿切割製程期間遮蔽晶粒(110)之相同材料。當存在一個以上負載輔助特徵(3700)時,可使用一種以上遮罩材料來圖案化負載輔助特徵(3700)。可在單一負載輔助特徵(3700)內使用一種以上遮罩材料來圖案化該負載輔助特徵(3700)。負載輔助特徵(3700)遮罩材料對待移除之經曝露材料(例如,基板)的蝕刻選擇率較佳為至少10:1。進一步較佳地,經曝露材料對負載輔助特徵遮罩材料之蝕刻選擇率大於50:1。
需要在晶粒與鄰近負載輔助特徵之間具有圖案間隙(3710)。圖案間隙(3710)可為與切割道(120)相同的寬度。已在電漿切割期間移除圖案間隙(3710)中之一種材料,負載輔助特徵(3700)較佳與鄰近晶粒(110)分離(例如,移除至少一個晶粒與負載輔助特徵(3700)之間的基板材料以曝露下伏層。此下伏層可為工件帶(300)或在基板背面之薄膜或薄膜堆疊,該薄膜可為如此項技術中已知之晶圓背面金屬層)。在電漿切割製程之後,負載輔助特徵(3700)可與鄰近負載輔助特徵(3700) 分離。鄰近負載特徵可彼此分離達負載輔助特徵間隙(3720)。此負載輔助特徵間隙(3720)允許切割帶(300)在下游拾取及置放操作期間之更均一拉伸。負載輔助特徵間隙(3720)之寬度較佳類似於切割道(120)寬度(例如,帶拉伸間隙之寬度在大約5微米至30微米之範圍中)。負載輔助特徵間隙(3720)之寬度可類似於圖案間隙(3710)。負載輔助特徵(3700)可具有與晶粒(110)尺寸(例如,晶粒長度或寬度)大致相同的一個側向尺寸。為了有助於任何下游帶拉伸操作,需要使至少一個間隙(例如,負載輔助特徵間隙(3720)、圖案間隙(2710)等)或切割道(120)與晶圓周邊相交。
如此項技術中已知之一些晶圓在晶圓周邊處具有邊緣珠粒移除區,使得周邊附近之基板之頂表面曝露基板材料。在另一具體實例中,對於邊緣珠粒已移除之晶圓而言,需要具有連接至邊緣珠粒移除區之至少一個間隙(例如,負載輔助特徵間隙(3720)、圖案間隙(2710)等)或切割道(120)(例如,使得存在自晶圓周邊至至少一個間隙的連續經曝露基板之至少一個區域)。
需要負載輔助特徵(3700)在電漿切割及/或下游操作期間保持完整(例如,負載輔助特徵不斷裂或接觸晶粒(110))。負載輔助特徵(3700)在電漿切割製程期間較佳保持黏附至帶(300)。經單體化負載輔助特徵(3700)較佳不接觸晶粒(110)。
可將負載輔助特徵(3700)圖案化成包括多邊形、正方形、矩形及/或四邊形之廣泛多種形狀。負載輔助特徵(3700)可含有彎曲部或修圓特徵。負載輔助特徵(3700)可為圓形或橢圓形。負載輔助特徵(3700)可由一個以上較小特徵組成。
為了在單體化製程期間清楚地分離晶粒(110),負載輔助特徵(3700)較佳不連接至晶粒(110)。在較佳具體實例中,負載輔助特徵(3700) 中無一者連接至晶粒(110)。
負載輔助特徵(3700)可連接至另一負載輔助特徵(3700)。負載輔助特徵(3700)跨越晶圓可為均一或可變大小及形狀。對於晶粒(110)或切割道(120)跨越晶圓而變化之狀況而言,負載輔助特徵(3700)大小及/或形狀較佳亦跨越晶圓而變化。雖然圖37說明本發明供矩形晶粒(110)使用,但本發明亦可有益地應用於具有不同形狀之晶粒,包括具有修圓角之晶粒(110)。
注意到,雖然先前實例個別地描述蝕刻輔助特徵(3200)、PCM輔助特徵(3300)、經修改PCM結構(3500)及負載輔助特徵(3700),但可有益地應用此等元件之任何組合。
在半導體基板之單體化之後,可存在不需要之殘餘物,該等殘餘物存在於器件上。通常使用鋁作為用於半導體器件之電接點,且當將鋁曝露至氟基電漿時,在其表面上形成AlF3層。AlF3在正常電漿處理條件下為非揮發性的,且在處理之後並未自基板抽離並離開系統,且保留於表面上。因為大大地減小了導線至電接點之接合強度,所以鋁上之AlF3為器件故障之常見原因。因此,在電漿處理之後自電接點之表面移除AlF3為重要的。可使用濕式方法;然而,因為經分離晶粒之易碎性及對帶之可能損害(其引起晶粒釋放),此移除變得困難。因此,可在基板仍處於真空腔室內的同時將製程改變至第三製程(移除所形成之任何AlF3的製程)。美國專利7,150,796描述一種用於使用氫基電漿來原位移除AlF3之方法。同樣地,當其他含鹵素氣體用以蝕刻基板時,原位處理可用以移除其他含鹵素殘餘物。
雖然上文實例論述使用電漿來分離晶粒(切割),但本發明之態樣對於諸如藉由電漿蝕刻進行之基板薄化的相關應用可為有用的。在此應用中,基板(100)可在待蝕刻之表面上具有一些特徵,或替代地,待蝕刻之表面可為無特徵的(例如,使塊狀基板薄化)。
本發明包括含於附加申請專利範圍中之內容以及前述描述之內容。儘管已在某一特定性程度上以本發明之較佳形式描述了本發明,但應理解,僅藉由實例進行較佳形式之本發明,且可在不脫離本發明之精神及範疇的情況下採用在構造細節以及零件之組合及配置上的眾多改變。
既然已描述本發明,
600‧‧‧真空處理腔室
610‧‧‧氣體入口
620‧‧‧高密度電漿源
630‧‧‧工件支撐件
640‧‧‧RF電源
650‧‧‧真空泵
660‧‧‧保護蓋環
670‧‧‧靜電夾盤(ESC)
680‧‧‧提昇機構
690‧‧‧機械隔板
695‧‧‧穿孔
1010‧‧‧泵抽孔/泵抽孔口

Claims (13)

  1. 一種用於電漿處理一基板之方法,該方法包含:提供具有一壁之一處理腔室;鄰近於該處理腔室之該壁提供一電漿源;在該處理腔室內提供一工件支撐件;將一工件裝載至該工件支撐件上,該工件具有一支撐薄膜、一框架及該基板;在該工件上方提供一蓋環,該蓋環具有不重疊該基板的至少一個穿孔,該穿孔重疊該工件;使用該電漿源產生一電漿;及使用該所產生電漿處理該工件。
  2. 如申請專利範圍第1項之方法,其中該蓋環之該穿孔允許電漿接觸該工件。
  3. 如申請專利範圍第1項之方法,其中該蓋環之該穿孔重疊該薄膜。
  4. 如申請專利範圍第1項之方法,其中該蓋環之該穿孔重疊該工件之至少一部分,該工件與該工件支撐件在該至少一部分處進行熱接觸。
  5. 如申請專利範圍第4項之方法,其中除在該工件之由該基板重疊的一區域中外,該蓋環之該穿孔未覆蓋該工件的未與該工件支撐件熱接觸的任何部位。
  6. 如申請專利範圍第1項之方法,其中該蓋環之該穿孔重疊該框架並且重疊該薄膜。
  7. 一種用於電漿處理一基板之方法,該方法包含:提供具有一壁之一處理腔室;鄰近於該處理腔室之該壁提供一電漿源;在該處理腔室內提供一工件支撐件; 將一工件裝載至該工件支撐件上,該工件具有一支撐薄膜、一框架及該基板;在該工件上方提供一蓋環,該蓋環具有至少一個穿孔區;在該工件支撐件內提供一靜電夾盤,該靜電夾盤具有至少一個夾持電極,該夾持電極重疊該蓋環之該穿孔區,該蓋環之該穿孔區並不重疊該工件之一外部部分,該工件之該外部部分在該靜電夾盤之一最外密封環帶的外部;使用該電漿源產生一電漿;及使用該所產生電漿處理該工件。
  8. 如申請專利範圍第7項之方法,其中該蓋環之該穿孔區允許電漿在該基板之一周邊外部接觸該工件。
  9. 一種用於電漿處理一基板之方法,該方法包含:提供具有一壁之一處理腔室;鄰近於該處理腔室之該壁提供一電漿源;在該處理腔室內提供一工件支撐件;將一工件裝載至該工件支撐件上,該工件具有一支撐薄膜、一框架及該基板;在該工件上方提供一蓋環,該蓋環具有至少一個穿孔區及至少一個非穿孔區,該蓋環之該穿孔區重疊該工件之至少一部分,該工件與該工件支撐件在該至少一部分處進行熱接觸;使用該電漿源產生一電漿;及使用該所產生電漿處理該工件;其中在使用電漿處理該工件時,該蓋環不與工件接觸。
  10. 一種用於電漿處理一基板之方法,該方法包含:提供具有一壁之一處理腔室; 鄰近於該處理腔室之該壁提供一電漿源;在該處理腔室內提供一工件支撐件;將一工件裝載至該工件支撐件上,該工件具有一支撐薄膜、一框架及該基板;在該工件上方提供一蓋環,該蓋環具有至少一個穿孔區及至少一個非穿孔區,在該工件不與該工件支撐件進行熱接觸之處,該蓋環之該穿孔區並不重疊該工件;使用該電漿源產生一電漿;及使用該所產生電漿處理該工件。
  11. 如申請專利範圍第10項之方法,其中該蓋環之該穿孔區允許電漿接觸該工件。
  12. 一種用於電漿處理一基板之方法,該方法包含:提供具有一壁之一處理腔室;鄰近於該處理腔室之該壁提供一電漿源;在該處理腔室內提供一工件支撐件;將一工件裝載至該工件支撐件上,該工件具有一支撐薄膜、一框架及該基板;在該工件上方提供一蓋環,該蓋環具有至少一個穿孔區及至少一個非穿孔區;在該工件支撐件內提供一靜電夾盤,該靜電夾盤具有至少一個夾持電極,該夾持電極重疊該蓋環之該穿孔區,該蓋環之該穿孔區並不重疊該工件之一外部部分,該工件之該外部部分在該靜電夾盤之一最外密封環帶外部;使用該電漿源產生一電漿;及使用該所產生電漿處理該工件。
  13. 如申請專利範圍第12項之方法,其中該蓋環之該非穿孔區防止電漿接觸由該蓋環之該非穿孔區重疊的該工件。
TW103107619A 2013-03-14 2014-03-06 用於電漿切割半導體晶圓的方法及裝置 TWI543255B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/829,324 US8691702B2 (en) 2011-03-14 2013-03-14 Method and apparatus for plasma dicing a semi-conductor wafer

Publications (2)

Publication Number Publication Date
TW201448027A TW201448027A (zh) 2014-12-16
TWI543255B true TWI543255B (zh) 2016-07-21

Family

ID=50343860

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103107619A TWI543255B (zh) 2013-03-14 2014-03-06 用於電漿切割半導體晶圓的方法及裝置

Country Status (6)

Country Link
US (1) US8691702B2 (zh)
EP (1) EP2973668A1 (zh)
JP (1) JP6320505B2 (zh)
CN (1) CN105144352B (zh)
TW (1) TWI543255B (zh)
WO (1) WO2014158886A1 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8912077B2 (en) 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
JP6024957B2 (ja) * 2012-09-24 2016-11-16 東芝ライテック株式会社 発光装置および照明装置
JP5962921B2 (ja) * 2013-05-09 2016-08-03 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
US9293304B2 (en) * 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber
EP3114703B1 (en) * 2014-03-07 2021-04-28 Plasma-Therm, Llc Method for plasma dicing a semi-conductor wafer
US9385041B2 (en) 2014-08-26 2016-07-05 Semiconductor Components Industries, Llc Method for insulating singulated electronic die
JP2016051876A (ja) * 2014-09-02 2016-04-11 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
GB201420935D0 (en) 2014-11-25 2015-01-07 Spts Technologies Ltd Plasma etching apparatus
GB201518756D0 (en) * 2015-10-22 2015-12-09 Spts Technologies Ltd Apparatus for plasma dicing
CN108352297B (zh) * 2015-12-07 2023-04-28 应用材料公司 合并式盖环
JP6575874B2 (ja) * 2016-03-09 2019-09-18 パナソニックIpマネジメント株式会社 素子チップの製造方法
JP6519802B2 (ja) * 2016-03-18 2019-05-29 パナソニックIpマネジメント株式会社 プラズマ処理方法およびプラズマ処理装置
GB201608926D0 (en) * 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10186446B2 (en) 2016-09-30 2019-01-22 Axcelis Technology, Inc. Adjustable circumference electrostatic clamp
US9911636B1 (en) 2016-09-30 2018-03-06 Axcelis Technologies, Inc. Multiple diameter in-vacuum wafer handling
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US10943808B2 (en) * 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
CN106788267B (zh) * 2016-11-29 2019-09-13 四川众为创通科技有限公司 基于废弃单片的异构集成太赫兹混频器及其实现方法
JP2018110156A (ja) 2016-12-28 2018-07-12 キヤノン株式会社 半導体装置、その製造方法およびカメラ
CN106920779B (zh) 2017-03-09 2019-09-06 三星半导体(中国)研究开发有限公司 柔性半导体封装件的组合结构及其运输方法
JP6782215B2 (ja) * 2017-10-18 2020-11-11 古河電気工業株式会社 プラズマダイシング用マスク材、マスク一体型表面保護テープおよび半導体チップの製造方法
KR20210092321A (ko) * 2018-12-14 2021-07-23 어플라이드 머티어리얼스, 인코포레이티드 취성 기판들 상의 양면 디바이스들의 핸들링 및 프로세싱
TWI796593B (zh) 2019-09-06 2023-03-21 美商應用材料股份有限公司 用於不同基板的共同靜電吸盤

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US20030062064A1 (en) 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US7101805B2 (en) 2003-05-09 2006-09-05 Unaxis Usa Inc. Envelope follower end point detection in time division multiplexed processes
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4858395B2 (ja) * 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
TW200935506A (en) * 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
JP2012164927A (ja) * 2011-02-09 2012-08-30 Toppan Printing Co Ltd エッチング装置
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9343365B2 (en) * 2011-03-14 2016-05-17 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구

Also Published As

Publication number Publication date
US20130230971A1 (en) 2013-09-05
CN105144352B (zh) 2018-04-03
WO2014158886A1 (en) 2014-10-02
TW201448027A (zh) 2014-12-16
CN105144352A (zh) 2015-12-09
JP6320505B2 (ja) 2018-05-09
EP2973668A1 (en) 2016-01-20
JP2016520991A (ja) 2016-07-14
US8691702B2 (en) 2014-04-08

Similar Documents

Publication Publication Date Title
TWI543255B (zh) 用於電漿切割半導體晶圓的方法及裝置
US11488865B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
TWI587388B (zh) 用於電漿切割半導體晶圓的方法和設備
US9202721B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US9711406B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US9202737B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
CN106068548B (zh) 用于对半导体晶圆进行等离子体切片的方法和设备
EP3594998B1 (en) Method for plasma dicing a semi-conductor wafer
US20230343647A1 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US20230020438A1 (en) Method and apparatus for plasma dicing a semi-conductor wafer