TWI528417B - 在半導體裝置內形成圖案的方法 - Google Patents

在半導體裝置內形成圖案的方法 Download PDF

Info

Publication number
TWI528417B
TWI528417B TW103146481A TW103146481A TWI528417B TW I528417 B TWI528417 B TW I528417B TW 103146481 A TW103146481 A TW 103146481A TW 103146481 A TW103146481 A TW 103146481A TW I528417 B TWI528417 B TW I528417B
Authority
TW
Taiwan
Prior art keywords
pattern
layer
hard mask
resist layer
forming
Prior art date
Application number
TW103146481A
Other languages
English (en)
Other versions
TW201539536A (zh
Inventor
張世明
謝銘峰
賴志明
劉如淦
高蔡勝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201539536A publication Critical patent/TW201539536A/zh
Application granted granted Critical
Publication of TWI528417B publication Critical patent/TWI528417B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Description

在半導體裝置內形成圖案的方法
本發明係有關於一種在半導體裝置內形成圖案的方法,特別為有關於使用多重微影製程形成圖案的機制。
半導體積體電路(integrated circuit,IC)產業已歷經了快速的成長。積體電路材料及設計之技術的進步造成積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。在積體電路的發展過程中,通常增加了功能密度(即,每晶片面積所內連接的裝置的數量),卻降低了幾何尺寸(即,製程中所能製造出的最小元件)。尺寸縮小所帶來的好處通常包括提高生產效率及降低相關成本。這樣的尺寸縮小也增加了加工及製造積體電路的複雜性,且為了這些進步得以實現,積體電路加工及製造需要類似的發展。
在這些優點的過程中,已努力發展出製造方法以實現更小的特徵尺寸的需求。例如,已發展出減少基底上的特徵的間距而未改變所使用的光微影技術的方法。然而,目前的方法沒有在所有方面都令人滿意。例如,臨界尺寸(critical dimension,CD)的製程窗口之一致性控制以及形成特殊特徵的製程彈性可能是不足夠的。
本發明實施例係提供一種在半導體裝置內形成圖案的方法,包括提供一基底、基底上的一圖案化目標層以及圖案化目標層上的一硬式罩幕層。在硬式罩幕層內形成一第一圖案。自硬式罩幕層內的第一圖案去除一修整部分,以形成一經修整的第一圖案。在硬式罩幕層上形成一第一阻劑層。在第一阻劑層內形成一主要圖案。使用主要圖案及經修整的第一圖案作為一蝕刻遮罩元件來蝕刻圖案化目標層,以在圖案化目標層內形成一最終圖案,其中最終圖案包括主要圖案減去主要圖案與經修整的第一圖案之間的一第一重疊部分。
本發明實施例係提供一種在半導體裝置內形成圖案的方法,包括提供一基底及基底上的一硬式罩幕層。在硬式罩幕層內形成一第一圖案。修整第一圖案,以在硬式罩幕層內形成一經修整的第一圖案。在硬式罩幕層上形成一第一阻劑層。在第一阻劑層內形成一主要圖案,主要圖案重疊於經修整的第一圖案。使用主要圖案及經修整的第一圖案作為一蝕刻遮罩元件來進行一蝕刻製程,以形成一最終圖案。
本發明實施例係提供一種在半導體裝置內形成圖案的方法,包括提供一基底、基底上的一圖案化目標層以及圖案化目標層上的一硬式罩幕層。在硬式罩幕層內形成一第一圖案。在硬式罩幕層上形成一第一阻劑層。在第一阻劑層內形成一開口。在開口形成一修補層而在第一阻劑層內形成一修補圖案。在硬式罩幕層及修補層上形成一第二阻劑層。在第二阻劑層內形成一主要圖案。使用主要圖案、第一圖案及修補圖案作為一蝕刻遮罩元件來蝕刻圖案化目標層,以形成一最終圖案, 其中最終圖案包括主要圖案減去主要圖案與第一圖案之間的一第一重疊部分以及主要圖案與開口之間的一第二重疊部分。
100、400‧‧‧半導體結構
102、402‧‧‧基底
103、403‧‧‧堆疊膜層
104、404‧‧‧圖案化目標層
106、406‧‧‧硬式罩幕層
108、408‧‧‧第一阻劑層
110、410‧‧‧第二阻劑層
114、414‧‧‧第三阻劑層
202、502‧‧‧第一圖案
204、504‧‧‧開口
206‧‧‧修整部分
208‧‧‧經修整的第一圖案
210、508‧‧‧溝槽
212、510、512‧‧‧重疊部分
300‧‧‧方法
302、304、306、308、310、312、314、316、318‧‧‧步驟
412‧‧‧修補層
506‧‧‧修補圖案
600‧‧‧方法
602、604、606、608、610、611、612、614、616‧‧‧步驟
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖式並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1A、2A、3A、4A、5A、6A、7A、8A、9A、10A、11A、12A及13A圖係繪示出根據某些實施例之在依據第14圖的方法所建構的各個圖案形成步驟中的半導體結構的平面示意圖。
第1B、2B、3B、4B、5B、6B、7B、8B、9B、10B、11B及12B圖係分別繪示出根據某些實施例之沿著第1A、2A、3A、4A、5A、6A、7A、8A、9A、10A、11A及12A圖中的虛線的半導體結構的剖面示意圖。
第13B至13C圖係分別繪示出根據某些實施例之沿著第13A圖中的虛線A-A及B-B的半導體結構的剖面示意圖。
第14圖係繪示出根據某些實施例之使用多重微影製程在半導體結構內形成圖案的方法的流程圖。
第15A、16A、17A、18A、19A、20A、21A、22A、23A、24A、25A、26A及27A圖係繪示出根據某些實施例之在依據第28圖的方法所建構的各個圖案形成步驟中的半導體結構的平面示意圖。
第15B、16B、17B、18B、19B、20B、21B、22B、23B、 24B、25B、26B及27B圖係分別繪示出根據某些實施例之沿著第15A、16A、17A、18A、19A、20A、21A、22A、23A、24A、25A、26A及27A圖中的虛線A-A的半導體結構的剖面示意圖。
第15C、16C、17C、18C、19C、20C、21C、22C、23C、24C、25C、26C及27C圖係分別繪示出根據某些實施例之沿著第15A、16A、17A、18A、19A、20A、21A、22A、23A、24A、25A、26A及27A圖中的虛線B-B的半導體結構的剖面示意圖。
第28圖係繪示出根據某些實施例之使用多重微影製程在半導體結構內形成圖案的方法的流程圖。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用 語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語等。可以理解的是,除了圖式所繪示的方位之外,空間相關用語涵蓋使用或操作中的裝置的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。可以理解的是,在所述方法之前、期間及之後,可提供額外的操作步驟,且在某些方法實施例中,所述的某些操作步驟可被替代或省略。
由於來自光學、阻劑材料、微影圖案化製程期間的顯影及/或蝕刻技術的侷限,所顯影的圖案可能無法包括具有最小限制以下的尺寸(例如,一最小線寬)之特徵(feature)。所顯影的圖案也可能無法具有密集的(多個)特徵,其設置為與相鄰特徵的距離小於大約一最小間距值(pitch value)。當從單一微影製程得到,所顯影的圖案可能對於形狀的複雜性有所限制。此外,在曝光及顯影後,圖案可能具有“圓形化問題(rounding issues)”,特徵的邊緣及/或角落可能會出現變圓及/或不清楚,而不是預期的輪廓銳利及清晰。
如第1A至1B圖所示,在一半導體結構100內提供一基底102及一堆疊膜層103。在某些實施例中,基底102為一半導體基底,例如一半導體晶圓。基底102可包括結晶結構的矽。在某些實施例中,基底102可包括其他元素半導體(例如,鍺)、化合物半導體(包括矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦及/或銻化銦)、合金半導體(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或其組合。在某些實施例中,基底102可為絕緣層上覆矽(silicon-on-insulator,SOI) 基底。基底102還可以包括附加特徵及/或材料層,例如形成於基底內的各種隔離特徵。在某些實施例中,基底102可包括各種摻雜區域,例如p型摻雜區及/或n型摻雜區域,其建構及耦接而形成各種裝置及功能特徵。可以使用適合的方法來實現所有摻雜特徵,例如以各種步驟及技術進行離子佈值。在某些實施例中,基底102可包括其他特徵,例如淺溝槽隔離(shallow trench isolation,STI)。基底102還可包括各種材料層,例如閘極材料層。
如第1B圖所示,堆疊膜層103包括形成於基底102上的一圖案化目標層104、圖案化目標層104上的一硬式罩幕層(hard mask layer)106以及硬式罩幕層106上的一第一阻劑層108。在某些實施例中,圖案化目標層104是最終圖案所形成於基底102上的膜層。在某些實施例中,圖案化目標層104的厚度在從大約5nm至大約50nm的範圍內。在某些實施例中,使用本領域中已知的一種或多種常用方法(例如,化學氣相沉積(chemical vapor deposition,CVD)、旋塗法、濺射、氧化、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、原子層CVD(ALCVD)、熱氧化及/或其他適合的製程)形成圖案化目標層104。在某些實施例中,圖案化目標層104包括一種或多種介電材料,例如氧化矽(SiO2)及/或氮化矽(Si3N4)。在某些實施例中,圖案化目標層104還包括金屬化材料。在某些實施例中,圖案化目標層104是基底102的一上部。
仍參照第1B圖,硬式罩幕層106形成於圖案化目標 層104上。硬式罩幕層106用於將圖案化目標層104圖案化,例如透過蝕刻,如後續本揭露中所詳細討論的。在某些實施例中,硬式罩幕層106包括一種或多種介電材料,例如氧化矽、氮化矽及/或氮氧化矽(SiON)。在某些實施例中,硬式罩幕層106包括氮化鈦(TiN)。在某些實施例中,硬式罩幕層106的厚度在從大約5nm至大約50nm的範圍內。在某些實施例中,使用選自由化學氣相沉積、物理氣相沉積、原子層沉積、旋塗法、濺射、熱氧化及其組合所構成的群組中一種或多種製程形成硬式罩幕層106。
如第1A至1B圖所示,為了將硬式罩幕層106圖案化,以使得圖案化的硬式罩幕層106可以被用作遮罩來將圖案化目標層104圖案化,在硬式罩幕層106上方形成第一阻劑層108。在某些實施例中,第一阻劑層108為一光阻層,其包括對光(例如,UV光)敏感的化學物質。在某些實施例中,第一阻劑層108也可為一電子束敏感層。在某些實施例中,使用旋轉塗佈法形成第一阻劑層108。在某些實施例中,第一阻劑層108包括一種或多種有機高分子材料。在某些實施例中,第一阻劑層108的厚度在從大約10nm至大約100nm的範圍內。
參照第2A至2B圖,對第一阻劑層108進行一微影製程,以形成一第一圖案202。在某些實施例中,該微影製程包括利用含有第一圖案202的遮罩(mask)將第一阻劑層108曝光於一光源,進行曝光後烘烤製程,以及將第一阻劑層108顯影以形成第一圖案202,如第2A至2B圖所示。在某些實施例中,第一圖案可能包括過大的特徵,要進行修整以形成包含於最終 圖案內的特徵,因此最終圖案內的特徵能夠具有輪廓銳利及清晰的邊緣,且沒有“圓形化問題”。
參照第3A至3B圖,使用圖案化的第一阻劑層108作為遮罩來將第一圖案202轉移至硬式罩幕層106。在某些實施例中,使用一次或多次蝕刻製程去除未被圖案化的第一阻劑層108所覆蓋的區域,且將對應於第一圖案202的(多個)區域保留於硬式罩幕層106內,如第3B圖所示。在某些實施例中,上述一次或多次蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合。在蝕刻製程期間,選擇性地蝕刻硬式罩幕層106的對應部分,而圖案化目標層104保持未被蝕刻。在某些實施例中,當硬式罩幕層106包括氧化矽、氮化矽及/或氮氧化矽(SiON)時,蝕刻製程包括使用蝕刻氣體,其包括四氟化碳(CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其他適合的蝕刻氣體或其組合的至少一者。在某些實施例中,當硬式罩幕層106包括氮化鈦,蝕刻製程包括使用蝕刻氣體,其至少包括氯(Cl2)或任何其他適合的蝕刻氣體。
參照第4A至4B圖,在將第一圖案202轉移至硬式罩幕層106之後,去除第一阻劑層108。在某些實施例中,透過濕式剝離製程(wet stripping process)、電漿灰化製程(plasma ashing process)、其他適合的方法及/或其組合去除第一阻劑層108。在某些實施例中,電漿灰化製程包括使用氣體,其包括氧(O2)、二氧化碳(CO2)、氮氣(N2)、氫氣(H2)、或其組合的至少一者。如第4B圖所示,在去除第一阻劑層108之後,在硬式罩幕層106內露出第一圖案202。
參照第5A至5B圖,一第二阻劑層110形成於圖案化目標層104及圖案化的硬式罩幕層106上。在某些實施例中,第二阻劑層110為一光阻層,其包括對光(例如,UV光)敏感的化學物質。在某些實施例中,第二阻劑層110也可為一電子束敏感層。在某些實施例中,使用旋轉塗佈法形成第二阻劑層110。在某些實施例中,第二阻劑層110包括一種或多種有機高分子材料。在某些實施例中,第二阻劑層110的厚度在從大約10nm至大約100nm的範圍內。在某些實施例中,第二阻劑層110大致上類似於第一阻劑層108。
參照第6A至6B圖,一第二圖案形成於第二阻劑層110內。在某些實施例中,第二圖案包括形成於第二阻劑層110內的一開口204,以露出硬式罩幕層106及圖案化目標層104的多個部分。在某些實施例中,使用微影製程形成開口204。在某些實施例中,該微影製程包括利用含有開口204的圖案的遮罩將第二阻劑層110曝光於一光源,進行曝光後烘烤製程,以及將第二阻劑層110顯影以在第二阻劑層110內形成開口204,如第6A至6B圖所示。
在某些實施例中,透過形成開口204,露出硬式罩幕層106內的一修整(trim)部分206。在某些實施例中,如第6A至6B圖所示,修整部分206對應於一重疊部分,其為開口204與硬式罩幕層106內的第一圖案202重疊處。形成修整部分206以在後續製程中自硬式罩幕層106內的第一圖案202去除(多個)部分。雖然第6A至6B圖中所繪示的開口204垂直於第一圖案202,然而可將開口204設計且形成為平行於第一圖案202,或 者以適合的角度相對於第一圖案202。在某些實施例中,第二圖案可包括任何其他適合的特徵,其可使用微影製程而形成。
參照第7A至7B圖,使用圖案化的第二阻劑層110作為遮罩來將第二圖案轉移至硬式罩幕層106內的第一圖案202。使用一次或多次蝕刻製程去除第6A至6B圖中硬式罩幕層106內的第一圖案202中露出的修整部分206。在某些實施例中,上述一次或多次蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合。在蝕刻製程期間,選擇性地蝕刻硬式罩幕層106的對應部分,而圖案化目標層104保持未被蝕刻。在某些實施例中,當硬式罩幕層106包括氧化矽、氮化矽及/或氮氧化矽(SiON)時,蝕刻製程包括使用蝕刻氣體,其包括四氟化碳(CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其他適合的蝕刻氣體或其組合的至少一者。在某些實施例中,當硬式罩幕層106包括氮化鈦,蝕刻製程包括使用蝕刻氣體,其至少包括氯(Cl2)或任何其他適合的蝕刻氣體。在某些實施例中,該蝕刻製程大致上類似於第3A至3B圖中用於在硬式罩幕層106內形成第一圖案202的蝕刻製程。在自硬式罩幕層106去除修整部分206之後,一經修整的第一圖案208形成於硬式罩幕層106內。
參照第8A至8B圖,在將修整部分206自硬式罩幕層106去除之後,去除第二阻劑層110。在某些實施例中,透過濕式剝離製程、電漿灰化製程及/或其他適合的方法去除第二阻劑層110。在某些實施例中,電漿灰化製程包括使用氣體,其包括氧(O2)、二氧化碳(CO2)、氮氣(N2)、氫氣(H2)、或其組合 的至少一者。如第8B圖所示,在去除第二阻劑層110之後,露出經修整的第一圖案208。
如第8A至8B圖所示,經修整的第一圖案208的尺寸可以小於最小線寬。在某些實施例中,透過去除修整部分206,經修整的第一圖案208可包括複雜的形狀,其無法使用單一微影製程而製作。在某些實施例中,第一圖案202內的邊緣及/或角落如本揭露先前討論的“圓形化問題”可透過修整部分206而消除。
參照第9A至9B圖,形成一第三阻劑層114,以覆蓋圖案化目標層104及硬式罩幕層106內經修整的第一圖案208。在某些實施例中,第三阻劑層114為一光阻層,其包括對光(例如,UV光)敏感的化學物質。在某些實施例中,第三阻劑層114也可為一電子束敏感層。在某些實施例中,使用旋轉塗佈法形成第三阻劑層114。在某些實施例中,第三阻劑層114包括一種或多種有機高分子材料。在某些實施例中,第三阻劑層114的厚度在從大約10nm至大約100nm的範圍內。在某些實施例中,第三阻劑層114大致上類似於第一阻劑層108。
參照第10A至10B圖,一主要圖案形成於第三阻劑層114內。在某些實施例中,主要圖案包括形成於第三阻劑層114內的一個或多個溝槽210,以露出部分的硬式罩幕層106及圖案化目標層104。在某些實施例中,使用微影製程形成溝槽210。在某些實施例中,該微影製程一開始利用具有包含一個或多個溝槽210之主要圖案的遮罩將第三阻劑層114曝光於一光源。該微影製程還包括進行曝光後烘烤製程以及將第三阻劑 層114顯影,以在第三阻劑層114內形成多個溝槽210,如第10A至10B圖所示。在某些實施例中,如第10A圖所示,在形成溝槽210之後,露出硬式罩幕層106內的一重疊部分212。在某些實施例中,重疊部分212對應於主要圖案內的溝槽210與經修整的第一圖案208之間的重疊部分,如第10A至10B圖所示。在某些實施例中,主要圖案可包括任何其他適合的特徵,其可使用微影製程而形成。
參照第11A至11B圖,使用圖案化的第三阻劑層114及經修整的第一圖案208作為遮罩來將一最終圖案轉移至圖案化目標層104。在某些實施例中,最終圖案包括主要圖案內的一個或多個溝槽210減去硬式罩幕層106內的重疊部分212。在某些實施例中,使用一次或多次蝕刻製程去除圖案化目標層104中被溝槽210所露出且未被經修整的第一圖案208所覆蓋的區域。溝槽210內的圖案化目標層104中被經修整的第一圖案208所覆蓋的(多個)區域(例如,重疊部分212)保持未被蝕刻,如第11B圖所示。在某些實施例中,上述一次或多次蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合,因此能夠選擇性地蝕刻圖案化目標層104的對應部分,而硬式罩幕層106保持未被蝕刻。在某些實施例中,蝕刻製程包括使用蝕刻氣體,其包括四氟化碳(CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其他適合的蝕刻氣體或其組合的至少一者。
參照第12A至12B圖,去除第三阻劑層114。在某些實施例中,透過濕式剝離製程、電漿灰化製程及/或其他適合 的方法去除第三阻劑層114。在某些實施例中,電漿灰化製程包括使用氣體,其包括氧(O2)、二氧化碳(CO2)、氮氣(N2)、氫氣(H2)、或其組合的至少一者。如第12B圖所示,在去除第三阻劑層114之後,露出硬式罩幕層106內經修整的第一圖案208。經修整的第一圖案208位於圖案化目標層104中未被蝕刻的部分上方,如第12A至12B圖所示。
參照第13A、13B及13C圖,去除硬式罩幕層106內經修整的第一圖案208。在某些實施例中,使用化學機械研磨(chemical mechanical polish,CMP)製程去除硬式罩幕層106。在某些實施例中,使用一次或多次蝕刻製程去除硬式罩幕層106。上述蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合。在蝕刻製程期間,能夠選擇性地蝕刻硬式罩幕層106的對應部分,而圖案化目標層104保持未被蝕刻。在某些實施例中,當硬式罩幕層106包括氧化矽、氮化矽及/或氮氧化矽(SiON)時,蝕刻製程包括使用蝕刻氣體,其包括四氟化碳(CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其他適合的蝕刻氣體或其組合的至少一者。在某些實施例中,當硬式罩幕層106包括氮化鈦,蝕刻製程包括使用蝕刻氣體,其至少包括氯(Cl2)或任何其他適合的蝕刻氣體。
如第13A至13C圖所示,圖案化目標層104內的最終圖案(F)包括主要圖案(M)內的一個或多個溝槽210減去重疊部分212。在某些實施例中,如本揭露先前所討論的,重疊部分212對應於主要圖案(M)與經修整的第一圖案208之間的重疊部分。經修整的第一圖案208透過自第一圖案202(P1)去除修整部 分206而形成,修整部分206是第一圖案202(P1)與第二圖案(P2)之間的重疊部分。因此,最終圖案(F)的形成可以使用公式1來說明:F=M-(M∩(P1-(P1∩P2))) (1)
在某些實施例中,透過使用如本揭露所討論的多重微影製程,最終圖案的尺寸可以小於最小間距值。在某些實施例中,圖案化目標層104內的最終圖案可包括無法使用單一微影製程而形成的複雜形狀。在某些實施例中,最終圖案具有輪廓銳利及清楚的邊緣,且沒有“圓形化問題”。
第14圖說明了根據所討論的第1A至1B圖到第13A至13C圖之使用多重微影製程在半導體結構100內形成圖案的方法300。方法300開始於步驟302,提供基底102及堆疊膜層103。如第1B圖所示,堆疊膜層103包括形成於基底102上的圖案化目標層104、圖案化目標層104上方的硬式罩幕層106以及硬式罩幕層106上方的第一阻劑層108。在某些實施例中,透過選自由化學氣相沉積、物理氣相沉積、原子層沉積、旋塗法、濺射、熱氧化及其組合所構成的群組中一種或多種製程形成圖案化目標層104及硬式罩幕層106。在某些實施例中,使用旋轉塗佈法形成第一阻劑層108。
方法300進行到步驟304,使用微影製程在第一阻劑層108內形成一第一圖案202。在某些實施例中,該微影製程包括將第一阻劑層108曝光於一光源,進行曝光後烘烤製程,以及將第一阻劑層108顯影。
方法300進行到步驟306,將第一圖案202轉移至硬 式罩幕層106。在某些實施例中,使用圖案化的第一阻劑層108作為遮罩來蝕刻硬式罩幕層106。在某些實施例中,蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合。在蝕刻製程期間,選擇性地蝕刻硬式罩幕層106的對應部分,而圖案化目標層104保持未被蝕刻。之後,在某些實施例中,透過濕式剝離製程、電漿灰化製程及/或其他適合的方法去除第一阻劑層108。
方法300進行到步驟308,在圖案化的硬式罩幕層106上形成一第二阻劑層110,如第5A至5B圖所示。在某些實施例中,使用旋轉塗佈法形成第二阻劑層110。
方法300進行到步驟310,在第二阻劑層110內形成一第二圖案。在某些實施例中,第二圖案包括一開口204,如第6A至6B圖所示。在某些實施例中,使用微影製程形成開口204。在某些實施例中,該微影製程包括將第二阻劑層110曝光於一光源,進行曝光後烘烤製程,以及將第二阻劑層110顯影。在形成開口204之後,露出修整部分206,且在後續的製程中修整部分206將會自第一圖案202去除。
方法300進行到步驟312,去除硬式罩幕層106中露出於開口204的修整部分206,以形成經修整的第一圖案208。如第7A至7B圖所示,使用包括開口204的圖案化的第二阻劑層110作為遮罩來蝕刻硬式罩幕層106。在某些實施例中,上述一次或多次蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合。在蝕刻製程期間,選擇性地蝕刻硬式罩幕層106的對應部分,而圖案化目標層104保 持未被蝕刻。在蝕刻製程之後,去除修整部分206而在硬式罩幕層106內形成經修整的第一圖案208。之後,在某些實施例中,透過濕式剝離製程、電漿灰化製程及/或其他適合的方法去除第二阻劑層110。
方法300進行到步驟314,在圖案化的硬式罩幕層106上形成一第三阻劑層114,如第9A至9B圖所示。在某些實施例中,使用旋轉塗佈法形成第三阻劑層114。
方法300進行到步驟316,在第三阻劑層114內形成一主要圖案。在某些實施例中,主要圖案包括一個或多個溝槽210。在某些實施例中,使用微影製程形成溝槽210。在某些實施例中,該微影製程包括將第三阻劑層114曝光於一光源、進行曝光後烘烤製程以及將第三阻劑層114顯影。在將第三阻劑層114圖案化之後,露出硬式罩幕層106內的一重疊部分212,如第10A至10B圖所示。
方法300進行到步驟318,將最終圖案轉移至圖案化目標層104,如第13A至13C圖所示。最終圖案包括圖案化的第三阻劑層114內的主要圖案減去主要圖案與硬式罩幕層106內的經修整的第一圖案208之間的重疊部分。在某些實施例中,以圖案化的第三阻劑層114及經修整的第一圖案208作為遮罩,使用一次或多次蝕刻製程來蝕刻圖案化目標層104。在蝕刻製程期間,能夠選擇性地蝕刻圖案化目標層104的對應部分,而硬式罩幕層106保持未被蝕刻。
在方法300的步驟318,在某些實施例中,也透過濕式剝離製程、電漿灰化製程及/或其他適合的方法去除第三 阻劑層114。在某些實施例中,使用化學機械研磨製程或者一次或多次適合的蝕刻製程去除硬式罩幕層106。上述蝕刻製程包括乾式蝕刻製程(例如,電漿蝕刻製程)、濕式蝕刻製程或其組合。在蝕刻製程期間,能夠選擇性地蝕刻硬式罩幕層106的對應部分,而圖案化目標層104保持未被蝕刻。
第15A至15C圖到第27A至27C圖及第28圖說明了在半導體結構內形成圖案的機制的某些實施例。如第15A至15C圖所示,在一半導體結構400內提供一基底402及一堆疊膜層403。在某些實施例中,基底402為一半導體基底,例如一半導體晶圓。基底402可包括結晶結構的矽。在某些實施例中,基底402可包括其他元素半導體(例如,鍺)、化合物半導體(包括矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦及/或銻化銦)、合金半導體(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或其組合。在某些實施例中,基底402可為絕緣層上覆矽(SOI)基底。基底402還可以包括附加特徵及/或材料層,例如形成於基底內的各種隔離特徵。在某些實施例中,基底402可包括各種摻雜區域,例如p型摻雜區及/或n型摻雜區域,其建構及耦接以形成各種裝置及功能特徵。可以使用適合的方法來實現所有摻雜特徵,例如以各種步驟及技術進行離子佈值。在某些實施例中,基底402可包括其他特徵,例如淺溝槽隔離(STI)。基底402還可包括各種材料層,例如閘極材料層。
如第15B至15C圖所示,堆疊膜層403包括形成於基底402上的一圖案化目標層404、圖案化目標層404上的一硬式罩幕層406以及硬式罩幕層406上的一第一阻劑層408。在某些 實施例中,圖案化目標層404是最終圖案所形成於基底402上的膜層。在某些實施例中,圖案化目標層404的厚度在從大約5nm至大約50nm的範圍內。在某些實施例中,使用本領域中已知的一種或多種常用方法(例如,化學氣相沉積(CVD)、旋塗法、濺射、氧化、物理氣相沉積(PVD)、原子層沉積(ALD)、原子層CVD(ALCVD)、熱氧化及/或其他適合的製程)形成圖案化目標層404。在某些實施例中,圖案化目標層404包括一種或多種介電材料,例如氧化矽(SiO2)及/或氮化矽(Si3N4)。在某些實施例中,圖案化目標層404還包括金屬化材料。在某些實施例中,圖案化目標層404是基底402的一上部。
仍參照第15B至15C圖,硬式罩幕層406形成於圖案化目標層404上。硬式罩幕層406被圖案化然後用於將圖案化目標層404圖案化,如後續本揭露中所詳細討論的。在某些實施例中,硬式罩幕層406包括一種或多種介電材料,例如氧化矽、氮化矽及/或氮氧化矽(SiON)。在某些實施例中,硬式罩幕層406包括氮化鈦(TiN)。在某些實施例中,硬式罩幕層406的厚度在從大約5nm至大約50nm的範圍內。在某些實施例中,使用選自由化學氣相沉積、物理氣相沉積、原子層沉積、旋塗法、濺射、熱氧化及其組合所構成的群組中一種或多種製程形成硬式罩幕層406。
如第15A至15C圖所示,為了將硬式罩幕層406圖案化,以使得圖案化的硬式罩幕層406可以被用作遮罩來將圖案化目標層404圖案化,在硬式罩幕層406上方形成第一阻劑層408。在某些實施例中,第一阻劑層408為一光阻層,其包括對 光(例如,UV光)敏感的化學物質。在某些實施例中,第一阻劑層408也可為一電子束敏感層。在某些實施例中,使用旋轉塗佈法形成第一阻劑層408。在某些實施例中,第一阻劑層408包括一種或多種有機高分子材料。在某些實施例中,第一阻劑層408的厚度在從大約10nm至大約100nm的範圍內。
參照第16A至16C圖,對第一阻劑層408進行一微影製程,以形成一第一圖案502。在某些實施例中,該微影製程包括利用含有第一圖案502的遮罩將第一阻劑層408曝光於一光源,進行曝光後烘烤製程,以及將第一阻劑層408顯影以形成第一圖案502,如第16A至16C圖所示。
參照第17A至17C圖,使用圖案化的第一阻劑層408作為遮罩來將第一圖案502轉移至硬式罩幕層406。在某些實施例中,使用一次或多次蝕刻製程去除未被圖案化的第一阻劑層408所覆蓋的區域,且將對應於第一圖案502的(多個)區域保留於硬式罩幕層406內,如第17B圖所示。在某些實施例中,上述一次或多次蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合。在蝕刻製程期間,選擇性地蝕刻硬式罩幕層406的對應部分,而圖案化目標層404保持未被蝕刻。在某些實施例中,當硬式罩幕層406包括氧化矽、氮化矽及/或氮氧化矽(SiON)時,蝕刻製程包括使用蝕刻氣體,其包括四氟化碳(CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其他適合的蝕刻氣體或其組合的至少一者。在某些實施例中,當硬式罩幕層406包括氮化鈦,蝕刻製程包括使用蝕刻氣體,其至少包括氯(Cl2)或任何其他適合的蝕刻氣體。
參照第18A至18C圖,在將第一圖案502轉移至硬式罩幕層406之後,去除第一阻劑層408。在某些實施例中,透過濕式剝離製程、電漿灰化製程、其他適合的方法及/或其組合去除第一阻劑層408。在某些實施例中,電漿灰化製程包括使用氣體,其包括氧(O2)、二氧化碳(CO2)、氮氣(N2)、氫氣(H2)、或其組合的至少一者。如第18B圖所示,在去除第一阻劑層408之後,在硬式罩幕層406內露出第一圖案502。硬式罩幕層406中未對應於第一圖案502的(多個)區域被去除,如第18C圖所示。
參照第19A至19C圖,一第二阻劑層410形成於圖案化目標層404及圖案化的硬式罩幕層406上。在某些實施例中,第二阻劑層410為一光阻層,其包括對光(例如,UV光)敏感的化學物質。在某些實施例中,第二阻劑層410也可為一電子束敏感層。在某些實施例中,使用旋轉塗佈法形成第二阻劑層410。在某些實施例中,第二阻劑層410包括一種或多種有機高分子材料。在某些實施例中,第二阻劑層410的厚度在從大約10nm至大約100nm的範圍內。在某些實施例中,第二阻劑層410大致上類似於第一阻劑層408。
參照第20A至20C圖,一第二圖案形成於第二阻劑層410內。在某些實施例中,第二圖案包括形成於第二阻劑層410內的一開口504。在某些實施例中,第二圖案包括使用開口504而形成於一修補(patch)層內的一修補圖案506,如參考本揭露第21A至21C圖所討論的。在某些實施例中,可形成修補圖案506與相鄰的一特徵(例如,第一圖案502)的距離小於大約一 最小間距值。在某些實施例中,使用微影製程形成開口504。在某些實施例中,該微影製程包括利用含有開口504的圖案的遮罩將第二阻劑層410曝光於一光源,進行曝光後烘烤製程,以及將第二阻劑層410顯影以在第二阻劑層410內形成開口504,如第20A至20C圖所示。在某些實施例中,如第20C及21C圖所示,開口504對應於修補圖案506將要形成處。雖然第20A圖中所繪示的開口504平行於第一圖案502,然而可將開口504設計且形成為垂直於第一圖案502,或者以適合的角度相對於第一圖案502。在某些實施例中,第二圖案可包括任何其他適合的特徵,其可使用微影製程而形成。
參照第21A至21C圖,一修補層412內的修補圖案506形成於開口504內。在某些實施例中,修補層412內的修補圖案506包括一種或多種介電材料,其類似於用於硬式罩幕層406的材料,例如氧化矽、氮化矽及/或氮氧化矽(SiON)。在某些實施例中,修補層412包括氮化鈦(TiN)。在某些實施例中,修補層412包括的材料不同於用於硬式罩幕層406的材料。在某些實施例中,修補層412的厚度在從大約5nm至大約50nm的範圍內。在某些實施例中,使用選自由化學氣相沉積、物理氣相沉積、原子層沉積、旋塗法、濺射、熱氧化及其組合所構成的群組中一種或多種製程形成修補層412。
參照第22A至22C圖,在將修補圖案506形成於修補層412內後,去除第二阻劑層410。在某些實施例中,透過濕式剝離製程、電漿灰化製程、其他適合的方法及/或其組合去除第二阻劑層410。在某些實施例中,電漿灰化製程包括使用氣 體,其包括氧(O2)、二氧化碳(CO2)、氮氣(N2)、氫氣(H2)、或其組合的至少一者。如第22A圖所示,在去除第二阻劑層410之後,露出硬式罩幕層406內的第一圖案502。
參照第23A至23C圖,形成一第三阻劑層414,以覆蓋圖案化目標層404、硬式罩幕層406內的第一圖案502以及修補層412內的修補圖案506。在某些實施例中,第三阻劑層414為一光阻層,其包括對光(例如,UV光)敏感的化學物質。在某些實施例中,第三阻劑層414也可為一電子束敏感層。在某些實施例中,使用旋轉塗佈法形成第三阻劑層414。在某些實施例中,第三阻劑層414包括一種或多種有機高分子材料。在某些實施例中,第三阻劑層414的厚度在從大約10nm至大約100nm的範圍內。在某些實施例中,第三阻劑層414大致上類似於第一阻劑層408。
參照第24A至24C圖,一主要圖案形成於第三阻劑層414內。在某些實施例中,主要圖案包括形成於第三阻劑層414內的一個或多個溝槽508,以露出硬式罩幕層406內的第一圖案502的多個部分、修補層412內的修補圖案506的多個部分、以及圖案化目標層404的多個部分。在某些實施例中,使用微影製程形成溝槽508。在某些實施例中,該微影製程一開始利用具有包含多個溝槽508之主要圖案的遮罩將第三阻劑層414曝光於一光源。該微影製程還包括進行曝光後烘烤製程以及將第三阻劑層414顯影,以在第三阻劑層414內形成多個溝槽508,如第24A至24C圖所示。在某些實施例中,主要圖案包括可以使用定向自組裝(Direct Self Assembly,DSA)製程而形成 的週期性圖案。
在某些實施例中,如第24A圖所示,在形成溝槽508之後,露出硬式罩幕層406內的一重疊部分510以及修補層412內的一重疊部分512。在某些實施例中,重疊部分510對應於主要圖案(例如,溝槽508)與硬式罩幕層406內的第一圖案502之間的一重疊部分,如第24B圖所示。在某些實施例中,重疊部分512對應於主要圖案(例如,溝槽508)與第二圖案(例如,修補圖案506)之間的一重疊部分,如第24C圖所示。在某些實施例中,主要圖案可包括任何其他適合的特徵,其可使用微影製程而形成。
參照第25A至25C圖,使用圖案化的第三阻劑層414、硬式罩幕層406內的重疊部分510以及修補層412內的重疊部分512作為遮罩,將一最終圖案轉移至圖案化目標層404。在某些實施例中,最終圖案包括主要圖案內的一個或多個溝槽508減去硬式罩幕層406內的重疊部分510以及修補層412內的重疊部分512。在某些實施例中,使用一次或多次蝕刻製程去除圖案化目標層404中被溝槽508所露出且未被重疊部分510及重疊部分512所覆蓋的區域。溝槽508內的圖案化目標層404中被重疊部分510及重疊部分512所覆蓋的(多個)區域保持未被蝕刻,如第25B至25C圖所示。在某些實施例中,上述一次或多次蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合,因此能夠選擇性地蝕刻圖案化目標層404的對應部分,而硬式罩幕層406保持未被蝕刻。在某些實施例中,蝕刻製程包括使用蝕刻氣體,其包括四氟化碳 (CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其他適合的蝕刻氣體或其組合的至少一者。
參照第26A至26C圖,去除第三阻劑層414。在某些實施例中,透過濕式剝離製程、電漿灰化製程及/或其他適合的方法去除第三阻劑層414。在某些實施例中,電漿灰化製程包括使用氣體,其包括氧(O2)、二氧化碳(CO2)、氮氣(N2)、氫氣(H2)、或其組合的至少一者。如第26B圖所示,在去除第三阻劑層414之後,露出硬式罩幕層406內的第一圖案502。如第26C圖所示,在去除第三阻劑層414之後,也露出修補層412內的修補圖案506。第一圖案502及修補圖案506位於圖案化目標層404中未被蝕刻的區域上方,如第26A至26C圖所示。
參照第27A至27C圖,去除硬式罩幕層406內的第一圖案502及修補層412內的修補圖案506。在某些實施例中,使用化學機械研磨(CMP)製程去除硬式罩幕層406及修補層412。在某些實施例中,使用一次或多次蝕刻製程去除硬式罩幕層406及修補層412。上述蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合。在蝕刻製程期間,能夠選擇性地蝕刻硬式罩幕層406及修補層412,而圖案化目標層404保持未被蝕刻。在某些實施例中,當硬式罩幕層406包括氧化矽、氮化矽及/或氮氧化矽(SiON)時,蝕刻製程包括使用蝕刻氣體,其包括四氟化碳(CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其他適合的蝕刻氣體或其組合的至少一者。在某些實施例中,當硬式罩幕層406包括氮化鈦,蝕刻製程包括使用蝕刻氣體,其至少包括氯(Cl2)或任何其他適合 的蝕刻氣體。
如第27A至27C圖所示,圖案化目標層404內的最終圖案包括主要圖案(M)內的一個或多個溝槽508減去重疊部分510及重疊部分512。在某些實施例中,如本揭露先前所討論的,重疊部分510對應於主要圖案(M)與第一圖案502(P1)之間的重疊部分。重疊部分512對應於主要圖案(M)與第二圖案(P2)(例如,修補圖案506)之間的重疊部分。因此,最終圖案(F)的形成可以使用公式2來說明:F=(M-(M∩P1))-(M∩ P2) (2)
在某些實施例中,透過使用如本揭露所討論的多重微影製程,最終圖案的尺寸可包括密集的(多個)特徵,其設置為與相鄰特徵的距離小於大約一最小間距值。在某些實施例中,最終圖案可包括無法使用單一微影製程而形成的複雜形狀及/或大尺寸的形狀。在某些實施例中,最終圖案具有輪廓銳利及清楚的邊緣,且沒有“圓形化問題”。
第28圖說明了根據所討論的第15A至15C圖到第27A至27C圖之使用多重微影製程在半導體結構400內形成圖案的方法600。方法600開始於步驟602,提供基底402及堆疊膜層403。如第15B至15C圖所示,堆疊膜層403包括形成於基底402上的圖案化目標層404、圖案化目標層404上方的硬式罩幕層406以及硬式罩幕層406上方的第一阻劑層408。在某些實施例中,透過選自由化學氣相沉積、物理氣相沉積、原子層沉積、旋塗法、濺射、熱氧化及其組合所構成的群組中一種或多種製程形成圖案化目標層404及硬式罩幕層406。在某些實施例中, 使用旋轉塗佈法形成第一阻劑層408。
方法600進行到步驟604,使用微影製程在第一阻劑層408內形成一第一圖案502。在某些實施例中,該微影製程包括將第一阻劑層408曝光於一光源,進行曝光後烘烤製程,以及將第一阻劑層408顯影。
方法600進行到步驟606,將第一圖案502轉移至硬式罩幕層406。在某些實施例中,使用圖案化的第一阻劑層408作為遮罩來蝕刻硬式罩幕層406。在某些實施例中,蝕刻製程包括選擇性乾式蝕刻製程(例如,電漿蝕刻製程)、選擇性濕式蝕刻製程或其組合。在蝕刻製程期間,選擇性地蝕刻硬式罩幕層406的對應部分,而圖案化目標層404保持未被蝕刻。之後,在某些實施例中,透過濕式剝離製程、電漿灰化製程及/或其他適合的方法去除第一阻劑層408。
方法600進行到步驟608,在圖案化的硬式罩幕層406上形成一第二阻劑層410,如第19A至19C圖所示。在某些實施例中,使用旋轉塗佈法形成第二阻劑層410。
方法600進行到步驟610,在第二阻劑層410內形成一第二圖案。在某些實施例中,第二圖案包括一開口504,如第20A至20C圖所示。在某些實施例中,使用微影製程形成開口504。在某些實施例中,該微影製程包括將第二阻劑層410曝光於一光源,進行曝光後烘烤製程,以及將第二阻劑層410顯影。
方法600進行到步驟611,在修補層412內的開口504內形成修補圖案506,如第21A至21C圖所示。在某些實施 例中,在步驟611,第二圖案也包括修補圖案506。在某些實施例中,使用化學氣相沉積、物理氣相沉積、原子層沉積、旋塗法、濺射、熱氧化及/或任何其他適合的方法形成修補圖案506。
方法600進行到步驟612,在圖案化的硬式罩幕層406上形成一第三阻劑層414,如第23A至23C圖所示。在某些實施例中,使用旋轉塗佈法形成第三阻劑層414。
方法600進行到步驟614,在第三阻劑層414內形成一主要圖案。在某些實施例中,主要圖案包括一個或多個溝槽508,其對應於主要圖案內的一個或多個溝槽。在某些實施例中,使用微影製程形成溝槽508。在某些實施例中,該微影製程包括將第三阻劑層414曝光於一光源、進行曝光後烘烤製程以及將第三阻劑層414顯影。在將第三阻劑層414圖案化之後,露出硬式罩幕層406內的重疊部分510以及修補層412內的重疊部分512,如第24A至24C圖所示。
方法600進行到步驟616,將最終圖案轉移至圖案化目標層404,如第25A至25C圖所示。在某些實施例中,最終圖案包括圖案化的第三阻劑層414內的主要圖案減去第一圖案502與主要圖案之間的重疊部分510以及第二圖案(例如,修補圖案506)與主要圖案之間的重疊部分512。在某些實施例中,以圖案化的第三阻劑層414、硬式罩幕層406內的重疊部分510以及修補層412內的重疊部分512作為遮罩,使用一次或多次蝕刻製程來蝕刻圖案化目標層404。在蝕刻製程期間,能夠選擇性地蝕刻圖案化目標層404的對應部分,而硬式罩幕層406及修補層412保持未被蝕刻。
在方法600的步驟616,在某些實施例中,也透過濕式剝離製程、電漿灰化製程及/或其他適合的方法去除第三阻劑層414。在某些實施例中,使用化學機械研磨製程或者一次或多次適合的蝕刻製程去除硬式罩幕層406及修補層412。上述蝕刻製程包括乾式蝕刻製程(例如,電漿蝕刻製程)、濕式蝕刻製程或其組合。在蝕刻製程期間,能夠選擇性地蝕刻硬式罩幕層406及修補層412的對應部分,而圖案化目標層404保持未被蝕刻。
本實施例描述使用多重微影製程在半導體裝置內形成圖案的一種或多種可製造及低成本的機制。上述機制涉及在硬式罩幕層內形成一第一圖案,使用一第二圖案修整第一圖案,並且利用一主要圖案及經修整的第一圖案來形成一最終圖案。上述機制也涉及在硬式罩幕層內形成一第一圖案,在一修補層內形成一第二圖案,並且利用一主要圖案、第一圖案及第二圖案來形成一最終圖案。上述機制能夠使所形成的圖案具有可小於最小間距值的尺寸。上述機制也能夠使形成於半導體裝置內的圖案具有大尺寸及/或複雜的形狀,其難以使用單一微影製程來形成。上述機制也能夠使形成於半導體裝置內的圖案具有輪廓銳利及清晰的邊緣且沒有“圓形化問題”。
本發明提供一種在半導體裝置內形成圖案的方法。根據某些實施例,該方法包括提供一基底、基底上的一圖案化目標層以及圖案化目標層上的一硬式罩幕層。在硬式罩幕層內形成一第一圖案。自硬式罩幕層內的第一圖案去除一修整部分,以形成一經修整的第一圖案。在硬式罩幕層上形成一第 一阻劑層。在第一阻劑層內形成一主要圖案。使用主要圖案及經修整的第一圖案作為一蝕刻遮罩元件來蝕刻圖案化目標層,以在圖案化目標層內形成一最終圖案。在某些實施例中,最終圖案包括主要圖案減去主要圖案與經修整的第一圖案之間的一第一重疊部分。
本發明提供一種在半導體裝置內形成圖案的方法。根據某些實施例,該方法包括提供一基底及基底上的一硬式罩幕層。在硬式罩幕層內形成一第一圖案。修整第一圖案,以在硬式罩幕層內形成一經修整的第一圖案。在硬式罩幕層上形成一第一阻劑層。在第一阻劑層內形成一主要圖案,主要圖案重疊於經修整的第一圖案。使用主要圖案及經修整的第一圖案作為一蝕刻遮罩元件來進行一蝕刻製程,以形成一最終圖案。
本發明提供一種在半導體裝置內形成圖案的方法。根據某些實施例,該方法包括提供一基底、基底上的一圖案化目標層以及圖案化目標層上的一硬式罩幕層。在硬式罩幕層內形成一第一圖案。在硬式罩幕層上形成一第一阻劑層。在第一阻劑層內形成一開口。在開口形成一修補層而在第一阻劑層內形成一修補圖案。在硬式罩幕層及修補層上形成一第二阻劑層。在第二阻劑層內形成一主要圖案。使用主要圖案、第一圖案及修補圖案作為一蝕刻遮罩元件來蝕刻圖案化目標層,以形成一最終圖案。在某些實施例中,最終圖案包括主要圖案減去主要圖案與第一圖案之間的一第一重疊部分以及主要圖案與開口之間的一第二重疊部分。
以上概略說明了本發明數個實施例的特徵,使所屬技術領域中具有通常知識者對於後續本發明的詳細說明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本發明實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本發明之精神及保護範圍內,且可在不脫離本發明之精神及範圍內,當可作更動、替代與潤飾。
300‧‧‧方法
302、304、306、308、310、312、314、316、318‧‧‧步驟

Claims (10)

  1. 一種在半導體裝置內形成圖案的方法,包括:提供一基底、該基底上的一圖案化目標層以及該圖案化目標層上的一硬式罩幕層;在該硬式罩幕層內形成一第一圖案;自該硬式罩幕層內的該第一圖案去除一修整部分,以形成一經修整的第一圖案;在該硬式罩幕層上形成一第三阻劑層;在該第三阻劑層內形成一主要圖案;以及使用該主要圖案及該經修整的第一圖案作為一蝕刻遮罩元件來蝕刻該圖案化目標層,以在該圖案化目標層內形成一最終圖案,其中該最終圖案包括該主要圖案減去該主要圖案與該經修整的第一圖案之間的一第一重疊部分。
  2. 如申請專利範圍第1項所述之方法,其中形成該第一圖案的步驟包括:在該硬式罩幕層上形成一第一阻劑層;在該第一阻劑層內形成該第一圖案;以及使用該第一阻劑層作為一蝕刻遮罩來蝕刻該硬式罩幕層,以在該硬式罩幕層內形成該第一圖案。
  3. 如申請專利範圍第1項所述之方法,其中自該硬式罩幕層內的該第一圖案去除該修整部分的步驟,包括:在該硬式罩幕層上形成一第二阻劑層;在該第二阻劑層內形成一開口;以及 使用具有該開口的該第二阻劑層作為一蝕刻遮罩來蝕刻該硬式罩幕層內的該第一圖案,其中該修整部分是該第一圖案與該開口之間的一第二重疊部分,其在形成該開口之後被露出,且其中該經修整的第一圖案的尺寸小於一間距值。
  4. 如申請專利範圍第1項所述之方法,更包括:使用選自由一濕式剝離製程、一電漿灰化製程及其組合所構成的群組中的一種或多種製程來去除該第三阻劑層,其中該電漿灰化製程包括使用選自由氧氣(O2)、二氧化碳(CO2)、氮氣(N2)、氫氣(H2)及其組合所構成的群組中的一種或多種氣體。
  5. 一種在半導體裝置內形成圖案的方法,包括:提供一基底及該基底上的一硬式罩幕層;在該硬式罩幕層內形成一第一圖案;修整該第一圖案,以在該硬式罩幕層內形成一經修整的第一圖案;在該硬式罩幕層上形成一第三阻劑層;在該第三阻劑層內形成一主要圖案,該主要圖案重疊於該經修整的第一圖案;以及使用該主要圖案及該經修整的第一圖案作為一蝕刻遮罩元件來進行一蝕刻製程,以形成一最終圖案。
  6. 如申請專利範圍第5項所述之方法,其中修整該第一圖案的步驟包括:在該硬式罩幕層上形成一第二阻劑層; 在該第二阻劑層內形成一開口,其中一第二圖案包括該開口;以及使用該第二阻劑層作為一蝕刻遮罩來蝕刻該硬式罩幕層,以形成該經修整的第一圖案,其中該開口露出該硬式罩幕層內的該第一圖案的一部分。
  7. 如申請專利範圍第6項所述之方法,其中該最終圖案包括該主要圖案減去該主要圖案與該經修整的第一圖案之間的一第一重疊部分:F=M-(M∩(P1-(P1∩P2))),其中F是該最終圖案,M是該主要圖案,P1是該第一圖案,且P2是該第二圖案。
  8. 一種在半導體裝置內形成圖案的方法,包括:提供一基底、該基底上的一圖案化目標層以及該圖案化目標層上的一硬式罩幕層;在該硬式罩幕層內形成一第一圖案;在該硬式罩幕層上形成一第二阻劑層;在該第二阻劑層內形成一開口;在該開口形成一修補層而形成一修補圖案;在該硬式罩幕層及該修補層上形成一第三阻劑層;在該第三阻劑層內形成一主要圖案;以及使用該主要圖案、該第一圖案及該修補圖案作為一蝕刻遮罩元件來蝕刻該圖案化目標層,以形成一最終圖案,其中該最終圖案包括該主要圖案減去該主要圖案與該第一圖案之間的一第一重疊部分以及該主要圖案與該開口之間 的一第二重疊部分。
  9. 如申請專利範圍第8項所述之方法,其中形成該第一圖案的步驟包括:在該硬式罩幕層上形成一第一阻劑層;在該第一阻劑層內形成該第一圖案;以及使用該第一阻劑層作為一蝕刻遮罩來蝕刻該硬式罩幕層,以在該硬式罩幕層內形成該第一圖案。
  10. 如申請專利範圍第8項所述之方法,其中該修補層包括選自由氧化矽、氮化矽、氮氧化矽(SiON)、氮化鈦(TiN)及其組合所構成的群組中的一種或多種介電材料,且其中該修補圖案設置為與該第一圖案的距離小於大約一最小間距值。
TW103146481A 2014-03-13 2014-12-31 在半導體裝置內形成圖案的方法 TWI528417B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/210,032 US9245763B2 (en) 2014-03-13 2014-03-13 Mechanisms for forming patterns using multiple lithography processes

Publications (2)

Publication Number Publication Date
TW201539536A TW201539536A (zh) 2015-10-16
TWI528417B true TWI528417B (zh) 2016-04-01

Family

ID=54069637

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146481A TWI528417B (zh) 2014-03-13 2014-12-31 在半導體裝置內形成圖案的方法

Country Status (2)

Country Link
US (2) US9245763B2 (zh)
TW (1) TWI528417B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9293341B2 (en) 2014-03-13 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US10163652B2 (en) * 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9761436B2 (en) 2014-06-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9711369B2 (en) 2015-03-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterns with sharp jogs
US10373827B2 (en) 2017-04-18 2019-08-06 United Microelectronics Corp. Method of pattern transfer
US11239077B2 (en) 2019-11-13 2022-02-01 International Business Machines Corporation Litho-etch-litho-etch with self-aligned blocks

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB536098A (en) 1939-10-31 1941-05-02 Standard Telephones Cables Ltd Improvements in or relating to facsimile transmitters
US2352188A (en) 1941-01-13 1944-06-27 William S Farrell Sound reproducing system and coin collecting actuator therefor
FR2515395B1 (fr) 1981-10-27 1985-05-31 Doucet Joel Selecteur multipiece de monnaie
GB2186411B (en) 1986-02-07 1990-01-10 Mars Inc Apparatus for handling coins and tokens and a combination of a token with such apparatus
JP3257533B2 (ja) * 1999-01-25 2002-02-18 日本電気株式会社 無機反射防止膜を使った配線形成方法
JP4477750B2 (ja) * 2000-06-26 2010-06-09 東京エレクトロン株式会社 エッチング方法
US6550600B2 (en) 2000-06-28 2003-04-22 Qvex, Inc. Coin escrow and changer apparatus
US20020106587A1 (en) * 2000-11-21 2002-08-08 Advanced Micro Devices, Inc. Two mask via pattern to improve pattern definition
JP4342767B2 (ja) * 2002-04-23 2009-10-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2005293097A (ja) 2004-03-31 2005-10-20 Terajima Yoshikazu コイン判別装置、及びこれを備えた台間貸出機。
US7394155B2 (en) 2004-11-04 2008-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Top and sidewall bridged interconnect structure and method
JP2006189986A (ja) 2004-12-29 2006-07-20 Mk Systems Kk 料金共通投入口に投入されたコイン状icタグと硬貨の種分け機構
JP4550126B2 (ja) * 2008-04-25 2010-09-22 東京エレクトロン株式会社 エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
US7862962B2 (en) * 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
CN102122113A (zh) * 2010-01-08 2011-07-13 中芯国际集成电路制造(上海)有限公司 光刻方法
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9054159B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US10163652B2 (en) 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9761436B2 (en) 2014-06-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9293341B2 (en) 2014-03-13 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes

Also Published As

Publication number Publication date
TW201539536A (zh) 2015-10-16
US9245763B2 (en) 2016-01-26
US10153166B2 (en) 2018-12-11
US20150262830A1 (en) 2015-09-17
US20160155639A1 (en) 2016-06-02

Similar Documents

Publication Publication Date Title
TWI528417B (zh) 在半導體裝置內形成圖案的方法
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
US10770303B2 (en) Mechanisms for forming patterns using multiple lithography processes
TWI382497B (zh) 半導體元件的製造方法
KR101576335B1 (ko) 집적 회로 패터닝 방법
US9875906B2 (en) Mechanisms for forming patterns using multiple lithography processes
US9214356B2 (en) Mechanisms for forming patterns
US10930505B2 (en) Methods for integrated circuit design and fabrication
US9564371B2 (en) Method for forming semiconductor device
US20160284591A1 (en) Self-aligned semiconductor fabrication with fosse features
CN109494149B (zh) 半导体结构的制作方法
TWI567785B (zh) 半導體裝置圖案化結構之製作方法
TWI528496B (zh) 半導體元件製作方法
US9396966B1 (en) Patterning method and semiconductor structure
TWI602218B (zh) 圖案化的方法