TWI518765B - 改善化學機械硏磨平坦化的方法與裝置 - Google Patents

改善化學機械硏磨平坦化的方法與裝置 Download PDF

Info

Publication number
TWI518765B
TWI518765B TW102126934A TW102126934A TWI518765B TW I518765 B TWI518765 B TW I518765B TW 102126934 A TW102126934 A TW 102126934A TW 102126934 A TW102126934 A TW 102126934A TW I518765 B TWI518765 B TW I518765B
Authority
TW
Taiwan
Prior art keywords
sacrificial layer
semiconductor device
planarization process
forming
fabricating
Prior art date
Application number
TW102126934A
Other languages
English (en)
Other versions
TW201436011A (zh
Inventor
呂新賢
林長生
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201436011A publication Critical patent/TW201436011A/zh
Application granted granted Critical
Publication of TWI518765B publication Critical patent/TWI518765B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

改善化學機械研磨平坦化的方法與裝置
本發明係有關於一種半導體裝置的製造方法,特別是有關於一種改善化學機械研磨平坦化之半導體裝置的製造方法。
半導體積體電路產業已成熟而快速的成長。隨著積體電路材料及設計的技術進步,已產生了好幾世代的積體電路,每一世代都比前一世代具有更小且更複雜的電路。然而,這些進展也增加了積體電路生產與製程的複雜度。在積體電路進化的課題中,當幾何尺寸(亦即利用生產製程可以製造的最小元件)縮小,一般會增加功能密度(亦即每一晶片面積中連接裝置的數量)。這些縮小製程藉由增加生產效能及降低相關成本,可以提供獲利。
當半導體裝置持續縮小,在生產中要符合裝置平坦化需求變得愈加困難。舉例來說,在習知的半導體製造中,在某些生產階段,可以在一半導體晶圓上進行二階段的化學機械研磨製程。然而,傳統的二階段化學機械研磨製程並無法達到符合要求的平坦化成效。換句話說,在化學機械研磨製程後, 晶圓表面仍然存在超過想要的表面起伏(topography)變異。這些不平坦的問題在較新的世代技術點,比如15奈米技術點或更小,將更形惡化。
因此,雖然現存的半導體裝置平坦化方法,一般來說,已足夠達成製程預期的目的,但在各觀點上它仍未完全符合需求。
本發明的一個觀點係有關於一種半導體裝置的製造方法。此方法包括:對一晶圓進行一第一平坦化製程,其中在所述第一平坦化製程後,所述晶圓具有一不均勻起伏;在所述第一平坦化製程後,形成一犧牲層於所述晶圓上,其中所述犧牲層係形成以具有一大致平坦之表面外形,以及對所述晶圓進行一第二平坦化製程,其中所述第二平坦化製程移除所述犧牲層及其下方之所述晶圓的一部份,且其中所述第二平坦化製程的施行係使得所述晶圓在所述第二平坦化製程後,可以轉換一大致平坦的表面外形。
本發明的另一觀點係有關於一種半導體裝置的製造方法。此方法包括:形成一連線結構在一基底上,所述連線結構包括多個導電元件,其分布係使得所述連線結構具有不一致的圖案密度;研磨所述連線結構的一上方部分,以移除所述導電元件的至少一部份,其中在研磨之後,所述連線結構具有一不平坦表面;形成一犧牲層於所述研磨後之連線結構上,所述犧牲層具有一上表面,所述上表面具有一平坦外形(flat profile);以及移除所述犧牲層及其下方之所述連線結構的部 分,藉此使得所述連線結構的一剩餘部分,可以保持所述犧牲層之所述上表面的所述平坦外形。
本發明的再一觀點係有關於一種半導體裝置的製造方法。此方法包括:形成一介電層於一基底上;形成多個開口於所述介電層中,所述開口具有不同的分布密度;以一金屬材料填入所述開口中;進行一第一化學機械研磨製程,以移除所述介電層上之所述金屬材料的部分;接著形成一犧牲層於所述介電層及所述金屬材料上,所述犧牲層具有一平坦表面,且藉由一旋轉塗佈製程或一流動式化學氣相沉積製程其中之一形成;以及進行一第二化學機械研磨製程,以移除所述犧牲層及其下方之所述介電層及所述金屬材料的部分,所述第二化學機械研磨製程使用一研磨液,所述研磨液配置使得在所述犧牲層,所述介電層及所述金屬材料之間具有大致相似的研磨選擇性。
30‧‧‧半導體裝置
35‧‧‧基底
40‧‧‧連線結構
50‧‧‧界層介電層
60‧‧‧導電元件
70,71,72‧‧‧區域
80‧‧‧硬罩幕層
90,150‧‧‧平坦化製程
100‧‧‧犧牲層
110‧‧‧上表面
120‧‧‧厚度
170‧‧‧表面
200‧‧‧方法
210,220,230,240,250,260‧‧‧步驟
藉由後述之詳細說明並伴隨相關圖式,本說明書的實施例可以被充分了解。然必須強調的是,根據本技術領域的標準實務,許多特徵無法依照比例繪出。事實上,為了更清楚地討論,許多特徵的尺寸可以任意增加或減少。
第1-4圖為根據本發明的多個觀點之製造方法,在不同製程階段一半導體裝置的部分剖面側視示意圖。
第5圖所繪示為根據本發明的多個觀點,一種平坦化一半導體裝置的方法之一流程圖。
可以理解的是,後述之說明書提供許多不同實施例,或實例,用以實施本發明的不同特徵。特定的元件及配置實例描述於後以簡化本說明書。當然這些僅為舉例說明,並非用以限制本發明。此外,後述說明中形成一第一特徵於第二特徵之上或上方,可以包括第一特徵與第二特徵直接接觸而形成的實例,也可以包括額外的特徵形成插入第一及第二特徵之間,使得第一及第二特徵並無直接接觸的另一實例。為了簡化及更清楚的說明,各種特徵可能任意以不同比例繪製。
當半導體裝置持續縮小,在生產中要符合裝置平坦化需求變得愈加困難。舉例來說,積體電路裝置中一連線結構(連線結構包括金屬線及連接不同層金屬線的接觸窗)的形成,一般需要一平坦化步驟。傳統上來說,平坦化步驟由於一些因素,比如凹陷效應(dishing effect),可能產生令人不滿意的結果。因此儘管經過平坦化,晶圓表面仍無法達到一良好的平面度。這些可能導致裝置的良率問題或故障。
根據本發明的多個觀點,揭露一個改良的平坦化方法,可以使得晶圓表面達到一較好的平面度。
以圖例說明,第1圖至第4圖為根據本發明的多個觀點,在不同製程階段,一半導體裝置30的部分剖面側視示意圖。舉例來說,半導體裝置30可以為一半導體晶圓的一部份。可以理解的是,為了更清楚理解本發明的發明觀點,第1圖至第4圖已經被簡化。
請參照第1圖,半導體裝置30包括一基底35。基底35係為一矽基底,摻雜一P型摻質,比如是硼,或摻雜一N 型摻質,比如是磷或砷。基底35可以替代性地包括其他基本的半導體,比如鍺或鑽石。此外,基底35可以包括一磊晶層,可以用來強化效能,且可以包括一絕緣層上有矽(SOI)的結構。
一連線結構40形成於基底35上。為了簡化為由,第1圖僅繪示連線結構35的一部份,且介於圖示連線結構40的部分及基底35之間,任何中間層都被忽略。連線結構40配置用以提供半導體裝置30中微電子元件(舉例來說,電晶體裝置),與外部裝置之間的電性連接。
連線結構40包括一介電材料,參照如一界層介電層50(interlayer dielectric,ILD)。界層介電層50可以包括介電材料,比如氧化物,氮化物,一低介電係數材料,或其他適合的材料。
連線結構40更包括一導電元件60。導電元件60包括多個金屬元件,彼此間藉界層介電層50電性隔離。在圖示的實施例中,導電元件60包含銅,但可以理解的是,在其他替代的實施例中,導電元件60可以包含其他適合的金屬材料。藉由在界層介電層50中蝕刻多個開口,然後以一導電材料(比如銅),透過一適當的沉積製程,填入開口中,可以形成導電元件60。
半導體裝置30包括各種不同圖案密度的部分(或區域)。圖案密度意指在一晶圓的一已知區域內,所配置半導體特徵的數量。對於具有相同尺寸的二個晶圓區域,如果其中一個區域所聚集的半導體特徵並另一個區域多,則表示此區域具有較高的圖案密度。在圖示的實施例中,每一區域的圖案密度 對應於導電元件60的密度(或分布)。換句話說,半導體裝置30中具有高聚集度之導電元件60的區域,將具有較大的圖案密度,反之亦然,半導體裝置30中具有低聚集度之導電元件60的區域,將具有較小的圖案密度。
為了提供一實例為目的,半導體裝置30中區域70,71,72繪示於第1圖中。在第1圖中,區域70-72一想像的虛線分隔開來。由圖觀之,區域70具有區域70-72中最小的圖案密度(亦即最小的導電元件60聚集度),區域71具有區域70-72中中間的圖案密度(亦即中間的導電元件60聚集度),區域72具有區域70-72中最大的圖案密度(亦即最大的導電元件60聚集度)。
在圖示的實施例中,一圖案化的硬罩幕層80配置於介層介電層50上。在某些實施例中,硬罩幕層80可以包含一氮化鈦(TiN)材料或一氮化組(TaN)材料。硬罩幕層80可以用來蝕刻開口或溝渠於介層介電層50中,其中開口將大致被填滿,以形成導電元件60。導電元件60位於硬罩幕層80上的部分,在接下來的平坦化製程90被研磨去除。平坦化製程90,舉例來說,可以包括一化學機械研磨製程。導電元件60位於硬罩幕層80上的部分可能非常厚,舉例來說,可能達數千埃(Å)(比如,介於約2000埃至約800埃之間)。因此,平坦化製程90可以視為一整片化學機械研磨製程。對於導電材料60的移除,在此實施例中為銅,平坦化製程90配置上具有一每分鐘數千埃的移除率(比如介於約每分鐘3000埃至約每分鐘5000埃)。硬罩幕層80也可以作為平坦化製程90的一研磨終 止層。硬罩幕層80可以是相對性較薄,例如,具有一厚度小於約10埃。
平坦化製程90的目標是為了讓半導體裝置30,產生一大致平坦的表面。然而,如第1圖所示,由於圖案密度的差異,凹陷效應使得半導體裝置30暴露出的表面,呈現非平面。舉例來說,區域70(具有最小圖案密度)可能達到一稍微平坦的表面,但區域71(具有中間的圖案密度)在平坦化製程90後,可能存在一凹的剖面外形,而且區域72(具有最大圖案密度)可能具有比區域71更多凹的剖面外形。因此,半導體裝置30的表面可能沒有完全的平坦化,針對此問題需要更多的努力。根據某些技術,接著針對半導體裝置30進行一後續的平坦化製程,其中第二平坦化製程的研磨選擇性會經過調整,使得導電元件60以一較低的速率移除。然而,這些技術通常在調整研磨選擇性上,會過度補償或補償不足,且此結果使得縱使經過第二平坦化製程,晶圓表面仍然平坦化不足,比如第2圖所示的晶圓表面。縱使經過第二平坦化製程,平面度的不足仍在半導體製造中造成一些問題,尤其是當裝置的尺寸逐漸縮小時,問題更顯著。
為了克服上述討論的不平坦問題,本發明利用一犧牲層,以形成一大致平坦的表面。在此之後,進行一平坦化製程,其中此平坦化製程經調整以具有一大致上一致的研磨選擇性,以保持表面的平坦外形。這些步驟將更詳細討論於後,並同時參照第3圖至第4圖。第3圖至第4圖為半導體裝置30於第1圖所繪示的製造階段後,各製造階段的剖面視圖。
請參照第3圖,一犧牲層100形成於半導體裝置30暴露出的表面。在某些實施例中,犧牲層100包括透過一旋轉塗佈製程形成的材料。舉例來說,犧牲層100材料可以包括旋轉塗佈玻璃(spin-on-glass),比如二氧化矽或氟氧化矽。如另一實例,犧牲層100材料可以包括有機高分子,比如聚醯亞胺(polyimide)或氟摻雜聚醯亞胺。如再一實例,犧牲層100材料可以包括SiOCH,SixOyCzHw或一適合的碳摻雜氧化矽。某些候選的旋轉塗佈材料的化學結構式提供如下:
在某些實施例中,旋轉塗佈材料利用一旋轉塗佈工具塗佈在半導體裝置30的表面。接著旋轉塗佈材料藉由一烘烤製程進行烘烤,其中烘烤溫度介於約100度C到約300度C之間。在此之後,旋轉塗佈材料可以在一高溫爐中進行固化(curing),固化溫度介於約350度C到約450度C。
在其他實施例中,犧牲層100包括以一流動式化學氣相沉積製程(FCVD)形成的材料。舉例來說,犧牲層100的材料可以包括未摻雜矽酸鹽玻璃(USG)。如另一實例,犧牲層100的材料可以包括磷矽酸鹽玻璃(PSG)。如再一實例,犧牲層100的材料可以包括硼磷矽酸鹽玻璃(BPSG)。在某些實施 例中,流動式化學氣相沉積製程,包括施行一熱回流步驟,其製程溫度介於約700度C到約1000度C之間,且製程時間約0.5小時到約2小時。
不管使用於犧牲層形成的特定方法為何,犧牲層100形成用以具有一大致平面或平坦化的表面。舉例來說,犧牲層100的一上表面110(或暴露出的表面),在其整個表面可以具有一厚度變化小於約80埃,例如小於約10埃。同時,犧牲層100的整體厚度120,可以介於約500埃至約1000埃。可以理解的是,對於犧牲層100,形成一平面/平坦表面110的方法中,旋轉塗佈方法或流動式化學氣相沉積方法只是舉例而已。在其他實施例中,其他不同的方法及/或材料,也可以運用在具有一平面表面110的犧牲層100之形成。
請參照第4圖,對於半導體裝置30進行一平坦化製程150,在某些實施例中,平坦化製程150包括一化學機械研磨製程。除了使用一研磨墊,以研磨半導體裝置30外,化學機械研磨製程還使用一研磨液,以助於半導體裝置30的材料的蝕刻移除,包括犧牲層100,硬罩幕層80,導電元件60及界層介電層50。在某些實施例中,平坦化製程150的移除速率(removal rate,RR)介於約每分鐘300埃至約每分鐘1000埃。
根據本發明的多個觀點,化學機械研磨製程的研磨液,係配置以針對所有被研磨/移除材料具有大致一致研磨選擇性。換句話說,研磨液係經調整使得對於犧牲層100,硬罩幕層80,導電元件60,及界層介電層50具有大致相同的蝕刻率。舉例來說,在某些實施例中,對於犧牲層100,硬罩幕層 80,導電元件60,及界層介電層50彼此間的蝕刻率維持在數個百分點內(甚至小於一個百分點)。
由於大致相同的研磨選擇性,經過平坦化製程150後,半導體裝置30具有一大致平面的表面170,類似於犧牲層100的大致平面表面110(如第3圖繪示)。換句話說,由於化學機械研磨之研磨液具有一致的研磨選擇性,所以至少部分表面170能維持犧牲層100表面的平坦度。在某些實施例中,表面170相對整體表面具有平坦度約小於80埃的厚度變異,比如是小於10埃。因此,雖然半導體裝置具有不同圖案密度的多個區域,本發明依然可以使半導體裝置30達到一個足夠平坦的表面。
為了達成化學機械研磨之研磨液具有大致一致的研磨選擇性,研磨液的組成材料要很謹慎的配置。根據本發明的多個觀點,研磨液至少包括下列成分:水(H2O),雙氧水(H2O2),一研磨材料及某些添加物。研磨液的主要組成是水。其他則由雙氧水,研磨材料及添加物所組成。在某些實施例中,水可以具有佔研磨液大於約80%的濃度,雙氧水可以具有佔研磨液介於約0%至約5%的濃度。
在某些實施例中,研磨材料可以具有佔研磨液介於約3%到約15%的濃度。研磨材料較適合的候選成分可包括,二氧化矽(SiO2),氧化鋁(Al2O3),氧化鈰(CeO2)或其組成。
在某些實施例中,添加物可以包括:銅蝕刻抑制劑,銅螯合劑,低介電常數蝕刻抑制劑,及酸鹼緩衝劑或其組成。如實施例,銅蝕刻抑制劑可以具有一濃度介於大約0到約1000 百萬分之一個(ppm)。銅蝕刻抑制劑的適合候選材料可以包括苯並三唑(BTA),半胱氨酸,氮電子對(一氮原子具三個原子鍵及一對未鍵結電子),或其組成。下表顯示某些典型銅蝕刻抑制劑的化學結構式:
在某些實施例中,銅螯合劑可以具有佔研磨液介於約0%至約1%的濃度。銅螯合劑的適合候選材料可以包括:有機酸,羧酸,乙二胺四乙酸(EDTA),酒石酸或其組成。一典型的銅螯合劑的化學結構式繪示如下:
在某些實施例中,低介電常數蝕刻抑制劑可以具有一濃度介於大約0到約100ppm。低介電常數蝕刻抑制劑的適合候選材料可以包括:具有羥基的酸,聚乙二醇(PEG),聚氧化乙烯(PEO),纖維素或其組成。PEG及纖維素的典型化學結構式繪示如下:
在某些實施例中,酸鹼緩衝劑可以具有佔研磨液介於約0%至約2%的濃度。酸鹼緩衝劑的適合候選材料可以包括:氫氧化鉀(KOH),氫氧化銨(NH4OH),硫酸(H2SO4),硝酸(HNO3)或其組成。研磨液的整體酸鹼值可以調整在介於約2到約11之間。
可以理解的是,可以進行額外的製程,以完成半導體裝置30的製造。舉例來說,包括半導體裝置30的晶圓,後續可進行鈍化,切割及封裝製程。
第5圖所繪示為根據本發明的多個觀點,一種半導體裝置的製造方法200之一流程圖。方法200包括一步驟210,其中一介電層形成於一基底上。介電層可以是一界層介電層,並可以包括一氧化物材料或一低介電係數材料。
方法200包括一步驟220,其中多個開口形成於介電層中。這些開口具有不同的分布密度。換句話說,一較多數量 的開口可以形成於介電層中的某個部分,且一較少數量的開口可以形成於介電層中的另一部分。
方法200包括一步驟230,其中開口填入一金屬材料。金屬材料可以以一適當的沉積製程形成。在某些實施例中,金屬材料可以包含銅。由於開口具有不同的分布密度,填入開口中的金屬元件對整個介電層來說,係不均勻地分布。因此,半導體裝置具有不一致的圖案密度。
方法200包括一步驟240,其中進行一第一化學機械研磨製程,以去除介電層上的部分金屬材料。換句話說,開口外的部分金屬材料被移除。至少一部分由於不一致的圖案密度,儘管進行第一化學機械研磨製程後,半導體元件的表面仍然具有一不均勻或不平坦的表面。
方法200包括一步驟250,其中一犧牲層形成於介電層及金屬材料上。犧牲層形成以具有一平坦化表面,例如,一表面對整體表面具有小於約800埃的厚度變異。在某些實施例中,犧牲層係藉由一旋轉塗佈製程形成。在這些實例中,犧牲層包含玻璃,有機高分子聚合物或摻雜碳的氧化矽至少其中之一。在其他實施例中,犧牲層係藉由一流動式化學氣相沉積製程形成。在這些實例中,犧牲層包含未摻雜矽酸鹽玻璃,磷矽酸鹽玻璃或硼磷矽酸鹽玻璃至少其中之一。
方法200包括一步驟260,其中進行一第二化學機械研磨製程,以去除犧牲層及部分介電層,及其下方的金屬材料。化學機械研磨製程使用一研磨液。所述研磨液配置使得在犧牲層,介電層及金屬材料之間具有大致相似的研磨選擇性。 在某些實施例中,研磨液包括水,雙氧水,研磨材料,及添加物。研磨材料可以包括二氧化矽(SiO2),氧化鋁(Al2O3),氧化鈰(CeO2)至少其中之一。添加物可以包括:銅蝕刻抑制劑,銅螯合劑,低介電常數蝕刻抑制劑,或酸鹼緩衝劑至少其中之一。
可以理解的是,可以進行額外的製程,在步驟210-260之前,期間及/或之後。基於簡化說明的理由,這些額外的製程並未在此討論。
上述討論之本發明的實施例,對於現存的方法具有許多優點。然而,可以理解的是,其他的實施例可能具有不同的優點,且對所有實施例並沒有特定的優點是必須的。其中一個優點是,儘管晶圓具有不同的圖案密度,可以達成一大致平坦化的晶圓表面。此大致平坦化表面具有一整體表面差異小於約80埃,這差異遠優於利用現存平坦化技術可達成的程度。本發明的方法對於尖端半導體製造技術特別有幫助,比如15奈米技術點或超過15奈米點的其他技術點,其可能相對於舊技術點有更大的平坦度需求。
另一個優點是,上述揭露的實施例與互補型金屬氧化物半導體製程流程相容。因此,上述揭露的實施例,對於現行的製造製程流程,其施行可以不耗費太多成本,且不會造成製程上明顯的困擾。
上述內容以概要說明一些實施例的特徵,使得熟習此技藝者可以更清楚了解後述的詳細說明。熟習此技藝者應可以理解,其可以利用本說明書為基礎,進行設計或修改其他的製程及結構,以達成在此揭露之實施例相同的目的及/或相同 的優點。熟習此技藝者也應可以理解,如此等效的結構並未脫離本發明的精神與範圍,且其可以在不脫離本發明的精神與範圍下,做各種的修改,替換與潤飾。
200‧‧‧方法
210,220,230,240,250,260‧‧‧步驟

Claims (10)

  1. 一種半導體裝置的製造方法,包括:形成一互連結構在一基底上;進行一第一平坦化製程以暴露出所述互連結構,其中在所述第一平坦化製程後,所述互連結構具有一不均勻起伏;在所述第一平坦化製程後,形成一犧牲層於所述互連結構上,其中所述犧牲層係形成以具有一大致平坦之表面外形;以及進行一第二平坦化製程以暴露出所述互連結構,其中所述第二平坦化製程移除所述犧牲層及其下方之所述互連結構的一部份,且其中所述第二平坦化製程的施行係使得所述互連結構在所述第二平坦化製程後,可以轉換一大致平坦的表面外形。
  2. 如請求項1所述之半導體裝置的製造方法,其中:所述晶圓包括具有不一致的圖案密度之多個區域;以及在所述第一平坦化製程後,所述晶圓的所述不均勻起伏,至少一部份歸因於所述晶圓的所述區域具有不一致的圖案密度。
  3. 如請求項1所述之半導體裝置的製造方法,更包括:在進行所述第一平坦化製程前,形成一連線結構,且其中所述第一平坦化製程及所述第二平坦化製程係對所述連線結構施行。
  4. 如請求項1所述之半導體裝置的製造方法,其中形成所述犧牲層的施行,係以使得所述犧牲層具有一厚度變異小於約80埃。
  5. 如請求項1所述之半導體裝置的製造方法,其中所述第二平坦化製程包括一化學機械研磨製程,並使用一研磨液,其中所述研磨液包括水,雙氧水,一研磨材料及添加物。
  6. 如請求項5所述之半導體裝置的製造方法,其中:所述研磨材料包括:二氧化矽(SiO2),氧化鋁(Al2O3),氧化鈰(CeO2)至少其中之一;以及所述添加物包括:銅蝕刻抑制劑,銅螯合劑,低介電常數蝕刻抑制劑,或酸鹼緩衝劑至少其中之一。
  7. 一種半導體裝置的製造方法,包括:形成一連線結構在一基底上,所述連線結構包括多個導電元件,其分布係使得所述連線結構具有不一致的圖案密度;研磨所述連線結構的一上方部分,以移除所述導電元件的至少一部份,其中在研磨之後,所述連線結構具有一不平坦表面;形成一犧牲層於所述研磨後之連線結構上,所述犧牲層具有一上表面,所述上表面具有一平坦外形;以及藉由一研磨製程,移除所述犧牲層及其下方之所述連線 結構的部分,所述研磨製程使用一研磨液,所述研磨液配置以使得對於所述犧牲層及其下方之所述連線結構的部分有大致相同的蝕刻速率,藉此使得所述連線結構的一剩餘部分,可以保持所述犧牲層之所述上表面的所述平坦外形。
  8. 如請求項7所述之半導體裝置的製造方法,其中所述形成所述連線結構包括:蝕刻多個開口於一介電材料中;以及沉積一導電材料於所述開口中,藉此形成所述導電元件。
  9. 如請求項8所述之半導體裝置的製造方法,其中所述研磨所述連線結構的一上方部分包括對於所述開口外的所述導電材料之部分,進行一化學機械研磨製程。
  10. 一種半導體裝置的製造方法,包括:形成一介電層於一基底上;形成多個開口於所述介電層中,所述開口具有不同的分布密度;以一金屬材料填入所述開口中;進行一第一化學機械研磨製程,以移除所述介電層上之所述金屬材料的部分;接著形成一犧牲層於所述介電層及所述金屬材料上,所述犧牲層具有一平坦表面,且藉由一旋轉塗佈製程或一流動 式化學氣相沉積製程其中之一形成;以及進行一第二化學機械研磨製程,以移除所述犧牲層及其下方之所述介電層及所述金屬材料的部分,所述第二化學機械研磨製程使用一研磨液,所述研磨液配置使得在所述犧牲層,所述介電層及所述金屬材料之間具有大致相似的研磨選擇性。
TW102126934A 2013-03-08 2013-07-26 改善化學機械硏磨平坦化的方法與裝置 TWI518765B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/790,031 US8828875B1 (en) 2013-03-08 2013-03-08 Method and apparatus for improving CMP planarity

Publications (2)

Publication Number Publication Date
TW201436011A TW201436011A (zh) 2014-09-16
TWI518765B true TWI518765B (zh) 2016-01-21

Family

ID=51455143

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102126934A TWI518765B (zh) 2013-03-08 2013-07-26 改善化學機械硏磨平坦化的方法與裝置

Country Status (2)

Country Link
US (2) US8828875B1 (zh)
TW (1) TWI518765B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8828875B1 (en) * 2013-03-08 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving CMP planarity
US20150206794A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Removing Micro Scratches In Chemical Mechanical Polishing Processes
US9252179B2 (en) * 2014-06-13 2016-02-02 Visera Technologies Company Limited Image sensor structures
US9799529B2 (en) * 2016-03-17 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizing a film layer
US11152255B2 (en) 2019-03-28 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of performing chemical-mechanical polishing process in semiconductor devices
EP4034605B1 (en) * 2019-09-24 2024-01-17 FUJIFILM Electronic Materials U.S.A., Inc. Polishing compositions and methods of use thereof
US11430691B2 (en) * 2020-02-19 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing interconnect structures in semiconductor devices
CN111627832B (zh) * 2020-03-31 2023-03-28 山东职业学院 一种半导体芯片生产制备系统的冰粒平坦化制程结构
CN113921472A (zh) * 2020-07-08 2022-01-11 长鑫存储技术有限公司 半导体结构及其制作方法
US12004431B2 (en) 2020-10-30 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for MRAM devices
TWI749907B (zh) * 2020-11-25 2021-12-11 南亞科技股份有限公司 半導體結構製造方法
US11659771B2 (en) 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5514245A (en) 1992-01-27 1996-05-07 Micron Technology, Inc. Method for chemical planarization (CMP) of a semiconductor wafer to provide a planar surface free of microscratches
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate
US6083838A (en) 1998-05-20 2000-07-04 Lucent Technologies Inc. Method of planarizing a surface on a semiconductor wafer
TW409297B (en) 1999-01-26 2000-10-21 Taiwan Semiconductor Mfg Method of producing planarized polysilicon gate electrodes
AU2002336360A1 (en) 2001-08-17 2003-03-03 Acm Research, Inc. Forming a semiconductor structure using a combination of planarizing methods and electropolishing
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
US7201784B2 (en) * 2003-06-30 2007-04-10 Intel Corporation Surfactant slurry additives to improve erosion, dishing, and defects during chemical mechanical polishing of copper damascene with low k dielectrics
JP4041785B2 (ja) * 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
US7955964B2 (en) * 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8367534B2 (en) 2010-09-17 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniformity reduction in semiconductor planarization
US8828875B1 (en) * 2013-03-08 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving CMP planarity

Also Published As

Publication number Publication date
US20140377954A1 (en) 2014-12-25
US9466501B2 (en) 2016-10-11
TW201436011A (zh) 2014-09-16
US8828875B1 (en) 2014-09-09
US20140256134A1 (en) 2014-09-11

Similar Documents

Publication Publication Date Title
TWI518765B (zh) 改善化學機械硏磨平坦化的方法與裝置
KR100233349B1 (ko) 금속 패턴 형성 방법
TW201803014A (zh) 半導體裝置及其形成方法
KR20000023003A (ko) 반도체 기판의 표면 평탄화 처리 방법 및 절연층에서의 전도 플러그 생성 처리 방법
TW201916103A (zh) 用以形成多層式光罩的方法
KR101842903B1 (ko) 에어 갭 상호연결 구조의 형성 방법
TW201735178A (zh) 半導體裝置的形成方法
US8703612B2 (en) Process for forming contact plugs
CN107017203A (zh) 半导体元件的制造方法
WO2000002235A1 (en) Method of planarizing integrated circuits
KR20050033180A (ko) 산화막 평탄화 방법 및 이를 이용한 반도체 소자의 제조방법
US6395635B1 (en) Reduction of tungsten damascene residue
TWI508223B (zh) 用於製造具有均勻梯狀高度之隔離區的半導體裝置的方法
US9330989B2 (en) System and method for chemical-mechanical planarization of a metal layer
US7186655B2 (en) Method for manufacturing semiconductor device
CN111599677B (zh) 半导体结构及其形成方法
CN102956450B (zh) 一种制作半导体器件的方法
CN102881630A (zh) 超低介电常数层的制作方法
KR100734653B1 (ko) 산화막 cmp 방법
TW201735163A (zh) 平坦化方法、製造半導體結構的方法以及半導體結構
KR100653986B1 (ko) 반도체 제조를 위한 화학적 기계적 연마 방법
CN112331610A (zh) 半导体结构的制备方法
CN115249643A (zh) 半导体结构的制作方法
JP2011181585A (ja) 半導体装置および半導体装置の製造方法
KR100945867B1 (ko) 반도체 소자의 다층 배선 형성 방법