TWI504012B - 太陽能電池及其製造方法 - Google Patents

太陽能電池及其製造方法 Download PDF

Info

Publication number
TWI504012B
TWI504012B TW100117729A TW100117729A TWI504012B TW I504012 B TWI504012 B TW I504012B TW 100117729 A TW100117729 A TW 100117729A TW 100117729 A TW100117729 A TW 100117729A TW I504012 B TWI504012 B TW I504012B
Authority
TW
Taiwan
Prior art keywords
wafer
range
seconds
precursor
ald
Prior art date
Application number
TW100117729A
Other languages
English (en)
Other versions
TW201145557A (en
Inventor
Dieter Pierreux
Original Assignee
Asm Int
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Int filed Critical Asm Int
Publication of TW201145557A publication Critical patent/TW201145557A/zh
Application granted granted Critical
Publication of TWI504012B publication Critical patent/TWI504012B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1868Passivation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1876Particular processes or apparatus for batch treatment of the devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Sustainable Energy (AREA)
  • Chemical Vapour Deposition (AREA)
  • Photovoltaic Devices (AREA)

Description

太陽能電池及其製造方法
本發明有關半導體製程的領域,而更特別地係,有關一太陽能電池的製造,其後表面有一鈍化薄金屬氧化層。
在一矽太陽能電池中,少數電荷載子可能在達成接觸前,在缺陷、雜質、表面損壞等情況重新結合。此重新結合減少太陽能電池的電流輸出,因此降低其效率。為了要在太陽能電池的後表面減少重新結合的發生,藉由提供此表面具有一金屬氧化鈍化層(例如一氧化鋁(Al2O3)層)而使該表面鈍化。成功的鈍化造成提高有效少數電荷載子壽命(τeff)。
本發明的目的是要提供高度有效少數電荷載子壽命(即是τeff 500μs)的太陽能電池經濟有效製造的方法。
因此,本發明之一態樣是針對製造至少500μs(微秒)有效少數電荷載子壽命太陽能電池的方法。該方法包括提供一半導體晶圓。該方法更包括藉由ALD沉積一金屬氧化層在該表面上,以鈍化該晶圓的表面,其包括下列步驟:連續與交互(i)暴露該表面至第一前導物,造成該第一前導物覆蓋表面;及(ii)暴露該表面至第二前導物,造成該第二前導物覆蓋表面。在表面的覆蓋到達飽和位準前,該等步驟(i)與(ii)之至少一會停止。
本發明之另一態樣是針對太陽能電池至少侷部是根據本發明的方法加以製造。
根據本發明的方法發現實驗的基礎將在此後更詳細描述,其意外揭示,利用次飽和ALD(即是以小於每週期率的最大生長(Growth Per Cycle,GPC;以Å/cycle(週期)為單位的測量)進行的ALD)沉積的金屬氧化物鈍化層可以提供良好的鈍化屬性。實驗在於考量利用兩氣體前導物(三甲基鋁(TMA)與臭氧(O3))施加的氧化鋁層。不過,可推測,不同材料及/或利用不同前導物沉積的其他金屬氧化層可能呈現類似特徵。
在次飽和ALD的架構中,氧化鋁鈍化層的沈積製程的多個製程參數已觀察對太陽能電池的有效少數電荷載子壽命有顯著的影響力。這些參數包括鈍化層沈積發生的晶圓溫度、基材於臭氧前導物暴露/脈衝過程暴露的臭氧濃度、及施加層的厚度。
沈積製程參數範圍的小心選擇可能產生可促進太陽能電池效率的高度有效鈍化層。有利地係,參數的選擇亦可能降低成本及增加太陽能電池生產製程的整體效率。
本發明的這些及其他特徵與效益可從下列詳細描述更完全瞭解。
ALD為允許以準確控制方式沈積薄層之沈積方法。典型上,ALD使用兩或多個氣體前導物,其交替及重複施加至基材。基材表面暴露至全部前導物的一連串連續步驟稱為沈積週期。每一沈積週期典型生長想要的單層。此由於在ALD中層生長取決於化學吸附,一製程藉此一前導物分子透過化學鍵的形成而附著至基材表面,無需進一步熱 分解前導物分子。當涵蓋可供與前導物形成化學鍵結之所有基材表面位置時,化學吸附本質便會停止。在固態層形成下,暴露基材至第二前導物會導致第二前導物與化學吸附的第一前導物發生化學反應,直到所有化學吸附的第一前導物反應,且基材以自我限制方式覆蓋該化學吸附的第二前導物。因此,ALD為允許藉由高品質層的高度共形塗料之沈積方法。這些特徵使其成為各種產業使用的方法,特別是半導體,且更明確而言,太陽能電池產業。
太陽能電池產業的一ALD應用為鈍化層沈積在太陽能電池的後表面。圖1為一示範性太陽能電池的示意截面側視圖,其特徵為一鈍化層。太陽能電池包括一晶矽體,其在電池的生產過程稱為「晶圓」。在晶矽體產生的電流係經由電池的前與後電接觸取得。前接觸結構是以能讓光通過的較大隔開的金屬格柵形式製成。在格柵的開口中,電池的前表面具有一抗反射塗層,以降低光反射。在後表面,矽體具有氧化鋁(Al2O3)鈍化層,其主功能係避免在在後表面產生不想要的少數電荷載子重新結合。在鈍化層的頂端上,提供一全區域金屬背接觸。透過適當的攙雜,矽體具有p-n接合,接近其光接收面。在操作過程,電池上的光入射會在p-n接合的兩側產生電子(e-)-電洞(h+)對,即是n型射極與p型基極。基極產生的電子會擴散過p-n接合朝向射極,而射極產生的電洞會擴散過接合朝向基極,如此會在電池產生電壓。
電池的電流產生的最重要是有效少數電荷載子壽命τeff 。在不涉及不必要具體細節的基礎上,應注意,在算術上,τeff本質上是由兩組件組成:一者係有關電池體或塊體的屬性,而另一者係關於其表面。後者組件在很大的程度上是由鈍化層的屬性決定。
為了將鈍化層的屬性最佳化,如此最佳化τeff的個別組件,實驗已證明,其過程是氧化鋁層利用不同ALD製程製程參數而施加至矽晶圓的後表面。所有製程參數的共有特性在於交互及重複暴露該表面至兩氣體前導物,即是三甲基鋁(TMA)與臭氧(O3)。藉由執行多個沈積週期,一多達30nm(奈米)的厚度層會生長。在層沈積之後,可決定太陽能電池的有效少數電荷載子壽命τeff
用來進行實驗的實驗裝置包括(在此範圍內)一傳統垂直半導體製程爐。該製程爐的特徵為一加熱反應室,其可接受適應一組晶圓之一晶圓船。在該晶圓船中,晶圓係以堆疊、互相隔開關係配置。多晶圓如此同時處理,然後允許相互(晶圓對晶圓)比較。在晶圓沈積處理過程,一馬達裝置提供旋轉晶圓船。前導物與淨化氣體透過一系列導管供應至反應室,及從反應室釋放,適合用於氣流程控制的控制閥。TMA前導物氣體與氮(N2)淨化氣體直接從壓力源容器取出,而臭氧(O3)是利用供應氧氣體(O2)的臭氧產生器合成。供應臭氧產生器的氧(但不轉換成臭氧)當作臭氧的載氣使用,且同樣亦供應給反應室。
現將專注在不同的ALD處理。表1列出以下三種不同ALD製程參數的暴露時間:RCP1、RCP2與RCP3。例如,從表1推論出,RCP1包括晶圓的後表面(即是太陽能電池的後表 面)連續暴露至TMA流程15秒鐘、淨化氣體流程14秒鐘、臭氧流程30秒鐘、及另一淨化氣體流程10秒鐘。表1的最右欄是以單一ALD沈積週期的花費秒數列出持續時間,例如RCP1為69秒鐘,其為接續在前導物與淨化氣體暴露時間的持續時間加總後發生。
雖然個別脈衝或步進時間可能減少,進而超過表1提供的值,但短於1秒鐘的脈衝時間短不能有效適當地使批式反應器中的所有基材暴露至一反應物或適當地淨化反應器。
表2列出在表1描述的三種製程參數的製程參數。這些參數包括(從表格的左至右):TMA脈衝或暴露期間,TMA進入反應室的質量流率(以每分鐘有多少克為單位);臭氧脈衝期間,氧供應給臭氧產生器的質量流率(以每分鐘有多少標準公升為單位);離開臭氧產生器的氧氣流程中的臭氧濃度(以每立方尺有多少克為單位);沈積過程於反應室維持的壓力範圍(以毫托為單位);沈積過程的晶圓溫度(以攝氏度為單位);及沉積氧化鋁層的最後層厚度(以奈米為單位)。
針對RCP2,表2列出兩ALD製程參數變化:RCP2(1)與RCP2(2)。RCP2(1)係不同於標準RPC2,在於其使用較低的臭氧濃度220g/m3,而不是300g/m3.RCP2(2)係不同於標準製程參數RCP2,在於其促成較小最後層厚度20nm(奈米),而不是30nm(奈米);即是說,RCP2(2)只包括標準RCP2所使用ALD沈積週期數的三分之二。
對於所有列出的ALD製程參數而言,沈積過程維持的晶圓溫度為175℃。這是因為初步實驗已指出溫度範圍150-200℃符合最高觀察有效少數電荷載子壽命τeff。超過200℃的溫度似乎不必然會導致有害的基材表面氧化。
圖2與3顯示一些重要的實驗結果。圖2以長條圖示例說明結果太陽能電池的有效少數電荷載子壽命τeff上的ALD週期效果。圖式顯示三個長條,分別有關太陽能電池具有利用RCP1、RCP2與RCP3製造的鈍化層,如此對應69、23與11秒鐘的ALD週期時間(參見表1)。從圖2的圖式可清楚看出,ALD週期為影響沉積層鈍化屬性的因素。從圖 2推論出的一般關係在於(不含RCP1)較小的ALD週期可能導致增加τeff。對於每一製程參數而言,較小的ALD週期時間為較短暴露晶圓表面至前導物與淨化氣體的結果,如表1所示。現在相信,減少前導物暴露時間,且特別地係,減少臭氧暴露時間是對τeff.正面效果的最重要貢獻者。
一般來說,ALD製程的自我限制反應會產生每週期生長(GPC,例如以Å/cycle(週期)為單位的測量),從其可看出,在到達飽和位準前,隨著個別前導物暴露的持續時間增加。飽和的特徵為進一步增加前導物暴露時間,不會進一步增加GPC。使用的ALD製程參數不可能獲得全飽和、或每週期最大生長率:他們全部與此飽和的ALD有關。由於時常視為負責高薄膜品質的ALD自我極限本質,所以驚訝發現,產生此有效鈍化層的次飽和ALD。
圖3以長條圖示例說明不同RCP2變化的有效少數電荷載子壽命資料:RCP2(標準)、RCP2(1)與RCP2(2)。該圖示例說明τeff取決於臭氧前導物脈衝的臭氧濃度(RCP2(1))與沉積層厚度(RCP2(2))的變化。
至於在臭氧前導物脈衝期間的臭氧濃度,從實驗引出的暫時結論在於高臭氧濃度會不利影響τeff。如此可看出,最好係,臭氧濃度在範圍0.1至500g/m3,更好係,臭氧濃度介於範圍100與300g/m3之間,且更好係,臭氧濃度約220g/m3,以達成最適宜的τeff
關於沉積鈍化層的厚度,從實驗引出的暫時結論在於超 過30nm(奈米)的厚度厚度會不利影響τeff。從未在此詳細描述的附加實驗,進一步得到,低於10nm(奈米)的厚度層30nm(奈米)適當的鈍化。因此,層厚度範圍10-30nm(奈米),且更特別地係,層厚度範圍15-25nm(奈米)可推測持有最佳的層厚度值。
次飽和ALD的使用不僅允許沈積高度有效鈍化層,而且降低生產製程的成本。更明確係,次飽和ALD允許更有效使用前導物,而同時允許較大的晶圓產能。在缺陷上,層厚度均勻性降低會伴隨次飽和ALD的使用。不過,就如所示,厚度均勻性的降低可依上述效益而平衡,以最佳化沈積製程。這些將參考圖4-8示例說明。
圖4示意說明TMA製程的效率曲線,其中使用一相對昂貴前導物ALD。標示「TMA消耗」的線條指出以ALD週期時間的函數,供給反應室的TMA量。很清楚,TMA消耗會隨著ALD週期時間線性增加。標示「GPC」的曲線係示例說明每週期的生長,其隨著ALD週期時間增加,直到到達飽和位準。此時,每週期曲線的生長會平穩。GPC除以TMA消耗所獲得的效率曲線係示例說明消耗TMA的每單位薄膜厚度增量。在獲得飽和位準的ALD週期時間前,效率曲線的最佳性能有較好表現。此意謂,如果目的在於有效率生長特定厚度的薄膜,利用次飽和ALD能有最好的效果。
圖5以長條圖示例說明ALD製程參數RCP1、RCP2與RCP3之每一者的TMA消耗。對於每一製程參數而言,TMA消耗係以利用1奈米厚度氧化鋁層覆蓋一平方公尺晶圓表面所 需TMA微克數表示。對於RCP1、RCP2與RCP3而言,TMA消耗分別為98mg(毫克)/(nm m2)、20mg(毫克)/(nm‧m2)與14mg(毫克)/(nmm2)。
在圖5的TMA消耗值是基於在實驗期間從源容器取得的TMA量。不是從源容器取得的所有TMA會通過反應室。特別地係,根據RCP1的沈積過程,來自源容器的TMA流允許時間發展,以在導入反應室前,達到想要的質量流率0.3g/min(參見表2)。實際上,此意謂,對於RCP1而言,只約40%的取得或消耗TMA實際上傳遞至反應室。在根據RCP2與RCP3的沈積過程,TMA流允許較少時間完全發展;因此,供應給反應室的TMA流在表1列出的整個暴露時間過程將不會在最大流率。對於RCP2與RCP3而言,實際傳遞給反應室的消耗TMA百分比為90%。此效果需要結合減少脈衝長度。圖5反映從每一製程參數來源取得的總TMA量。可看出,RCP1顯然為最大TMA消耗的製程參數,而RCP3為最低TMA消耗。
圖6為長條圖示例說明每週期的生長(GPC),即是對於每一ALD製程參數,從一ALD週期產生的層厚度增量。厚度增量是以埃為單位(Ångström,Å)提供,即是0.1nm(奈米)。對於RCP1、RCP2與RCP3而言,GPC分別約0.90Å、0.87Å與0.78Å。
從圖5與6,很清楚地,沉積製程的TMA效率(如GPC除以TMA消耗的定義)對於RCP1為最小,但對於RCP3為最大。此外,從表1與圖6可推論,RCP3對於層沉積提供相對快速的方法。例如,利用RCP3沉積一30nm(奈米)層需要 (30nm(奈米)/0.78Å=)385 ALD週期,且每一週期需要11秒,所以總ALD沈積時間相當於(385 11=)4235秒,即是1小時和11分鐘。對照下,利用RCP1沉積一30nm(奈米)層需要(30nm(奈米)/0.90Å=)333 ALD週期,每一週期佔用69秒,所以總ALD沈積時間相當於(333 69=)22977秒,即是6小時和23分鐘。換句話說,RCP3為超過5倍快於RCP1。
圖7為示例說明製程表面的沉積層厚度(下圖)與ALD週期時間函數的層生長率(上圖)兩者的變化圖。圖中對應在表1列出製程參數的點已有識別標示。即是,標示’RCP1’係參考在ALD週期時間69秒等上的一些點。
沉積層的厚度變化是以晶圓間均勻性(WiW)觀點指定。WiW為在圖9所示晶圓表面位置上取樣49層厚度測量的相對取樣標準差。圖7顯示RCP1、RCP2與RCP3分別產生約1.3%、3%與5%的WiW。因此,可看出,根據表1的方案,從69秒至11秒減少ALD週期時間將造成約3.5%的明顯WiW降低。對於實際的太陽能電池應用,最好避免晶圓塗層超過約10%的WiW值的一鈍化層。在表2的製程條件下,因此似乎最好使用超過10秒(如垂直虛線所示)的ALD週期時間,其左側,WiW似乎激增。
圖7的上面曲線提供層生長率已利用從表1與圖6的資料加以計算。對於RCP3而言,例如,(60秒/11秒=)5.5 ALD週期能夠在微小的時間內執行。每一ALD週期提供層厚度增量0.78Å,所以RCP3的整個生長率相當於(5.5.0.78 Å=)每分鐘4.3Å。
從圖7可清楚看出(不含RCP1),ALD週期時間可減少,沒有沉積層WiW值的過度影響,至少降到約RCP3的ALD週期時間。如果不是在約5%可接受WiW值的最佳生長,此後者製程參數似乎允許能有相對較高的WiW值。
必須注意,利用晶圓船旋轉實現實驗,即是相對於垂直爐的氣體注射出口的晶圓船旋轉,如此確保使製程氣體同質施加至處理過的晶圓表面。計算的流動動力模擬已指出,特別係針對RCP3的小ALD週期時間,無晶圓船旋轉將會在注射出口造成化學汽相沈積(Chemical Vapor Deposition,CVD),且在注射出口遠端的晶圓表面側邊,有嚴重的製程氣體消耗。此消耗將會相當於無法接受的WiW值。
圖8包括兩長條圖。左圖重新產生圖7已顯示RCP1-3的WiW資料,特別地係,供比較右圖的資料。後圖係示例說明以同組部份處理的晶圓之平均層厚度變化。此變化稱為晶圓間(WtW)均勻性,其為在同組不同位置上同時處理的晶圓上的平均層厚度測量的相對取樣標準差。從圖8可推論出,當將獲得的RCP3的WtW與獲得的RCP1和RCP2的WtW相比較,降低ALD週期,及藉此縮短前導物與淨化脈衝在相同點會伴隨WtW增加。當RCP1與RCP2的WtW在約1.5%時,RCP3的WtW可看出超過兩倍且降至約4%。
雖然上面已描述本發明的示例性具體實施例(部份參考附圖),但是應明白,本發明並未侷限於這些具體實施例。 從附圖、揭示、與文後申請專利範圍的研讀,實施專利主張發明的所屬技術領域專業人士應可瞭解及達成揭示具體實施例的變化。本說明書參考的「一具體實施例」意謂與本發明的至少一具體實施例包括的具體實施例有關所述的特殊特性、結構或特徵。因此,在本說明書描述的「在一具體實施例中」不必然全參考相同的具體實施例。此外,應注意,一或多個具體實施例的特殊特性、結構或特徵能以任何適當方法組合,形成新的未明確描述具體實施例。
圖1為根據本發明的一帶有日光入射的示範性太陽能電池的示意截面側視圖;圖2以長條圖示例說明在ALD週期時間的太陽能電池有效少數電荷載子壽命的依存性,其中一鈍化Al2O3層施加至後表面;圖3以長條圖示例說明對於在ALD沈積過程的臭氧前導物脈衝的臭氧濃度變化上的太陽能電池有效少數電荷載子壽命的依存性,及施加Al2O3層的整體層厚度;圖4示意說明說明ALD製程的效率曲線,其中TMA當做前導物使用;圖5以長條圖示例說明在表1列出該等製程參數之每一者的TMA消耗;圖6以長條圖示例說明在表1列出該等製程參數之每一者的每週期生長(GPC); 圖7為示例說明層厚度均勻性及在ALD週期時間層生長率的依存性;圖8包括兩長條圖,針對利用在表1列出該等製程參數之每一者所製程的晶圓,示例說明,薄膜厚度的晶圓內均勻性(Within-Wafer,WiW)(左圖)與薄膜厚度的晶圓間均勻性(Wafer-to-Wafer,WtW)(右圖)的值;及圖9示意說明在圓形晶圓表面上的位置,其中層厚度測量用來估計薄膜厚度的晶圓內均勻性(WiW)及薄膜厚度的晶圓間均勻性(WtW)。

Claims (20)

  1. 一種製造具有至少500μs(微秒)有效少數電荷載子壽命(τeff)的太陽能電池之方法,該方法包括:提供一半導體晶圓;及藉由ALD沉積一金屬氧化層在該晶圓表面上,以鈍化該晶圓的表面,其係藉由連續及交互:暴露該表面至第一前導物,造成該第一前導物覆蓋該表面;及暴露該表面至第二前導物,造成該第二前導物覆蓋該表面,其中在該表面的覆蓋到達一飽和位準之前,停止該等步驟(i)與(ii)之至少一步驟。
  2. 如申請專利範圍第1項所述之方法,其中該第一前導物為一金屬前導物及/或該第二前導物為一氧化劑。
  3. 如申請專利範圍第1項所述之方法,其中該金屬氧化層為一氧化鋁(Al2O3)層。
  4. 如申請專利範圍第1項所述之方法,其中該第一前導物包括三甲基鋁(TMA)。
  5. 如申請專利範圍第1項所述之方法,其中該第二前導物包括臭氧(O3)。
  6. 如申請專利範圍第1項所述之方法,其中該晶圓為一矽晶圓。
  7. 如申請專利範圍第1項所述之方法,其中該晶圓設置於一反應室,該反應室利用一惰性氣體予以清化,例如氮(N2),該晶圓的表面暴露至不同前導物的兩者之間。
  8. 如申請專利範圍第1項所述之方法,其中該晶圓的表面先暴露至一非氧化劑前導物,例如一金屬前導物。
  9. 如申請專利範圍第1項所述之方法,其中該步驟(i)的持 續時間在範圍1-15秒,最好在範圍1-10秒,且更好在範圍1-5秒。
  10. 如申請專利範圍第1項所述之方法,其中該步驟(ii)的持續時間在範圍1-20秒,最好在範圍1-10秒,且更好在範圍1-5秒。
  11. 如申請專利範圍第5項所述之方法,其中該第二前導物(在步驟(ii)期間暴露該晶圓的表面)具有臭氧濃度範圍0.1-500g/m3,最好在範圍100-300g/m3,且更好在約220g/m3
  12. 如申請專利範圍第7項所述之方法,其中該反應室淨化的時間間隔在範圍1-15秒,最好在範圍1-10秒,且更好在範圍1-5秒。
  13. 如申請專利範圍第1項所述之方法,其中該ALD週期時間在範圍10-70秒,最好在範圍10-25秒,且更好在範圍10-15秒。
  14. 如申請專利範圍第1項所述之方法,其中該晶圓在沈積過程維持在溫度範圍150-200℃。
  15. 如申請專利範圍第1項所述之方法,其中該晶圓位在環境的壓力範圍100-200mTorr(毫托)。
  16. 如申請專利範圍第1項所述之方法,其中該金屬氧化層具有厚度範圍10-30nm(奈米),且最好在範圍15-25nm(奈米)。
  17. 如申請專利範圍第1項所述之方法,其更包括:確保實質均勻傳遞第一與第二前導物至晶圓表面,特別地係在沈積過程藉由旋轉該晶圓。
  18. 如申請專利範圍第1項所述之方法,其中一組晶圓(相互隔 開關係堆疊配置)是在一反應室提供,其中該等晶圓之每一者具有一表面,而且其中該等晶圓的該等表面在步驟(i)期間係暴露到第一前導物,且在步驟(ii)期間暴露到第二前導物。
  19. 一種太陽能電池,其根據至少部分利用如申請專利範圍第1-18項之任一項所述之方法加以製造。
  20. 如申請專利範圍第19項所述之太陽能電池,其有效少數電荷載子壽命(τeff)大於750μs(微秒),最好大於1000μs(微秒),且更好大於1250μs(微秒)。
TW100117729A 2010-05-21 2011-05-20 太陽能電池及其製造方法 TWI504012B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US34705910P 2010-05-21 2010-05-21

Publications (2)

Publication Number Publication Date
TW201145557A TW201145557A (en) 2011-12-16
TWI504012B true TWI504012B (zh) 2015-10-11

Family

ID=44534880

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100117729A TWI504012B (zh) 2010-05-21 2011-05-20 太陽能電池及其製造方法

Country Status (7)

Country Link
US (1) US8633050B2 (zh)
EP (3) EP3664165B1 (zh)
JP (1) JP5982098B2 (zh)
KR (1) KR101664504B1 (zh)
CN (1) CN102254987B (zh)
ES (2) ES2923774T3 (zh)
TW (1) TWI504012B (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
ES2923774T3 (es) * 2010-05-21 2022-09-30 Asm Int Nv Método de fabricación de una celda solar
JP5692842B2 (ja) * 2010-06-04 2015-04-01 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101860919B1 (ko) * 2011-12-16 2018-06-29 엘지전자 주식회사 태양 전지 및 이의 제조 방법
WO2013115275A1 (ja) * 2012-01-30 2013-08-08 京セラ株式会社 光電変換素子の製造方法および光電変換素子
CN104247045B (zh) * 2012-03-30 2017-04-26 京瓷株式会社 太阳能电池元件
TWI464888B (zh) * 2012-03-30 2014-12-11 Eternal Materials Co Ltd 太陽能電池的鈍化層及其製造方法
JP2014075440A (ja) * 2012-10-03 2014-04-24 Hyogo Prefecture 界面安定化膜を備えた太陽電池
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
WO2014080080A1 (en) * 2012-11-22 2014-05-30 Beneq Oy Method for fabricating a passivation film on a crystalline silicon surface
CN104201214A (zh) * 2014-08-21 2014-12-10 广东爱康太阳能科技有限公司 一种背面钝化太阳能电池及其制备方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US11396698B2 (en) * 2017-01-07 2022-07-26 Applied Materials, Inc. ALD process for NiO film with tunable carbon content
KR102541127B1 (ko) * 2017-09-05 2023-06-09 상라오 징코 솔라 테크놀러지 디벨롭먼트 컴퍼니, 리미티드 텐덤 태양전지 및 그 제조 방법
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200814344A (en) * 2006-07-24 2008-03-16 Sunpower Corp Solar cell with reduced base diffusion area
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1616043B1 (en) * 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7659475B2 (en) * 2003-06-20 2010-02-09 Imec Method for backside surface passivation of solar cells and solar cells with such passivation
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4228008B2 (ja) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 半導体装置の製造方法
TWI320974B (en) * 2006-09-27 2010-02-21 Sino American Silicon Prod Inc Solar cell and method of fabircating the same
CN101170139B (zh) * 2006-10-26 2010-07-14 中美矽晶制品股份有限公司 太阳能电池及其制造方法
CN101548392A (zh) * 2006-12-01 2009-09-30 夏普株式会社 太阳能电池及其制造方法
TW200929575A (en) * 2007-12-28 2009-07-01 Ind Tech Res Inst A passivation layer structure of the solar cell and the method of the fabricating
ES2923774T3 (es) * 2010-05-21 2022-09-30 Asm Int Nv Método de fabricación de una celda solar

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
TW200814344A (en) * 2006-07-24 2008-03-16 Sunpower Corp Solar cell with reduced base diffusion area

Also Published As

Publication number Publication date
JP2011249813A (ja) 2011-12-08
CN102254987A (zh) 2011-11-23
EP4084093A1 (en) 2022-11-02
ES2758556T3 (es) 2020-05-05
ES2923774T3 (es) 2022-09-30
EP2388833A3 (en) 2018-01-31
KR101664504B1 (ko) 2016-10-10
US8633050B2 (en) 2014-01-21
EP4084093B1 (en) 2024-02-21
US20110284079A1 (en) 2011-11-24
EP4084093C0 (en) 2024-02-21
TW201145557A (en) 2011-12-16
KR20110128254A (ko) 2011-11-29
EP2388833A2 (en) 2011-11-23
CN102254987B (zh) 2017-06-06
EP2388833B1 (en) 2019-11-13
EP3664165B1 (en) 2022-06-29
JP5982098B2 (ja) 2016-08-31
EP3664165A1 (en) 2020-06-10

Similar Documents

Publication Publication Date Title
TWI504012B (zh) 太陽能電池及其製造方法
US11362222B2 (en) Photoactive devices and materials
US9984869B1 (en) Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US20190249303A1 (en) Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
JP5372909B2 (ja) 太陽電池の窒化シリコンパッシベーション
JP2022164814A (ja) SiOCN薄膜の形成
US9472637B2 (en) Semiconductor device having electrode made of high work function material and method of manufacturing the same
JP2008537765A (ja) 半球粒状シリコン及びナノ結晶粒サイズのポリシリコンのための単一ウエハ熱cvdプロセス
US20140127887A1 (en) Chemical Vapor Deposition System
US20130069207A1 (en) Method for producing a deposit and a deposit on a surface of a silicon substrate
TWI495120B (zh) 光電元件及其製造方法
US20140014965A1 (en) Chemical vapor deposition system with in situ, spatially separated plasma
US10480066B2 (en) Metal deposition methods
Dingemans et al. Merits of batch ALD
US11996286B2 (en) Silicon precursors for silicon nitride deposition
CN104037264B (zh) 一种pecvd沉积低表面复合太阳电池介电层的方法
WO2012164163A1 (en) A method and a structure for protecting a passivating layer
WO2014083241A1 (en) Method for fabricating a passivation film on a crystalline silicon surface
US20090317982A1 (en) Atomic layer deposition apparatus and method for preparing metal oxide layer
WO2013079800A1 (en) An n-type silicon photovoltaic cell structure
JP2013001999A (ja) 化学蒸着装置
JP2021522408A (ja) ホウ素核形成層を利用した低温モリブデン膜堆積
CN117410386A (zh) 具有陷光结构的叠层钝化结构的制备方法
TWI481049B (zh) 光伏元件及其製造方法
WO2014080080A1 (en) Method for fabricating a passivation film on a crystalline silicon surface