KR101664504B1 - 태양 전지, 및 이를 제조하는 방법 - Google Patents

태양 전지, 및 이를 제조하는 방법 Download PDF

Info

Publication number
KR101664504B1
KR101664504B1 KR1020110048412A KR20110048412A KR101664504B1 KR 101664504 B1 KR101664504 B1 KR 101664504B1 KR 1020110048412 A KR1020110048412 A KR 1020110048412A KR 20110048412 A KR20110048412 A KR 20110048412A KR 101664504 B1 KR101664504 B1 KR 101664504B1
Authority
KR
South Korea
Prior art keywords
solar cell
precursor
wafer
manufacturing solar
manufacturing
Prior art date
Application number
KR1020110048412A
Other languages
English (en)
Other versions
KR20110128254A (ko
Inventor
피에루 디터
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20110128254A publication Critical patent/KR20110128254A/ko
Application granted granted Critical
Publication of KR101664504B1 publication Critical patent/KR101664504B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1868Passivation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1876Particular processes or apparatus for batch treatment of the devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Sustainable Energy (AREA)
  • Chemical Vapour Deposition (AREA)
  • Photovoltaic Devices (AREA)

Abstract

적어도 500 μs 의 유효 소수 전하 케리어 수명(τeff)을 갖는 태양 전지 제조 방법은 반도체 웨이퍼를 제공하는 단계; 및 금속 산화물 레이어를 상기 웨이퍼의 표면에 ALD-증착시켜, 상기 웨이퍼의 상기 표면을 패시베이팅(passivating)하는 단계: 순차적으로 교대하여 (by sequentially and alternatingly) (i) 상기 표면을 제1 전구체(precursor)에 노출시켜 상기 제1 전구체를 갖는 상기 표면의 커버리지를 생성하는 단계; 및 (ii) 상기 표면을 제2 전구체에 노출시켜 상기 제2 전구체를 갖는 상기 표면의 커버리지를 생성하는 단계에 의함. -(i) 및 (ii) 단계들 중 적어도 하나는 상기 표면의 상기 커버리지가 포화 레벨에 도달하기 전에 중단됨.- 을 포함한다.

Description

태양 전지, 및 이를 제조하는 방법{Solar cell, and method of manufacturing the same}
본 발명은 반도체 공정에 관한 것이고, 특히 얇은 금속 산화물 레이어의 패시베이팅(passivating)이 제공되는 후면(rear surface)을 가지는 태양 전지의 제조에 관한 것이다.
실리콘 태양 전지에서 소수 전하 캐리어는 접합(contact)에 도달하기 전에 결함, 불순물, 표면 손상 등에 재결합할 수 있다. 이러한 재결합은 태양 전지의 전류 출력을 감소시키고, 따라서 효율을 감소시킨다. 태양 전지의 후면에 재결합의 발생을 줄이기 위해, 이 표면은 일례로 알루미늄 산화물(Al2O3) 레이어와 같은 금속 산화물 패시배이션(passivation) 레이어가 제공되어 제공되어 패시베이트(passivated)될 수 있다. 성공적인 패시배이션은 증가된 유효 소수 전하 캐리어 수명(τeff)을 가져온다.
본 발명의 목적은 높은 유효 소수 전하 캐리어 수명(i.e. τeff ≥ 500 μs)을 가지는 태양 전지의 경제적인 제조를 가능하게 하는 방법을 제공하는 것이다.
따라서, 본 발명의 일실시예는 적어도 500 μs의 유효 소수 전하 캐리어 수명을 가지는 태양 전지 제조 방법을 개시한다. 태양 전지 제조 방법은 반도체 웨이퍼를 제공하는 단계를 포함한다. 태양 전지 제조 방법은 순차적으로 교대하여 (by sequentially and alternatingly) (i) 웨이퍼 표면을 제1 전구체(precursor)에 노출시켜 제1 전구체를 갖는 표면의 커버리지를 생성하는 단계, 및 (ii) 웨이퍼 표면을 제2 전구체에 노출시켜 제2 전구체를 갖는 표면의 커버리지를 생성하는 단계에 의해 웨이퍼 표면에 금속 산화물 레이어를 ALD-증착시켜 웨이퍼의 표면을 패시베이팅(passivating)하는 단계를 더 포함한다. 단계 (i)와 (ii) 중 적어도 하나는 표면의 커버리지가 포화 레벨에 도달하기 전에 중단된다.
본 발명의 또 다른 실시예는 본 발명에 따른 방법에 따라 적어도 부분적으로 제조되는 태양 전지를 개시한다.
본 발명에 따른 방법은 아래에서 보다 자세하게 설명되는 실험들의 기초를 발견하고, 이는 놀랍게도(surprisingly) 부분-포화된(sub-saturated) ALD(즉, ALD는 주기-율(cycle-rate) 당 최대 성장(growth per cycle-rate; GPC; Å/cycle에서 측정된) 보다 작게 수행됨.)를 이용하여 증착된 금속 산화물 패시배이션(passivation) 레이어들이 우수한 패시배이션 특성을 제공할 수 있는 점을 나타내었다. 실험들은 두 개의 기체 전구체: 트리메틸알루미늄(trimethylaluminum; TMA) 및 오존(O3)을 이용하여 도포되는(applied) 알루미늄 산화물 레이어들과 관련이 있다. 그러나, 다른 물질들의 기타 금속 산화물 레이어들 및/또는 다른 전구체들을 이용하여 증착된 레이어들은 유사한 특성을 나타낼 수도 있다.
부분-포화된(sub-saturated) ALD의 프레임워크에서, 알루미늄 산화물 패시배이션 레이어의 증착 공정의 복수의 공정 파라미터들은 태양 전지의 유효 소수 전하 캐리어 수명에 확연한 영향을 주는 것으로 관찰된다. 이들 파라미터들은 패시배이션 레이어의 증착이 발생에 있어서의 웨이퍼 온도, 오존 전구체 노출/펄스동안에 노출된 기판에서의 오존 농도, 및 도포된(applied) 레이어의 두께를 포함한다.
증착 공정 파라미터들 범위의 신중한 선택은 태양 전지의 효율을 증폭시킬 수 있는 매우 유효한 패시배이션 레이어들을 형성할 수 있다. 유리하게(Advantageously), 이러한 파라미터들의 선택은 또한 비용을 낮추고 태양 전지 제조 공정의 전반적인 효율성을 증가시킬 수 있다.
이러한 것들 및 본 발명의 다른 특징 및 장점은 다음의 상세한 설명으로부터 더 잘 이해될 것이다.
삭제
삭제
삭제
삭제
이러한 것들 및 본 발명의 다른 특징 및 장점은 다음의 상세한 설명으로부터 더 잘 이해될 것이다.
도 1은 광선이 입사되는 본 발명에 따른 일실시예의 태양 전지의 개략적인 측-단면도이다;
도 2는 태양 전지의 후면에 도포되는 패시배이팅(passivating) Al2O3-레이어의 ALD 주기 시간에 태양 전지의 유효 소수 전하 캐리어 수명의 의존도를 설명하는 막대 그래프이다;
도 3은 ALD 증착 동안의 오존 전구체 펄스의 오존 농도의 변화 및 도포된에서 Al2O3-레이어의 전체 레이어 두께에 대한 태양 전지의 유효 소수 전하 캐리어 수명의 의존도를 설명하는 막대 그래프이다;
도 4는 TMA가 전구체로 이용되는 ALD 공정의 효율 곡선을 개략적으로 설명한다;
도 5는 표 1에 나열된 각각의 제조법에 대한 TMA 소비를 설명하는 막대 그래프이다;
도 6은 표 1에 나열된 각각의 제조법에 대한 주기 당 성장(growth per cycle; GPC)을 설명하는 막대 그래프이다;
도 7은 ALD 주기 시간에 대한 레이어 두께 균일성 및 레이어 성장 속도의 의존도를 나타내는 그래프이다;
도 8은 표 1에 나열된 각각의 제조법으로 제조된 웨이퍼들에 대한, 필름 두께의 웨이퍼-내 균일성(within-wafer; WiW)의 값들 (좌측 그래프) 및 필름 두께의 웨이퍼-투-웨이퍼 균일성(wafer-to-wafer; WtW)의 값들(우측 그래프)을 설명하는 두 개의 막대 그래프를 포함한다; 및
도 9는 필름 두께의 웨이퍼-내 균일성(WiW) 및 필름 두께의 웨이퍼-투-웨이퍼 균일성(WtW)를 평가하기 위한 원형 웨이퍼 표면의 레이어 두께 측정들의 위치들을 개략적으로 설명한다.
ALD는 정밀하게(precisely) 제어된 방식으로 얇은 레이어들의 증착을 허용하는 증착 방법이다. 일반적으로, ALD는 번갈아 반복적으로 기판에 도포되는 둘 이상의 기체 전구체들(gaseous precursor)을 이용한다. 기판의 표면이 모든 전구체들에 노출되는 일련의 순차적 단계들은 증착 주기(deposition cycle)로 불린다. 일반적으로 각 증착 주기는 원하는 레이어의 단일 모노레이어(monolayer)를 형성(grow)한다. 이는 ALD에서 레이어 성장은 화학 흡착(chemisorption), 전구체 분자의 추가적인 열 분해의 발생이 없는 화학 결합의 형성을 통해 전구체 분자가 기판 표면에 부착되는 공정에 의존한다는 사실에 기인한다. 화학 흡착은 전구체와 화학 결합이 가능한 모든 기판 표면 사이트가 커버될 때 자연적으로(naturally) 중단된다. 기판을 제2 전구체에 노출시키는 것은, 화학 흡착된 모든 제1 전구체가 반응되고 기판이 자가-제한 방식(self-limiting manner)으로 화학 흡착된 제2 전구체로 커버될 때까지, 솔리드(solid) 레이어의 형성 아래에서 제2 전구체와 화학 흡착된 제1 전구체의 화학 반응을 야기한다. 결과적으로, ALD는 고품질 레이어들에 의해 높은 등각(conformal) 코팅(coating)을 가능하게 하는 증착 방법이다. 이러한 특징은 다양한 산업, 특히 반도체, 및 더 구체적으로 태양 전지 산업에서 관심을 갖게 한다.
태양 전지 산업에서 하나의 ALD 응용은 태양 전지의 후면에 보호막 레이어들을 증착하는 것이다. 도 1은 이러한 패시배이션(passivation) 레이어와 같은 특징을 지닌 일실시예의 태양 전지의 개략적인 측-단면도 이다. 태양 전지는 전지의 제조 동안 '웨이퍼'로 불리는 결정 실리콘 바디(crystalline silicon body)를 포함한다. 바디에서 생성된 전기적 전류는 전지의 전면 및 후면에서 전기적 접합(electrical contacts)을 통해 추출된다. 전면의 접촉(contact) 구조는 넓게 배열된 금속 그리드의 형태로 제작되어 빛의 통과를 허용한다. 그리드의 개구 내에 전지의 전면의 표면은 안티-반사(anti-reflection) 코팅으로 제공되어 빛 반사를 최소화한다. 후면에서, 실리콘 바디는 알루미늄 산화물(aluminum oxide; Al2O3) 패시배이션 레이어로 제공되고, 이것의 주요 기능은 후면에서 생성된 소수 전하 캐리어들의 바람직하지 않은(undesired) 재결합을 방지하는 것이다. 패시배이션 레이어 위에는 전체 면적 금속 후면 접촉이 제공된다. 적절한 도핑을 통해, 실리콘 바디는 빛 수신 표면 근처의 p-n 접합으로 제공된다. 작동 중에, 전지에 대한 빛 입사는 p-n 접합, 즉 n-타입 이미터 및 p-타입 베이스의 양 면에서 전자-홀(electron (e-)-hole (h+)) 쌍들을 생성한다. 베이스에서 생성된 전자들은 p-n 접합을 거쳐 이미터 쪽으로 확산하고, 반면 이미터에서 생성된 홀들은 접합을 거쳐 베이스쪽으로 확산하고, 따라서 전지를 거쳐 전압을 생성한다.
전지의 전류 생성에 매우 중요한 것은 유효 소수 전하 캐리어 수명(τeff)이다. 여기서는 불필요한 세부 사항의 논의 없이, 수학적으로 τeff 는 필수적으로 두 가지 요소로 구성된다: 하나는 바디의 특성들 또는 전지의 부피(bulk)에 관련된 것, 및 다른 하나는 그것의 표면에 관련된 것. 후자의 구성 요소는 패시배이션 레이어의 특성들에 의해 결정되는 큰 범위이다.
패시배이션 레이어의 특성들을 최적화하기 위해, 즉 τeff의 각 구성요소를 최적화하기 위해, 다른 ALD 제조 방법을 이용하여 알루미늄 산화물 레이어가 실리콘 웨이퍼의 후면에 도포되는 실험들이 수행되었다. 모든 제조 방법의 공통점은 두 기체 전구체인 트리메틸알루미늄(trimethylaluminum; TMA) 및 오존(O3)에 표면을 대체(alternate) 및 반복된 노출을 하는 것이다. 복수의 증착 주기의 수행에 의해 30 nm까지의 두께를 가지는 레이어가 성장된다. 레이어의 증착에 따라, 태양 전지의 유효 소수 전하 캐리어 수명(τeff)이 결정된다.
실험들을 수행하는데 이용되는 실험적 셋업(experimental setup)은, 여기에 관련된 것에 있어서, 종래의 수직(vertical) 반도체 공정 퍼니스(furnace)를 포함한다. 퍼니스는 웨이퍼들의 배치(batch)를 수용하는 웨이퍼 보트(boat)를 수납(receiving)할 수 있는 열 반응 챔버(heatable reaction chamber)의 기능을 한다. 보트 내에서, 웨이퍼들은 상호간에(mutually) 공간적인 관계(spaced relationship)로 적층되어(stacked) 배열된다. 따라서 여러 개의 웨이퍼들은 동시에 처리되어, 그 이후에(afterwards) 상호적인 (웨이퍼-투-웨이퍼(wafer-to-wafer)) 비교를 허용한다. 모터 장치는 웨이퍼들의 증착 처리 동안 웨이퍼 보트를 회전시키는 데 제공된다. 전구체 및 퍼지(purge) 가스는 공급되고 가스 유량(flow) 제어를 위한 제어가능한 밸브들이 장착된, 일련의 도관(conduits)을 통해 반응 챔버로부터 배출(discharged)된다. TMA 전구체 가스 및 질소(nitrogen; N2) 퍼지 가스는, 오존(O3)이 산소 가스(O2)를 흡수하는 오존 발생기를 이용하여 합성되는 동안, 가압된 소스 컨테이너들로부터 직접적으로 배출(drawn)된다. 오존 발생기에 공급되지만 오존으로 변환되지 않은 산소는 오존에 대한 캐리어 가스로서 역할을 하고, 이는 또한 반응 챔버에도 공급된다.
이제 다른 ALD 공정으로 전환한다. 표 1은 세가지 다른 ALD 제조 방법:RCP1, RCP2, 및 RCP3 에 대한 노출 시간을 나열한다. 예를 들어, 표 1로부터 유추할 수 있듯이, RCP1은 웨이퍼의 후면(즉, 태양 전지의 후면)의 15초 동안 TMA 흐름, 14초 동안 퍼지 가스의 흐름, 30초 동안 오존 흐름, 및 10초 동안 다른 퍼지 가스에 대한 연속적인 노출을 포함한다. 표 1의 가장 오른쪽 열은 전구체 및 퍼지 가스 노출 시간들의 기간의 합에 따르는, 단일 ALD 증착 주기 초들의 기간-예를 들어 RCP1에 대해 69초-을 나열한다.
삭제
삭제
삭제
삭제
삭제
Figure 112011038217119-pat00001
개별 펄스 또는 단계 시간은 표 1에 주어진 값들을 넘어 더 줄어들 수도 있지만, 1초보다 작은 펄스 시간은 배치(batch) 반응기(reactor)에서 모든 기판들을 반응물(reactant)에 적절하게 노출시키는 것 또는 적절하게 반응기를 퍼징 퍼징(purging)하는 것에 유효하지 않다.
표 2는 표 1에서 언급된 세가지 제조 방법의 주요 공정 파라미터들을 나열한다. 이러한 파라미터들은 -표에서 좌측부터 오른쪽으로- TMA 펄스 또는 노출 동안의 반응 챔버로의 TMA의 질량 흐름율(mass flow rate)(분당 그램의), 오존 펄스 동안의 오존 발생기에 공급되는 산소의 질량 흐름율(분당 표준 리터의), 오존 발생기를 이탈하는(leaving) 산소 흐름의 오존 농도(입방 미터당 그램의), 증착 동안의 반응 챔버에 유지되는 압력 범위(밀리토르(millitorrs)의), 증착 동안의 웨이퍼 온도(섭씨 온도의), 및 증착된 알루미늄 산화물 레이어의 최종 레이어 두께(나노미터의)를 포함한다.
RCP2에 대해, 표 2는 두 가지 ALD 제조법 변형들: RCP2(1) 및 RCP2(2)를 나열한다. RCP2(1)는 300 g/m3의 대신에 220 g/m3의 더 낮은 오존 농도를 운용하는 점에서 표준 RCP2와 다르다. RCP2(2)는 30 nm의 대신에 20 nm의 더 작은 최종 레이어 두께에 영향을 주는 점에서 표준 제조법 RCP2와 다르다; 즉, RCP2(2)는 표준 RCP2에서 이용되는 ALD 증착 주기들 수의3분의 2만을 포함한다.
증착 동안 유지되는 웨이퍼 온도는 모든 나열된 ALD 제조법들에 대해 175 ℃이다. 이는 예비 실험들이 150-200 ℃의 범위의 온도가 가장 높게 관찰된 유효 소수 전하 캐리어 수명과 일치함을 나타내었기 때문이다. 200 ℃이상의 온도는 기판 표면의 해로운(detrimental) 산화를 불필요하게 촉진하는 것으로 보인다.
삭제
삭제
삭제
Figure 112011038217119-pat00002
도 2 및 도 3은 몇 가지 중요한 실험 결과를 제시한다. 도 2는 ALD 주기 시간이 생산된(resulting) 태양 전지의 유효 소수 전하 캐리어 수명 τeff 에 미치는 효과를 설명하는 막대 그래프이다. 그래프는 69, 23 및, 11초의 ALD 주기 시간(표1에 도시)에 대응하는 RCP1, RCP2 및 RCP3를 이용하여 제조된 패시배이팅 레이어를 가지는 태양 전지와 각각 관련이 있는 세 개의 막대를 나타낸다. 도 2의 그래프는 ALD 주기 시간이 증착된 레이어의 패시배이팅 특성에 영향을 미치는 인자임을 명백히 한다. 도 2로부터, RCP1으로부터 더 작은 ALD 주기 시간이 증가된 τeff . 를 형성할 수 있는 일반적인 관계가 유추된다. 각 제조법에 대해서 더 작은 ALD 주기 시간은 표 1에 특정 되었듯이, 웨이퍼 표면을 전구체 및 퍼지 가스에 더 짧게 노출시킨 것의 결과이다. 전구체 노출 시간의 감소, 특히 오존 노출 시간의 감소는 τeff . 에 대한 긍정적인 효과에 가장 크게 기여하는 것으로 널리 믿어지고 있다.
일반적으로, ALD 공정의 자기-제한(self-limiting) 반응들은 포화 레벨(saturation level)에 도달하기까지 각 전구체 노출의 기간의 증가에 따라 관찰되는 주기당 성장(growth per cycle; GPC, 일례로 Å/cycle로 측정되는)을 나타(yield)낸다. 포화는 전구체 노출 시간의 더 많은 증가로 GPC의 더 큰 증가의 부재(absence)가 개시(onset)되는 것이 특징이다. 이용된 ALD 제조법의 어느 것도 주기 레이트당 성장의 완전 포화 또는 최대치를 달성하지 못한다: 그것들은 모두 부분-포화된(sub-saturated) ALD로 간주된다. 필름들의 고품질에 대한 요인(responsible)으로 종종 간주되는 ALD의 자기-제한 속성 때문에, 이러한 효과적인 패시배이션 레이어들을 생성하는 부분-포화된 ALD를 발견하는 것은 놀라운 것이다.
도 3은 다른 RCP2 변화들에 대한 유효 소수 전하 캐리어 수명 데이터의 막대 그래프이다: RCP2 (표준), RCP2(1), 및 RCP2(2). 그래프는 τeff 가 오존 전구체 펄스들의 오존 농도(RCP2(1)) 및 증착된 레이어의 두께(RCP2(2))의 변화들 모두에 의존적이라는 것을 설명한다.
오존 전구체 펄스 동안의 오존 농도에 관한 것으로서, 실험으로부터 도출된 잠정적인(provisional) 결론은 높은 오존 농도는 τeff 에 역으로(adversely) 영향을 미친다는 점이다. 따라서, 최적의 τeff 를 달성하기 위한 오존 농도는 바람직하게는 0.1~500 g/m3의 범위, 더 바람직하게는 오존 농도가 100~300 g/m3의 범위 및, 가장 바람직하게는 오존 농도가 대략 220 g/m3인 것으로 보인다.
증착된 패시배이션 레이어의 두께를 고려하여, 실험으로부터 도출된 잠정적인 결론은 30 nm이상의 두께를 가지는 레이어들이 τeff 에 역으로 영향을 미친다는 점이다. 여기에 상세하게 제시되지 않은 추가적인 실험으로부터, 10 nm이하의(below) 두께를 가지는 레이어들은 적절한 패시배이션을 제공하지 못한다는 점이 추가적으로 도출된다. 따라서, 10-30 nm 범위의 레이어 두께, 및 보다 더 구체적으로(particularly) 15-25 nm 범위의 레이어 두께는 최적의 레이어 두께 값을 가지는 것(hold)으로 추측(conjectured)된다.
부분-포화된 ALD의 이용은 높은 유효 패시배이션 레이어들의 증착을 허용할 뿐만 아니라, 제조 공정의 비용을 떨어뜨린다. 더 구체적으로, 부분-포화된 ALD는 전구체들의 더 효율적인 이용을 가능하게 하고, 동시에 더 큰 웨이퍼 처리량(throughput)을 허용한다. 단점으로는, 부분-포화된 ALD의 이용은 레이어 두께 균일성의 하락을 수반한다는 점이다. 그러나, 앞으로 기술될 것이지만, 이러한 두께 균일성의 열화(deterioration)는 증착 공정의 최적화를 위하여 상술된 장점과 균형이 유지될 것이다. 이러한 점들은 도 4-8을 참조하여 설명될 것이다.
도 4는 상대적으로 비싼 전구체인 TMA가 이용되는, ALD 공정의 효율성 곡선을 개략적으로 도시한다. 'TMA 소비'로 표시된 선은 ALD 주기 시간의 함수로서 반응 챔버에 공급되는 TMA의 양을 나타낸다. TMA 소비가 ALD 주기 시간에 선형적으로 증가한다는 점은 명확할 것이다. 'GPC'로 표시된 곡선은, 포화 레벨에 도달할 때까지의 ALD 주기 시간과 함께 증가하는 주기 당 성장을 설명한다. 그러한 점에서, 주기당 성장-곡선은 수평을 유지한다. GPC를 TMA 소비로 나누어 얻어지는 효율 곡선은, 소비된 TMA의 유닛당 필름 두께 증가를 설명한다. 효율 곡선의 최적점은 포화 레벨에서 획득되는 ALD 주기 시간전에 알맞게 있다(lies well). 이는 어떤 두께의 필름이 효율적으로 성장하는 것이 목적일 때, 부분-포화된 ALD를 이용하는 것이 최선임을 의미한다.
삭제
삭제
도 5는 ALD 제조법들 RCP1, RCP2, 및 RCP3 각각의 TMA 소비를 나타내는 막대 그래프이다. 각 제조법에 대해, TMA 소비는, 하나의 나노미터 두께의 알루미늄 산화물 레이어를 갖는 웨이퍼 표면의 하나의 스퀘어(square) 미터를 커버하기 위해 요구되는, TMA의 마이크로그램들 수로 주어진다. RCP1, RCP2 및, RCP3에 대한 TMA 소비는 각각 98 mg/(nm·m2), 20 mg/(nm·m2), 및 14 mg/(nm·m2) 이다.
도 5에서 TMA 소비 값들은 실험 동안에 소스 컨테이너로부터 추출되는 TMA의 양에 기반한다. 소스 컨테이너로부터 추출되는 모든 TMA가 반응 챔버를 통해 라우트(route)되지는 않는다. 특히 RCP1에 따른 증착 동안에, 소스 컨테이너로부터 TMA의 흐름은, 반응 챔버로 라우트되기 전에 0.3 g/min (표 2에 도시)의 요구되는(desired) 질량 흐름 레이트를 획득하기 위한 디벨롭(develop) 시간을 허용한다. 특히, 이는 RCP1에 대해서만, 추출 또는 소비되는 TMA의 대략 40%가 실제로 반응 챔버로 전달되는 것을 의미한다. RCP2 및 RCP3에 따른 증착 동안의 TMA의 흐름은 완전히 디벨롭하기 위한 시간보다 적은 시간을 허용한다; 따라서, 반응 챔버에 공급되는 TMA 흐름은 표 1에 나열된 전체 노출 시간 동안의 최대 유량 레이트가 아니다. RCP2및 RCP3에 대해, 반응 챔버로 실질적으로 전달되는 소비된 TMA의 퍼센트는 90%이다. 이러한 효과는 펄스 길이의 감소와 결합될 필요가 있다. 도 5는 제조 법당 소스로부터 추출되는 TMA의 전체 양을 반영한다. RCP1은 단연코(by far) 가장 큰 TMA-소비 제조법이고, 반면에 RCP3은 최저 소비 제조법임이 관찰될 수 있다.
도 6은 각 ALD 제조법들에 대해 주기당 성장(GPC), 즉 하나의 ALD 주기로부터 야기되는 레이어 두께 증가를 나타내는 막대 그래프이다. 두께 증가는 옴스트롬(Angstrom (Å), 즉 0.1 nm로 주어진다. RCP1, RCP2, 및 RCP3에 대해서, GPC는 대략적으로 각각 0.90 Å, 0.87 Å, 및 0.78 Å 이다.
도 5 및 도 6으로부터, TMA 소비에 나누어진 GPC로 정의된, 증착 공정의 TMA 효율은 RCP1에 대해 최소이고 RCP3에 대해 최대임이 명백할 것이다. 더욱이, 표 1및 도 6으로부터 추론할 수 있듯이, RCP3은 상대적으로 빠른 레이어 증착 방법을 제공한다. 예를 들어, RCP3을 이용하여 30nm 레이어를 증착하는 것은 (30 nm / 0.78 Å=) 385 ALD 주기를 요구하고, 각 주기는 11초를 요구하므로 전체 ALD 증착 시간은 (385 * 11=)4235초, 즉 1시간 11분에 해당한다. 이와 대조적으로, RCP1을 이용하여 30nm 레이어를 증착하는 것은 (30 nm / 0.90 Å) 333 ALD 주기를 요구하고, 각 주기는 69초를 차지하므로 전체 ALD 증착 시간은 (333 * 69=)22977초, 즉 6시간 23분에 해당한다. 다시 말해서, RCP3은 RCP1보다 5배 이상 빠르다.
도 7은 처리된 표면을 거쳐 증착된 레이어의 두께에서 변화(하단 곡선) 및 ALD 주기 시간의 함수로서의 레이어 성장 레이트(상단 곡선)를 설명하는 그래프이다. 표 1에 나열된 제조법들에 해당하는 그래프에서의 점들에는 식별가능한 라벨이 제공된다. 즉, 라벨 'RCP1'은 ALD 주기 시간 69초 등에서의 점들을 지칭한다.
증착된 레이어에서의 두께 변화들은 웨이퍼-내 균일성(within-wafer uniformity; WiW)의 용어로 명시된다. WiW는 도 9에서 나타내는 웨이퍼 표면 위치들에서 표본이되는(sampled) 49 레이어 두께 측정들 집단의 상대적인 표본 표준 편차(relative sample standard deviation)이다. 도 7은 RCP1, RCP2, 및 RCP3가 대략적으로 각각 1.3%, 3%, 및 5%의 WiW를 가져오는 것을 나타낸다. 따라서 69초에서 11초로 ALD 주기 시간을 감소시키는 것은, 표 1의 분류(scheme)에 따라, 대략 3.5%의 상당한 WiW-감소(degradation)를 가져오는 것이 관찰된다. 상술한 약 10%의 WiW 값을 가지는 패시배이팅 레이어로 코팅된 웨이퍼들은 실용적인 태양 전지 응용에 대해 바람직하게는 피하게 된다. 따라서, 표 2의 공정 조건하에서는, 왼쪽에서 WiW가 급증(explode)하는 것처럼 보이는 수직 점선이 가리키는 10초 이상의 ALD 주기 시간을 이용하는 것이 바람직한 것으로 보인다.
삭제
삭제
삭제
도 7의 상단 곡선에 의해 제공되는 레이어 성장 레이트는 표 1 및 도 6으로부터의 데이터를 이용하여 계산된다. RCP3에 대해, 예를 들어, (60초/11초=)5.5 ALD 주기는 1분 내에(in a minute time) 실행(executed)될 수 있다. 각 ALD 주기는 0.78 Å의 레이어 두께 증가를 제공하므로, RCP3에 대한 전체 성장 레이트는 분당 (5.5·0.78 Å=) 4.3 Å에 해당한다.
도 7은 RCP1으로부터 시작해서, ALD 주기 시간은, 증착된 레이어의 WiW-값에 대한 과도한 영향(excessive repercussions)없이, 적어도 대략 RCP3의 ALD 주기 시간에 이르기까지(down to) 감소될 수 있다는 점을 명확히 한다. 이러한 후자의 제조법은, 상대적으로 높은, 그렇지 않다면 대략 5%의 허용(acceptable) WiW-값에서 최적의 성장 레이트를 허용하는 것을 보인다.
실험들은, 처리된 웨이퍼 표면에 가스 공정의 실질적으로 균일한(homogenous) 적용을 보장하기 위해, 보트 회전, 즉 수직 퍼니스(furnace)의 가스 주입기 출구에 대응하는 웨이퍼 보트의 회전을 이용하여 수행되었다는 점을 주의해야 한다. 전산 유체 역학 시뮬레이션들(Computational fluid dynamics simulations)은, 특히 RCP3의 순서(order)에 작은 ALD 주기 시간에 대해서, 보트 회전의 부재는 주입기 출구에 화학 기체 증착(CVD)을 초래하고, 주입기 출구에 웨이퍼 표면 말단(distal)의 사이드에 심각한 공정 가스 고갈(depletion)을 초래하는 것을 나타낸다. 이러한 고갈은 결국 용인할 수 없는 WiW-값들에 해당한다.
도 8은 두 개의 막대 그래프를 포함한다. 왼쪽 그래프는 앞서 도 7에 도시된 RCP1-3에 대한 WiW-데이터를 복제한 것이고, 이는 특히 오른쪽 그래프와의 데이터 비교를 위한 것이다. 이러한 후자의 그래프는 동일 배치(batch)의 부분으로 처리되는 웨이퍼들 사이의 평균 레이어 두께의 변화를 설명한다. 이러한 변화는, 동일 배치(batch)의 다른 위치상에 동시에 처리된 웨이퍼들의 평균 레이어 두께 측정들의 상대적인 표본 표준 편차인 웨이퍼-투-웨이퍼 균일성(wafer-to wafer uniformity; WtW)이라 불린다. 도 8로부터 추론될 수 있듯이, RCP3에 대해 획득한 WiW와 RCP1및 RCP2에 대해 획득한 WiW를 비교할 때, ALD 주기 시간을 줄이고, 그것에 의해 전구체 및 퍼지 펄스를 단축시키는 것은 어떤 점에서 WtW에서 증가에 의해 수반된다. RCP1 및 RCP2에 대한 WtW는 대략 1.5%인 반면에, RCP3에 대한 WtW는 두 배 이상 및 대략 4%로 저하되는 것이 관찰된다.
본 발명의 예시적인 실시예들이 상술되었지만, 부분적으로 수반하는 도면들을 참조하여, 본 발명은 이러한 실시예들에 제한되지 않는 것은 이해될 것이다. 개시된 실시예들의 변형들은, 도면들, 개시 내용, 및 출원된 청구항들의 연구로부터, 청구된 발명들의 기술분야에서 통상의 지식을 가진자에 의해 이해되거나 영향을 받을 수 있다. "일 실시예" 또는 "실시예"에 대한 이 명세서의 참조는 본 발명의 적어도 일 실시예에 포함된 실시예와 연결된 특정 기능, 구조 또는 특성을 의미한다. 따라서, 이 명세서를 전체에 걸친 다양한 분야들에서 "일 실시예에서" 또는 "실시예에서"의 구문들의 표현은 필수적으로 모두 동일한 실시예를 가리키는 것은 아니다. 또한, 일 또는 그 이상의 실시예들의 특정 기능들, 구조들, 또는 특징들은 명시적으로 기재된 실시예가 아닌, 새로운 것을 형성하는 어떤 적절한 방법으로 결합될 수 있다.
삭제
삭제
삭제
삭제
삭제
삭제
삭제
삭제
삭제
삭제

Claims (20)

  1. 적어도 500 μs 의 유효 소수 전하 케리어 수명(τeff)을 갖는 태양 전지 제조 방법에 있어서,
    반도체 웨이퍼를 제공하는 단계; 및
    금속 산화물 레이어를 상기 웨이퍼의 표면에 ALD-증착시켜, 상기 웨이퍼의 상기 표면을 패시베이팅(passivating)하는 단계로서:
    (i) 상기 표면을 제1 전구체(precursor)에 노출시켜 상기 제1 전구체를 갖는 상기 표면의 커버리지를 생성하는 단계; 및
    (ii) 상기 표면을 제2 전구체에 노출시켜 상기 제2 전구체를 갖는 상기 표면의 커버리지를 생성하는 단계
    를 순차적으로 교대하여(by sequentially and alternatingly) 상기 표면을 패시베이팅하는 단계
    를 포함하고,
    상기 (i) 및 (ii) 단계들 중 적어도 하나는 상기 표면의 상기 커버리지가 포화 레벨에 도달하기 전에 중단되는,
    태양 전지 제조 방법.
  2. 제1항에 있어서,
    상기 제1 전구체는 금속 전구체이고, 및/또는 상기 제2 전구체는 산화제(oxidant)인,
    태양 전지 제조 방법.
  3. 제1항 내지 제2항 중 어느 한 항에 있어서,
    상기 금속 산화물 레이어는 알루미늄 산화(Al2O3) 레이어인,
    태양 전지 제조 방법.
  4. 제1항에 있어서,
    상기 제1 전구체는 트리메틸알루미늄(TMA)을 포함하는,
    태양 전지 제조 방법.
  5. 제1항에 있어서,
    상기 제2 전구체는 오존(O3)을 포함하는,
    태양 전지 제조 방법.
  6. 제1항에 있어서,
    상기 웨이퍼는 실리콘 웨이퍼인,
    태양 전지 제조 방법.
  7. 제1항에 있어서,
    반응 챔버(reaction chamber)는 상기 웨이퍼의 상기 표면이 다른 전구체들에 노출되는 사이에 불활성 가스(일례로, 질소(N2))로 퍼지(purged)되는,
    태양 전지 제조 방법.
  8. 제1항에 있어서,
    상기 웨이퍼의 상기 표면은 비-산화제 전구체(일례로, 금속 전구체)에 제1 노출되는,
    태양 전지 제조 방법.
  9. 제1항에 있어서,
    상기 단계 (i)의 기간은 1-15초의 범위 내인,
    태양 전지 제조 방법.
  10. 제1항에 있어서,
    상기 단계 (ii)의 기간은 1-20초 범위 내인,
    태양 전지 제조 방법.
  11. 제5항에 있어서,
    단계 (ii) 동안 상기 웨이퍼의 상기 표면에 노출되는 상기 제2 전구체는 0.1-500 g/m3의 범위 내의 오존 농도를 가지는,
    태양 전지 제조 방법.
  12. 제7항에 있어서,
    상기 반응 챔버가 퍼지되는 동안의 시간 간격은 1-15초 범위 내인,
    태양 전지 제조 방법.
  13. 제1항에 있어서,
    상기 ALD 주기(cycle) 시간은 10-70초 범위 내인,
    태양 전지 제조 방법.
  14. 제1항에 있어서,
    상기 웨이퍼는 증착(deposition) 동안 150-200 ℃ 범위의 온도에서 유지되는,
    태양 전지 제조 방법.
  15. 제1항에 있어서,
    상기 웨이퍼는 100-200 mTorr의 범위 압력의 환경에 놓이는,
    태양 전지 제조 방법.
  16. 제1항에 있어서,
    상기 금속 산화물 레이어는 10-30 nm 범위 내의 두께를 가지는,
    태양 전지 제조 방법.
  17. 제1항에 있어서,
    상기 제1 및 제2 전구체의 실질적으로 균일한 전달을 보장(ensuring)하는 단계로서, 특히 증착 동안에 상기 웨이퍼를 회전시킴으로써 상기 균일한 전달을 보장하는 단계를 더 포함하는,
    태양 전지 제조 방법.
  18. 제1항에 있어서,
    상호간에 이격된 공간적인 관계(spaced apart relationship)로 적층되어(stacked) 배열되는 웨이퍼들의 배치(batch)는 반응 챔버에 제공되고,
    상기 웨이퍼들 각각은 표면을 가지며,
    상기 웨이퍼들의 상기 표면들은 모두 단계 (i)동안에는 상기 제1 전구체에 노출되고, 단계 (ii)동안에는 상기 제2 전구체에 노출되는,
    태양 전지 제조 방법.
  19. 제1항에 따른 태양 전지 제조 방법을 이용하여 적어도 부분적으로 제조되는,
    태양 전지.
  20. 제19항에 있어서,
    750 μs보다 큰 유효 소수 전하 캐리어 수명(τeff)을 가지는,
    태양 전지.
KR1020110048412A 2010-05-21 2011-05-23 태양 전지, 및 이를 제조하는 방법 KR101664504B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US34705910P 2010-05-21 2010-05-21
US61/347,059 2010-05-21

Publications (2)

Publication Number Publication Date
KR20110128254A KR20110128254A (ko) 2011-11-29
KR101664504B1 true KR101664504B1 (ko) 2016-10-10

Family

ID=44534880

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110048412A KR101664504B1 (ko) 2010-05-21 2011-05-23 태양 전지, 및 이를 제조하는 방법

Country Status (7)

Country Link
US (1) US8633050B2 (ko)
EP (3) EP3664165B1 (ko)
JP (1) JP5982098B2 (ko)
KR (1) KR101664504B1 (ko)
CN (1) CN102254987B (ko)
ES (2) ES2923774T3 (ko)
TW (1) TWI504012B (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
ES2923774T3 (es) * 2010-05-21 2022-09-30 Asm Int Nv Método de fabricación de una celda solar
JP5692842B2 (ja) * 2010-06-04 2015-04-01 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101860919B1 (ko) * 2011-12-16 2018-06-29 엘지전자 주식회사 태양 전지 및 이의 제조 방법
WO2013115275A1 (ja) * 2012-01-30 2013-08-08 京セラ株式会社 光電変換素子の製造方法および光電変換素子
CN104247045B (zh) * 2012-03-30 2017-04-26 京瓷株式会社 太阳能电池元件
TWI464888B (zh) * 2012-03-30 2014-12-11 Eternal Materials Co Ltd 太陽能電池的鈍化層及其製造方法
JP2014075440A (ja) * 2012-10-03 2014-04-24 Hyogo Prefecture 界面安定化膜を備えた太陽電池
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
WO2014080080A1 (en) * 2012-11-22 2014-05-30 Beneq Oy Method for fabricating a passivation film on a crystalline silicon surface
CN104201214A (zh) * 2014-08-21 2014-12-10 广东爱康太阳能科技有限公司 一种背面钝化太阳能电池及其制备方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US11396698B2 (en) * 2017-01-07 2022-07-26 Applied Materials, Inc. ALD process for NiO film with tunable carbon content
KR102541127B1 (ko) * 2017-09-05 2023-06-09 상라오 징코 솔라 테크놀러지 디벨롭먼트 컴퍼니, 리미티드 텐덤 태양전지 및 그 제조 방법
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050022863A1 (en) 2003-06-20 2005-02-03 Guido Agostinelli Method for backside surface passivation of solar cells and solar cells with such passivation
JP2008085327A (ja) * 2006-09-27 2008-04-10 Sino-American Silicon Products Inc 太陽電池および太陽電池を製造する方法
JP2009164544A (ja) * 2007-12-28 2009-07-23 Ind Technol Res Inst 太陽電池のパッシベーション層構造およびその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
EP1616043B1 (en) * 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US8008575B2 (en) * 2006-07-24 2011-08-30 Sunpower Corporation Solar cell with reduced base diffusion area
JP4228008B2 (ja) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 半導体装置の製造方法
CN101170139B (zh) * 2006-10-26 2010-07-14 中美矽晶制品股份有限公司 太阳能电池及其制造方法
CN101548392A (zh) * 2006-12-01 2009-09-30 夏普株式会社 太阳能电池及其制造方法
ES2923774T3 (es) * 2010-05-21 2022-09-30 Asm Int Nv Método de fabricación de una celda solar

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050022863A1 (en) 2003-06-20 2005-02-03 Guido Agostinelli Method for backside surface passivation of solar cells and solar cells with such passivation
JP2008085327A (ja) * 2006-09-27 2008-04-10 Sino-American Silicon Products Inc 太陽電池および太陽電池を製造する方法
JP2009164544A (ja) * 2007-12-28 2009-07-23 Ind Technol Res Inst 太陽電池のパッシベーション層構造およびその製造方法

Also Published As

Publication number Publication date
JP2011249813A (ja) 2011-12-08
CN102254987A (zh) 2011-11-23
EP4084093A1 (en) 2022-11-02
ES2758556T3 (es) 2020-05-05
ES2923774T3 (es) 2022-09-30
EP2388833A3 (en) 2018-01-31
US8633050B2 (en) 2014-01-21
TWI504012B (zh) 2015-10-11
EP4084093B1 (en) 2024-02-21
US20110284079A1 (en) 2011-11-24
EP4084093C0 (en) 2024-02-21
TW201145557A (en) 2011-12-16
KR20110128254A (ko) 2011-11-29
EP2388833A2 (en) 2011-11-23
CN102254987B (zh) 2017-06-06
EP2388833B1 (en) 2019-11-13
EP3664165B1 (en) 2022-06-29
JP5982098B2 (ja) 2016-08-31
EP3664165A1 (en) 2020-06-10

Similar Documents

Publication Publication Date Title
KR101664504B1 (ko) 태양 전지, 및 이를 제조하는 방법
EP2426233B1 (en) Use of dialkyl monoalkoxy aluminum for the growth of Al2O3 thin films for photovoltaic applications
JP5372909B2 (ja) 太陽電池の窒化シリコンパッシベーション
US8124502B2 (en) Semiconductor device manufacturing method, semiconductor device and semiconductor device manufacturing installation
EP2312650A2 (en) Solar Cell and Method of Fabricating the Same
JP4020748B2 (ja) 太陽電池の製造方法
JP2011501445A (ja) 薄膜太陽電池用途のための微結晶シリコン堆積
TW201037852A (en) Pulsed plasma deposition for forming microcrystalline silicon layer for solar applications
KR20110086833A (ko) 반도체 소자 제조 방법, 반도체 소자 및 반도체 소자 제조 설비
US20040097056A1 (en) Process and device for the deposition of an at least partially crystalline silicium layer on a substrate
KR20110040673A (ko) 태양 전지 및 그 제조방법
WO2012164163A1 (en) A method and a structure for protecting a passivating layer
WO2014083241A1 (en) Method for fabricating a passivation film on a crystalline silicon surface
CN117457806B (zh) 用于具有纳米柱结构的晶硅电池表面钝化层的制备方法
EP2426136B1 (en) Use of trialkylaluminum for the growth of Al2O3 thin films for photovoltaic applications
Onyegam et al. Amorphous/crystalline silicon heterojunction solar cells via remote plasma chemical vapor deposition: Influence of hydrogen dilution, rf power, and sample z-height position
JP2016197651A (ja) 薄膜及びその形成方法
AU2024203400A1 (en) Passivated contact structure and preparation method thereof, and solar cell and preparation method thereof
CN115000240A (zh) 隧穿氧化层钝化接触电池的制备方法以及钝化接触电池
EP2180531A1 (en) Semiconductor device manufacturing method, semiconductor device and semiconductor device manufacturing installation
WO2014080080A1 (en) Method for fabricating a passivation film on a crystalline silicon surface

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190917

Year of fee payment: 4