JP5982098B2 - 太陽電池およびその製造方法 - Google Patents

太陽電池およびその製造方法 Download PDF

Info

Publication number
JP5982098B2
JP5982098B2 JP2011126706A JP2011126706A JP5982098B2 JP 5982098 B2 JP5982098 B2 JP 5982098B2 JP 2011126706 A JP2011126706 A JP 2011126706A JP 2011126706 A JP2011126706 A JP 2011126706A JP 5982098 B2 JP5982098 B2 JP 5982098B2
Authority
JP
Japan
Prior art keywords
precursor
wafer
seconds
range
ald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011126706A
Other languages
English (en)
Other versions
JP2011249813A (ja
Inventor
ディーテル ピーレークス,
ディーテル ピーレークス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2011249813A publication Critical patent/JP2011249813A/ja
Application granted granted Critical
Publication of JP5982098B2 publication Critical patent/JP5982098B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1868Passivation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1876Particular processes or apparatus for batch treatment of the devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Sustainable Energy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Photovoltaic Devices (AREA)

Description

本発明は、半導体処理の分野に関し、特に、不動態化用の金属酸化物薄膜層が裏面に設けられた太陽電池の製造に関する。
シリコン太陽電池においては、少数電荷キャリアは、接点に達する前に、欠陥、不純物、表面損傷などにおいて再結合しうる。このような再結合は、太陽電池の電流出力を減らし、ひいてはその効率を低下させる。太陽電池の裏面における再結合の発生を減らすために、裏面に金属酸化物不動態化層、例えば酸化アルミニウム(Al)層、を設けることによって、裏面を不動態化しうる。不動態化が成功すると、少数電荷キャリアの有効ライフタイム(τeff)が伸びる。
本発明の目的は、少数電荷キャリアの有効ライフタイムが長い(すなわちτeff≧500μs)太陽電池の経済的生産を可能にする方法を提供することである。
したがって、本発明の一側面は、少数電荷キャリアの有効ライフタイムが少なくとも500μsである太陽電池の製造方法に関する。本方法は、半導体ウェーハを用意することを含む。本方法は、(i)ウェーハの表面を第1の前駆体に暴露することによって表面を第1の前駆体で被覆するステップと、(ii)この表面を第2の前駆体に暴露することによって表面を第2の前駆体で被覆するステップと、を順次かつ交互に行って表面上に金属酸化物層をALD堆積させてウェーハの表面を不動態化することをさらに含む。ステップ(i)および(ii)の少なくとも一方は、表面の被覆率が飽和レベルに達する前に停止される。
本発明の別の側面は、本発明による方法により少なくとも一部が製造される太陽電池に関する。
本発明による方法は、以下により詳細に説明する実験に根拠を見出せる。この実験は、驚くべきことに、亜飽和ALD、すなわちサイクル当たりの最大成長率(GPC;Å/サイクルで測定)未満で行われるALD、を用いて堆積された金属酸化物不動態化層は卓越した不動態化特性をもたらしうることを明らかにした。これらの実験は、2種類のガス状前駆体、すなわちトリメチルアルミニウム(TMA)とオゾン(O)、を用いて施された酸化アルミニウム層に関するものである。ただし、異なる材料から成る、および/または異なる前駆体を用いて堆積された、他の金属酸化物層も同様の特性を示しうることが推測される。
亜飽和ALDの枠組みの中で、酸化アルミニウム不動態化層の堆積プロセスの複数のプロセスパラメータは、太陽電池の少数電荷キャリアの有効ライフタイムに顕著な影響を及ぼすことが観察された。これらのパラメータは、不動態化層の堆積が起こるウェーハ温度と、オゾン前駆体暴露/パルス中に基板が暴露されるオゾン濃度と、施される層の厚さとを含む。
堆積プロセスのパラメータ範囲を注意深く選択することによって、太陽電池の効率向上が可能な極めて有効な不動態化層をもたらしうる。好都合なことに、このようなパラメータの選択は、コストの低減と太陽電池製造プロセスの総合的な効率向上とをさらにもたらしうる。
本発明の上記および他の特徴および利点は、以下の詳細説明からより完全に理解されるであろう。
少数電荷キャリアの有効ライフタイムが長い(すなわちτeff≧500μs)太陽電池の経済的生産が可能になる。
太陽光が入射している、本発明による例示的太陽電池の概略側断面図である。 不動態化Al層が裏面に施された太陽電池の少数電荷キャリアの有効ライフタイムがALDサイクル時間に依存することを示す棒グラフである。 太陽電池の少数電荷キャリアの有効ライフタイムがALD堆積中のオゾン前駆体パルスのオゾン濃度における変化と施されたAl層の全層厚とに依存することを示す棒グラフである。 TMAが前駆体として使用されるALDプロセスの効率曲線を模式的に示すグラフである。 表1に記載された各製法についてのTMA消費を示す棒グラフである。 表1に記載された各製法についてのサイクル当たりの成長(GPC)を示す棒グラフである。 層厚の均一性と層の成長率の両方がALDサイクル時間に依存することを示すグラフである。 表1に記載の各製法で処理されたウェーハについてウェーハ内の膜厚均一性(WiW)の値(左のグラフ)とウェーハ間の膜厚均一性(WtW)の値(右のグラフ)とを示す2つの棒グラフを含む図である。 ウェーハ内の膜厚均一性(WiW)とウェーハ間の膜厚均一性(WtW)とを評価するために層厚を測定した円形ウェーハの表面上の位置を模式的に示す図である。
ALDは、精密に制御された方法での薄層の堆積を可能にする堆積方法である。一般に、ALDは、2種類以上のガス状前駆体を用い、これらを交互に繰り返し基板に施す。基板の表面を全ての前駆体に暴露する一連の順次ステップを堆積サイクルと称する。各堆積サイクルは、一般に、所望される層の単一の単分子層を成長させる。これは、ALDにおいては、層の成長は化学吸着によるという事実による。化学吸着とは、前駆体分子が化学結合の形成によって、前駆体分子のさらなる熱分解を発生させずに、基板の表面に付着するプロセスである。化学吸着は、前駆体との化学結合に利用可能な全ての基板表面部位が覆われると、自然に停止する。基板を第2の前駆体に暴露すると、第2の前駆体と化学吸着された第1の前駆体との化学反応によって固体層が形成される。この化学反応は、化学吸着された第1の前駆体の全てが反応し、基板が化学吸着された第2の前駆体によって覆われると自己限定的に終了する。したがって、ALDは、複数の高品質層による高度な相似被覆を可能にする堆積方法である。これらの特性は、ALDをさまざまな業界、特に半導体業界、より具体的には太陽電池業界、で注目される方法にしている。
太陽電池業界におけるALDの用途の1つは、太陽電池の裏面への不動態化層の堆積である。図1は、このような不動態化層を特徴とする例示的太陽電池の概略側横断面である。この太陽電池は、結晶シリコン本体を備える。結晶シリコン本体は、電池の製造中、「ウェーハ」と称される。本体内で生成された電流は、電池の前面および裏面の電気接点を介して取り出される。フロント接点構造は、光の通過を可能にするために広い間隔の金属格子の形態で作製される。電池前面の格子の開口部内には、光の反射を最小化するための反射防止塗装が施される。シリコン本体の裏面には、酸化アルミニウム(Al)の不動態化層が設けられる。不動態化層の主機能は、生成された少数電荷キャリアの裏面での望ましくない再結合を防止することである。不動態化層の上に、全面金属バック接点が設けられる。適正なドーピングにより、シリコン本体の受光面近くにpn接合が設けられる。動作中、電池への入射光は、電子(e)と正孔(h)の対をpn接合の両側に、すなわちn型エミッタとp型ベースの両方に、生じさせる。ベースで発生した電子がpn接合を越えてエミッタ側に拡散する一方で、エミッタで発生した正孔がpn接合を越えてベース側に拡散するため、電池の両端に電圧が生じる。
電池の電流生成に極めて重要なことは、少数電荷キャリアの有効ライフタイムτeffである。ここでは不要な詳細には踏み込まないが、数学的にτeffは基本的に2つの構成要素で構成され、そのうちの1つは電池の本体またはバルクの特性に関係し、もう1つはその表面に関係することに注目されたい。不動態化層の特性によって大きく決まるのは後者の構成要素である。
τeffのそれぞれの構成要素を最適化するように不動態化層の特性を最適化するために、複数の実験を実施した。これらの実験においては、さまざまなALDプロセス製法を用いて、酸化アルミニウム層をシリコンウェーハの裏面に施した。全ての製法に共通することは、表面を2種類のガス状前駆体、すなわちトリメチルアルミニウム(TMA)とオゾン(O)、に交互にかつ繰り返し暴露したことである。複数の堆積サイクルを実行することによって、最大厚30nmの層を成長させた。この層を堆積させた後に、太陽電池の少数電荷キャリアの有効ライフタイムτeffを求めた。
これらの実験の実施に用いた実験装置は、ここで関連するものに限ると、従来の縦型半導体処理炉を備える。この炉は、1回分のウェーハを収容したウェーハボートを受け入れることができる加熱可能な反応室を特徴とする。ボート内には、これらのウェーハが相互に離隔された関係で積み重ねられる。これにより、複数のウェーハが同時に処理されるので、後で相互(ウェーハ間)比較が可能である。ウェーハの堆積処理中にウェーハボートを回転させるためにモータ装置が設けられている。ガス流制御用の制御可能な弁を備えた一連の導管を通じて、前駆体およびパージガスは反応室に供給され、また反応室から放出される。TMA前駆体ガスと窒素(N)パージガスとは加圧ソース容器から直接引き込まれるが、オゾン(O)はオゾン発生器を用いて酸素ガス(O)から合成される。オゾン発生器に供給されてもオゾンに変換されなかった酸素は、オゾンのキャリアガスとして役立つため、同じく反応室に供給される。
次にさまざまなALDプロセスに移る。表1は、3つの異なるALD製法、すなわちRCP1、RCP2、およびRCP3、の暴露時間を示している。例えば、表1から推測できるように、RCP1では、ウェーハの裏面(すなわち太陽電池の裏面)をTMA流に連続15秒間暴露し、パージガス流に連続14秒間暴露し、オゾン流に連続30秒間暴露し、別のパージガス流に連続10秒間暴露する。表1の右端の列は、単一のALD堆積サイクルの継続時間を秒単位で示す。例えばRCP1の場合は69秒である。これは、前駆体およびパージガスへの暴露時間の合計である。
個々のパルスまたはステップ時間は表1に示された値より大幅に短縮可能であるが、バッチ反応炉内の全ての基板を反応物に十分に暴露するには、または反応炉を十分にパージするには、1秒未満のパルス時間は有効でない。
表2は、表1に記載の3つの製法の主なプロセスパラメータの一覧である。これらのパラメータは、表の左から右に、TMAパルスまたは暴露中に反応室内に流入するTMAの質量流量(1分当たりのグラム数)、オゾンパルス中にオゾン発生器に供給される酸素の質量流量(1分当たりの標準リットル数)、オゾン発生器を離れる酸素流内のオゾン濃度(1立方メートル当たりのグラム数)、堆積中に反応室内で維持される圧力範囲(ミリトル単位)、堆積中のウェーハ温度(℃)、および堆積された酸化アルミニウム層の最終層厚(ナノメートル単位)を含む。
RCP2について、表2は、ALD製法の2つの変形例RCP2(1)およびRCP2(2)を示している。RCP2(1)が標準RPC2と異なる点は、オゾン濃度が300g/mではなく、220g/mとより低いことである。RCP2(2)が標準製法RCP2と異なる点は、最終層厚が30nmではなく、20nmとより薄いことである。すなわち、RCP2(2)は、標準RCP2で用いられるALD堆積サイクル数の3分の2で済む。
堆積中に維持されるウェーハ温度は、列挙されている全てのALD製法において175℃である。この理由は、予備実験において、観察された最も高い少数電荷キャリアの有効ライフタイムτeffは150〜200℃の範囲内の温度に合致していたからである。200℃を超える温度は、基板表面の有害な酸化を無用に促進すると思われる。
図2および図3は、いくつかの重要な実験結果を提示している。図2は、得られる太陽電池の少数電荷キャリアの有効ライフタイムτeffに対するALDサイクル時間の影響を示す棒グラフである。このグラフは、不動態化層がそれぞれRCP1、RCP2、およびRCP3を用いて製造された、すなわちALDサイクル時間69秒、23秒、および11秒(表1を参照)に対応する、太陽電池に関する3つの棒を示す。図2のグラフは、ALDサイクル時間は、堆積された層の不動態化特性に影響する要因であることを明らかにしている。図2から推測される一般的な関係は、ALDサイクル時間がRCP1から短くなるほど、τeffが増加しうることである。各製法において、ALDサイクル時間が短くなると、表1に示されているように、前駆体およびパージガスへのウェーハ表面の暴露時間が短くなる。前駆体への暴露時間の短縮、特にオゾンへの暴露時間の短縮、は、τeffに対してプラス効果をもたらす最も重要な要因であると現在考えられている。
一般に、ALDプロセスの自己限定的反応は、飽和レベルに達するまでは、それぞれの前駆体への暴露時間と共に増加することが観察されるサイクル当たりの成長(GPC、例えばÅ/サイクルで測定)をもたらす。飽和は、前駆体への暴露時間をさらに延長してもGPCのさらなる増加が起こらなくなることによって特徴付けられる。使用したALD製法の何れもがサイクル当たりの完全飽和または最大成長率に到達しない。すなわち、これらの製法は、亜飽和ALDに関する。膜の高品質を担うのは(飽和)ALDの自己限定性であると多くの場合見なされていることから、亜飽和ALDがこのような効果的な不動態化層を生成することを見出すことは驚きである。
図3は、RCP2のさまざまな変形例、すなわちRCP2(標準)、RCP2(1)、およびRCP2(2)、についての少数電荷キャリアの有効ライフタイムデータを示す棒グラフである。このグラフは、τeffがオゾン前駆体パルスのオゾン濃度(RCP2(1))と堆積された層の厚さ(RCP2(2))の両方に依存することを示している。
オゾン前駆体パルス中のオゾン濃度に関する限りにおいては、上記実験から引き出された暫定的結論によると、高オゾン濃度は、τeffに悪影響をもたらす。したがって、最適なτeffを達成するには、オゾン濃度は0.1g/mから500g/mの範囲が好ましく、オゾン濃度は100g/mと300g/mの間の範囲がより好ましく、オゾン濃度は約220g/mであることが最も好ましい。
堆積された不動態化層の厚さに関しては、上記実験から引き出された暫定的結論によると、厚さ30nm超の層はτeffに悪影響を及ぼす。本願明細書には詳細に提示されていない追加の実験からは、厚さ10nm未満の層は適正な不動態化をもたらしえないことがさらに導き出されている。したがって、最適な層厚値は、層厚範囲10〜30nm、特に層厚範囲15〜25nm、であると推測される。
亜飽和ALDの使用は、極めて効果的な不動態化層の堆積を可能にするばかりでなく、製造プロセスのコスト低減も可能にする。より具体的には、亜飽和ALDは前駆体の使用効率の向上を可能にすると同時に、ウェーハ処理能力の向上を可能にする。マイナス面では、亜飽和ALDの使用は、層厚の均一性の低下を伴う。ただし、図示されているように、層厚の均一性のこのような低下は、堆積プロセスを最適化するように、上記の利点によって釣り合いを取りうる。これらの点を図4〜図8を参照して説明する。
図4は、比較的高価なTMAを前駆体として用いたALDプロセスの効率曲線を模式的に示す。「TMA消費」というラベルが付けられた線は、反応室に供給されたTMAの量をALDサイクル時間の関数として示す。TMA消費はALDサイクル時間と共に直線的に増加することが明らかであろう。「GPC」というラベルが付けられた曲線は、サイクル当たりの成長を示す。GPCは、飽和レベルに達するまでは、ALDサイクル時間と共に増加する。飽和レベルに達すると、サイクル当たりの成長曲線は横ばいになる。効率曲線は、GPCをTMA消費で割ることによって得られ、消費されたTMA単位当たりの膜厚増分を示す。効率曲線の最適値は、飽和レベルに達するALDサイクル時間よりかなり前にある。すなわち、一定の厚さの膜を効率的に成長させることが目的であれば、亜飽和ALDの使用が最適である。
図5は、RCP1、RCP2、およびRCP3の各ALD製法のTMA消費を示す棒グラフである。各製法について、1平方メートルのウェーハ表面を1ナノメール厚の酸化アルミニウム層で覆うために必要なTMA消費がマイクログラム数で示されている。RCP1、RCP2、およびRCP3のTMA消費は、それぞれ98mg/(nm・m)、20mg/(nm・m)および14mg/(nm・m)である。
図5のTMA消費値は、実験中にソース容器から取り出されたTMA量に基づく。ソース容器から取り出されたTMAの全量が反応室に通された訳ではなかった。特にRCP1による堆積中、ソース容器からのTMA流は、反応室に送られる前に所望の質量流量である0.3g/min(表2を参照)を実現するために、発達時間が与えられた。事実上、これは、RCP1については、取り出された、または消費された、TMAの約40%のみが実際に反応室に供給されたことを意味する。RCP2およびRCP3による堆積中、TMA流には十分な発達時間が与えられなかった。したがって、反応室に供給されたTMA流は、表1に示されている全暴露時間中、最大流量ではなかった。RCP2およびRCP3については、反応室に実際に供給された消費TMAの割合は、90%であった。この影響は、パルス長の短縮と組み合わせる必要がある。図5は、製法ごとにソースから取り出される総TMA量を反映している。RCP1はTMA消費量が圧倒的に最大の製法であり、RCP3は消費量が最小の製法であることが観察される。
図6は、各ALD製法についてのサイクル当たりの成長(GPC)、すなわち1ALDサイクルによってもたらされる層厚の増分を示す棒グラフである。厚さ増分は、オングストローム(Å)、すなわち0.1nm、で与えられている。RCP1、RCP2、およびRCP3のGPCは、それぞれ約0.90Å、約0.87Å、および約0.78Åである。
図5および図6から、GPCをTMA消費で除算した値として定義される堆積プロセスのTMA効率は、RCP1が最も低く、RCP3が最も高いことが明らかであろう。さらに、表1および図6から推測できるように、RCP3は相対的に高速な層堆積方法をもたらす。例えば、RCP3を用いると、30nmの層堆積に(30nm/0.78Å=)385ALDサイクルを要し、各サイクルは11秒を要することから、総ALD堆積時間は(385・11=)4235秒、すなわち1時間と11分、になる。これに対し、RCP1を用いると、30nmの層堆積に(30nm/0.90Å=)333ALDサイクルを要し、各サイクルは69秒かかることから、総ALD堆積時間は(333・69=)22977秒、すなわち6時間と23分、になる。換言すると、RCP3は、RCP1の5倍高速である。
図7は、処理された表面全体に堆積された層の厚さのばらつき(下側の曲線)と層成長率のばらつき(上側の曲線)とをALDサイクル時間の関数として示すグラフである。表1に示されている各製法に対応するグラフ内の点には、識別ラベルが付けられている。すなわち、ラベル「RCP1」は、ALDサイクル時間69秒における点を指す等である。
堆積された層の厚さのばらつきは、ウェーハ内の均一性(WiW)として規定される。WiWは、図9に示されているウェーハ表面の位置で抽出された49の層厚測定値の母集団の相対的な試料標準偏差である。図7は、RCP1、RCP2、およびRCP3がそれぞれ約1.3%、約3%、および約5%のWiWをもたらすことを示す。したがって、ALDサイクル時間を69秒から11秒に短縮すると、表1の組み合わせによると、WiWが約3.5%と大きく低下することが観察される。太陽電池の実際の用途のためには、WiW値が約10%を超える不動態化層で被覆されたウェーハは避けることが好ましい。したがって、表2の処理条件下では、鉛直の破線で示されている10秒を超えるALDサイクル時間を用いることが好ましいと思われる。この破線の左側では、WiWが急上昇すると思われる。
図7の上側の曲線で示されている層成長率は、表1および図6からのデータを用いて計算された。例えば、RCP3については、1分以内に(60秒/11秒=)5.5ALDサイクルを実行可能である。各ALDサイクルは、0.78Åの層厚増分をもたらすので、RCP3の総成長率は1分当たり(5.5Å0.78Å=)4.3Åになる。
図7は、堆積された層のWiW値に過度な影響を及ぼすことなく、ALDサイクル時間をRCP1から少なくともほぼRCP3のALDサイクル時間まで短縮しうることを明らかにしている。この後者の製法は、最適とは言えないまでも、相対的に高い成長率を約5%という許容可能なWiW値で可能にすると思われる。
ここで、上記実験は、処理対象のウェーハ表面にプロセスガスがほぼ均一に確実に施されるように、ボートの回転を用いて、すなわち縦型炉のガス注入器出口に対してウェーハボートを回転させることによって、実施されたことに注目されたい。計算流体力学シミュレーションは、特にRCP3級の短いALDサイクル時間については、ボートを回転させないと、化学気相堆積(CVD)が注入器出口において発生し、注入器出口から遠位のウェーハ表面側においてプロセスガスの深刻な枯渇をもたらすであろうことを示している。このような枯渇は、許容不能なWiW値をもたらすであろう。
図8は、2つの棒グラフを含む。左側のグラフは、特に右側のグラフのデータとの比較のために、図7に既に示されているRCP1〜3のWiWデータを再製したものである。右側のグラフは、同じ1回分の一部として処理されたウェーハ間の平均層厚のばらつきを示す。このばらつきは、ウェーハ間の均一性(WtW)と称される。WtWは、同じバッチ内の異なる位置で同時に処理された複数のウェーハの平均層厚測定値の相対的な試料標準偏差である。図8から推測できるように、RCP3について得られたWtWをRCP1およびRCP2について得られたWtWと比較すると、ALDサイクル時間の短縮と、これに伴う前駆体およびパージパルスの短縮とにより、場所によってはWtWが増加している。RCP1およびRCP2のWtWがほぼ1.5%であるのに対し、RCP3のWtWは倍以上であり、約4%に悪化していることが観察される。
添付図面を一部参照しながら本発明の例示的実施形態を上で説明してきたが、本発明はこれらの実施形態に限定されないことを理解されたい。当業者は、図面、開示、および添付の特許請求の範囲を検討することにより、特許請求された本発明を実施するにあたって、開示されている実施形態の変形例を理解し、かつ実現できる。本願明細書全体を通して、「1つの実施形態」または「一実施形態」への言及は、その実施形態に関して記載されている特定の特徴、構造、または特性が本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本願明細書のさまざまな箇所における「1つの実施形態において」または「一実施形態において」という句の出現は、必ずしも全てが同じ実施形態に言及しているとは限らない。さらに、明示的には説明されていない新しい実施形態を形成するために、1つ以上の実施形態の特定の特徴、構造、または特性を何れか適切な方法で組み合わせうることに注目されたい。

Claims (31)

  1. 少数電荷キャリアの有効ライフタイム(τeff)が少なくとも500μsである太陽電池を製造する方法であって、
    半導体ウェーハを用意することと、
    金属酸化物層を前記表面にALD堆積することによって前記ウェーハの表面を不動態化することであって、前記ALD堆積は、
    (i)前記表面を第1の前駆体に暴露することによって前記表面を前記第1の前駆体で被覆するステップと、
    (ii)前記表面を第2の前駆体に暴露することによって前記表面を前記第2の前駆体で被覆するステップと、
    を順次かつ交互に行うことによって実施されることと、
    を含み、
    ステップ(i)および(ii)の少なくとも一方は、前記表面の前記被覆が飽和レベルに達する前に停止される方法。
  2. 前記第1の前駆体は金属前駆体である、および/または前記第2の前駆体は酸化物である、請求項1に記載の方法。
  3. 前記金属酸化物層は酸化アルミニウム(Al)層である、請求項1乃至2の何れか1項に記載の方法。
  4. 前記第1の前駆体はトリメチルアルミニウム(TMA)を含む、請求項1乃至3の何れか1項に記載の方法。
  5. 前記第2の前駆体はオゾン(O)を含む、請求項1乃至4の何れか1項に記載の方法。
  6. 前記ウェーハは、シリコンウェーハである、請求項1乃至5の何れか1項に記載の方法。
  7. 異なる前駆体への前記ウェーハの前記表面の暴露の間に、反応室は不活性ガスでパージされる、請求項1乃至6の何れか1項に記載の方法。
  8. 前記ウェーハの前記表面は最初に非酸化物前駆体に暴露される、請求項1乃至7の何れか1項に記載の方法。
  9. ステップ(i)の継続時間は1〜15秒の範囲である、請求項1乃至8の何れか1項に記載の方法。
  10. ステップ(ii)の継続時間は1〜20秒の範囲である、請求項1乃至9の何れか1項に記載の方法。
  11. 前記第2の前駆体は、オゾン(O)を含み、前記ステップ(ii)中に前記ウェーハの前記表面が暴露される前記第2の前駆体は、0.1〜500g/mの範囲のオゾン濃度を有する、請求項1乃至10の何れか1項に記載の方法。
  12. 異なる前駆体への前記ウェーハの前記表面の暴露の間に、前記反応室は不活性ガスでパージされ、前記反応室のパージ期間は、1〜15秒の範囲である、請求項1乃至11の何れか1項に記載の方法。
  13. 前記ALDサイクル時間は、10〜70秒の範囲である、請求項1乃至12の何れか1項に記載の方法。
  14. 堆積中、前記ウェーハは150〜200℃の範囲内の温度に維持される、請求項1乃至13の何れか1項に記載の方法。
  15. 反応室で行われ、堆積中の前記反応室の圧力は、100〜200mTorrの範囲である、請求項1乃至14の何れか1項に記載の方法。
  16. 前記金属酸化物層は10〜30nmの範囲内の厚さを有する、請求項1乃至15の何れか1項に記載の方法。
  17. 前記ウェーハ表面への前記第1および第2の前駆体のほぼ均一な供給を、堆積中の前記ウェーハの回転によって、保証することをさらに含む、請求項1乃至16の何れか1項に記載の方法
  18. 互いに離隔された関係で積み重ねられて配置された1回分のウェーハが前記反応室内に設けられ、前記ウェーハの各々は表面を有し、前記ウェーハの前記表面の全てがステップ(i)中に前記第1の前駆体に暴露され、ステップ(ii)中に前記第2の前駆体に暴露される、請求項1乃至17の何れか1項に記載の方法。
  19. 前記ステップ(i)の継続時間は、1〜10秒の範囲である、請求項9に記載の方法
  20. 前記ステップ(i)の継続時間は、1〜5秒の範囲である、請求項19に記載の方法
  21. 前記ステップ(ii)の継続時間は、1〜10秒の範囲である、請求項10に記載の方法。
  22. 前記ステップ(ii)の継続時間は、1〜5秒の範囲である、請求項21に記載の方法。
  23. 前記ステップ(ii)中に前記ウェーハの前記表面が暴露される前記第2の前駆体は、100〜300g/m の範囲のオゾン濃度を有する、請求項11に記載の方法。
  24. 前記ステップ(ii)中に前記ウェーハの前記表面が暴露される前記第2の前駆体は、220g/m のオゾン濃度を有する、請求項23に記載の方法。
  25. 前記反応室のパージ期間は、1〜10秒の範囲である、請求項12に記載の方法。
  26. 前記反応室のパージ期間は、1〜5秒の範囲である、請求項25に記載の方法。
  27. 前記ALDサイクル時間は、10〜25秒の範囲である、請求項13に記載の方法。
  28. 前記ALDサイクル時間は、10〜15秒の範囲である、請求項27に記載の方法。
  29. 前記金属酸化物層は、15〜25nmの範囲内の厚さを有する、請求項16に記載の方法。
  30. 前記不活性ガスは、窒素(N である、請求項に記載の方法。
  31. 前記非酸化物前駆体は、金属前駆体である、請求項に記載の方法。
JP2011126706A 2010-05-21 2011-05-20 太陽電池およびその製造方法 Active JP5982098B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US34705910P 2010-05-21 2010-05-21
US61/347,059 2010-05-21

Publications (2)

Publication Number Publication Date
JP2011249813A JP2011249813A (ja) 2011-12-08
JP5982098B2 true JP5982098B2 (ja) 2016-08-31

Family

ID=44534880

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011126706A Active JP5982098B2 (ja) 2010-05-21 2011-05-20 太陽電池およびその製造方法

Country Status (7)

Country Link
US (1) US8633050B2 (ja)
EP (3) EP3664165B1 (ja)
JP (1) JP5982098B2 (ja)
KR (1) KR101664504B1 (ja)
CN (1) CN102254987B (ja)
ES (3) ES2758556T3 (ja)
TW (1) TWI504012B (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
EP3664165B1 (en) * 2010-05-21 2022-06-29 ASM International N.V. Method of manufacturing a solar cell
JP5692842B2 (ja) * 2010-06-04 2015-04-01 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101860919B1 (ko) * 2011-12-16 2018-06-29 엘지전자 주식회사 태양 전지 및 이의 제조 방법
WO2013115275A1 (ja) * 2012-01-30 2013-08-08 京セラ株式会社 光電変換素子の製造方法および光電変換素子
EP2833418B1 (en) * 2012-03-30 2016-12-14 Kyocera Corporation Solar cell element
TWI464888B (zh) * 2012-03-30 2014-12-11 Eternal Materials Co Ltd 太陽能電池的鈍化層及其製造方法
JP2014075440A (ja) * 2012-10-03 2014-04-24 Hyogo Prefecture 界面安定化膜を備えた太陽電池
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
WO2014080080A1 (en) * 2012-11-22 2014-05-30 Beneq Oy Method for fabricating a passivation film on a crystalline silicon surface
CN104201214A (zh) * 2014-08-21 2014-12-10 广东爱康太阳能科技有限公司 一种背面钝化太阳能电池及其制备方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US11396698B2 (en) * 2017-01-07 2022-07-26 Applied Materials, Inc. ALD process for NiO film with tunable carbon content
KR102541127B1 (ko) * 2017-09-05 2023-06-09 상라오 징코 솔라 테크놀러지 디벨롭먼트 컴퍼니, 리미티드 텐덤 태양전지 및 그 제조 방법
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2020222853A1 (en) 2019-05-01 2020-11-05 Lam Research Corporation Modulated atomic layer deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
CN1777697B (zh) * 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7659475B2 (en) * 2003-06-20 2010-02-09 Imec Method for backside surface passivation of solar cells and solar cells with such passivation
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
US8008575B2 (en) * 2006-07-24 2011-08-30 Sunpower Corporation Solar cell with reduced base diffusion area
JP4228008B2 (ja) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 半導体装置の製造方法
TWI320974B (en) * 2006-09-27 2010-02-21 Sino American Silicon Prod Inc Solar cell and method of fabircating the same
CN101170139B (zh) * 2006-10-26 2010-07-14 中美矽晶制品股份有限公司 太阳能电池及其制造方法
CN101548392A (zh) * 2006-12-01 2009-09-30 夏普株式会社 太阳能电池及其制造方法
TW200929575A (en) * 2007-12-28 2009-07-01 Ind Tech Res Inst A passivation layer structure of the solar cell and the method of the fabricating
EP3664165B1 (en) * 2010-05-21 2022-06-29 ASM International N.V. Method of manufacturing a solar cell

Also Published As

Publication number Publication date
KR101664504B1 (ko) 2016-10-10
EP3664165B1 (en) 2022-06-29
EP2388833B1 (en) 2019-11-13
CN102254987A (zh) 2011-11-23
EP2388833A2 (en) 2011-11-23
KR20110128254A (ko) 2011-11-29
ES2923774T3 (es) 2022-09-30
EP2388833A3 (en) 2018-01-31
JP2011249813A (ja) 2011-12-08
TWI504012B (zh) 2015-10-11
US8633050B2 (en) 2014-01-21
US20110284079A1 (en) 2011-11-24
TW201145557A (en) 2011-12-16
CN102254987B (zh) 2017-06-06
EP4084093A1 (en) 2022-11-02
EP4084093B1 (en) 2024-02-21
EP4084093C0 (en) 2024-02-21
ES2974490T3 (es) 2024-06-27
EP3664165A1 (en) 2020-06-10
ES2758556T3 (es) 2020-05-05

Similar Documents

Publication Publication Date Title
JP5982098B2 (ja) 太陽電池およびその製造方法
US20190249303A1 (en) Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
JP5372909B2 (ja) 太陽電池の窒化シリコンパッシベーション
JP4020748B2 (ja) 太陽電池の製造方法
US20130069207A1 (en) Method for producing a deposit and a deposit on a surface of a silicon substrate
US20140127887A1 (en) Chemical Vapor Deposition System
US20120178209A1 (en) Methods Of Forming Metal-Containing Structures, And Methods Of Forming Germanium-Containing Structures
TWI495120B (zh) 光電元件及其製造方法
US20140014965A1 (en) Chemical vapor deposition system with in situ, spatially separated plasma
CN104037264B (zh) 一种pecvd沉积低表面复合太阳电池介电层的方法
KR20110040673A (ko) 태양 전지 및 그 제조방법
WO2014083241A1 (en) Method for fabricating a passivation film on a crystalline silicon surface
TW201308633A (zh) 保護鈍化層之方法及結構
US11996286B2 (en) Silicon precursors for silicon nitride deposition
US20220084817A1 (en) Silicon oxide deposition method
CN114551640A (zh) 太阳能电池制作方法及太阳能电池
US20090317982A1 (en) Atomic layer deposition apparatus and method for preparing metal oxide layer
CN117457806B (zh) 用于具有纳米柱结构的晶硅电池表面钝化层的制备方法
JP2024109927A (ja) パッシベーションコンタクト構造及びその製造方法、太陽電池並びにその製造方法
CN112908846A (zh) 形成半导体结构的方法及半导体结构
TWI481049B (zh) 光伏元件及其製造方法
WO2014080080A1 (en) Method for fabricating a passivation film on a crystalline silicon surface
KR20190126631A (ko) 증착 장치 및 박막 증착 방법
KR20150014060A (ko) 산화알루미늄막이 형성된 실리콘 및 이의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140516

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150217

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160705

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160801

R150 Certificate of patent or registration of utility model

Ref document number: 5982098

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250