TWI476891B - Technique for interconnecting integrated circuits - Google Patents

Technique for interconnecting integrated circuits Download PDF

Info

Publication number
TWI476891B
TWI476891B TW098138144A TW98138144A TWI476891B TW I476891 B TWI476891 B TW I476891B TW 098138144 A TW098138144 A TW 098138144A TW 98138144 A TW98138144 A TW 98138144A TW I476891 B TWI476891 B TW I476891B
Authority
TW
Taiwan
Prior art keywords
circuit
slave
address
integrated circuit
die
Prior art date
Application number
TW098138144A
Other languages
Chinese (zh)
Other versions
TW201029144A (en
Inventor
Gary L Miller
Ronald W Stence
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of TW201029144A publication Critical patent/TW201029144A/en
Application granted granted Critical
Publication of TWI476891B publication Critical patent/TWI476891B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19107Disposition of discrete passive components off-chip wires

Description

用於互連積體電路之技術Technology for interconnecting integrated circuits

本申請案係關於積體電路,且更特定言之係關於互連積體電路。This application relates to integrated circuits, and more particularly to interconnected integrated circuits.

本申請案已在2008年11月10日作為專利申請案第12/267725號於美國提出申請。This application was filed in the United States as a patent application No. 12/267,725 on November 10, 2008.

已存在用於互連多於一個積體電路晶粒以形成一單個封裝裝置之許多理由。一種使用係增加用於一給定封裝之記憶體。另一使用係組合通常在一起使用,但很難利用對於兩者有效之一處理程序而製造的兩個晶粒。一實例係用於行動電話之一邏輯電路及一RF電路。有時存在必須解決之互連問題或干擾問題。總之,有時存在因為正在實施之晶粒的特定組合而需解決之問題。不管多重晶粒之組合的理由為何,為了克服存在具有多重晶粒之一需要的事實,存在所引起之問題。在一單個晶粒上組合多種功能性之能力仍有限,所以與多重晶粒相關聯之問題持續存在。There are many reasons for interconnecting more than one integrated circuit die to form a single packaged device. One use adds memory for a given package. Another use system combination is typically used together, but it is difficult to utilize two dies that are manufactured for one of the two effective processing procedures. An example is for one of the logic circuits of a mobile phone and an RF circuit. Sometimes there are interconnection problems or interference problems that must be solved. In summary, there are sometimes problems that need to be addressed due to the specific combination of grains being implemented. Regardless of the reason for the combination of multiple crystal grains, there is a problem caused in order to overcome the fact that there is a need for one of the multiple crystal grains. The ability to combine multiple functionalities on a single die is still limited, so the problems associated with multiple grains continue to exist.

因此,存在用於互連多重晶粒之改良技術之一需要。Therefore, there is a need for an improved technique for interconnecting multiple dies.

本發明係藉由實例圖解說明且其並不由附圖限制,在附圖中相似參考指示類似元件。為了簡單及清楚起見,圖解說明在圖式中之元件且該等元件並無必要按比例繪製。The invention is illustrated by way of example and not by the accompanying drawings, For the sake of simplicity and clarity, the elements in the drawings are illustrated and are not necessarily drawn to scale.

在一態樣中,兩個積體電路晶粒(其等每一者具有一處理核心及一機上記憶體)係互連及封裝在一起以形成一多晶片模組。該第一晶粒被認為係主要的且該第二晶粒被認為係次要的。其等經由一中間基板連接在一起。該等第一與第二晶粒可為相同設計且因此具有相同資源(諸如週邊設備及記憶體)且較佳地具有一共用系統互連協定。視需要在操作之大部分期間停用該第二晶粒之核心或將該第二晶粒之核心置於一減少電力模式。該第一晶粒包含用於互連至該第二晶粒之最小電路。該第二晶粒具有至少某種所需之介面電路及一位址轉譯器。結果係如同該記憶體及其它資源係在該第一晶粒上一般,該第一晶粒之核心可執行與該第二積體電路之記憶體及其它資源的交易。作為一原型使用時此尤其有益。吾人在使用原型做過試驗後最終覺得想要的各種特徵可輕易地包含於在大量生產中使用之一單個晶粒中。因此,若可等到原型之試驗執行完後再完成量產裝置的設計,則為量產所做的特徵最佳化會更適合且更及時。此有益於早期軟體開發及產品原型建立。此可參考圖式及下文描述而得以更好地理解。In one aspect, two integrated circuit dies (each of which has a processing core and an on-board memory) are interconnected and packaged together to form a multi-chip module. The first die is considered to be predominant and the second die is considered to be secondary. They are connected together via an intermediate substrate. The first and second dies may be of the same design and thus have the same resources (such as peripherals and memory) and preferably have a common system interconnection protocol. The core of the second die is deactivated or placed in a reduced power mode during most of the operation as needed. The first die includes a minimum circuit for interconnecting to the second die. The second die has at least some desired interface circuitry and an address translator. The result is that the memory and other resources are generally on the first die, and the core of the first die can be in transactions with the memory and other resources of the second integrated circuit. This is especially beneficial when used as a prototype. After testing with the prototype, we finally felt that the various features we wanted could easily be included in one of the individual grains used in mass production. Therefore, if the design of the mass production device can be completed after the prototype test is completed, the feature optimization for mass production will be more suitable and timely. This is good for early software development and product prototype building. This can be better understood with reference to the drawings and the description below.

繪示於圖1中的是一封裝裝置10,該封裝裝置10包括一積體電路晶粒12、一積體電路晶粒14及一中間基板16。積體電路12包括一系統互連18、一核心20、一DMA 22、一主控電路24、一組態暫存器26、一週邊設備28、一非揮發性記憶體(NVM) 30、一靜態隨機存取記憶體(SRAM) 32、一從屬電路34、一解碼器36、一外部端子38、一外部端子40、一外部端子42及一外部端子44。積體電路14包括一系統互連46、一核心48、一DMA 50、一主控電路52、一解碼器54、一組態暫存器56、一週邊設備58、一NVM 60、一SRAM 62、一從屬電路64、一外部端子66、一外部端子68、一外部端子70及一外部端子72。在此實例中,積體電路晶粒12及14係相同設計。雖然系統互連18及46相同係不必要的,但較佳的是系統互連18及46具有相同協定。此一系統互連之一實例係縱橫式系統互連。因為可較簡單地達成添加資源至該縱橫式系統,所以此一系統係一很好的實例。核心20及48如處理單元般作用且其等各自連接至系統互連18及46。在此實例中,晶粒12係如一主控般作用之主要晶粒且晶粒14係如一從屬般作用之次要晶粒。週邊設備28及58可為各種各樣的功能電路。一實例係一類比至數位轉換器。該等外部端子係用於直接外部連接至該晶粒,該等外部端子係該晶粒之一部分。Illustrated in FIG. 1 is a package device 10 that includes an integrated circuit die 12, an integrated circuit die 14 and an intermediate substrate 16. The integrated circuit 12 includes a system interconnect 18, a core 20, a DMA 22, a main control circuit 24, a configuration register 26, a peripheral device 28, a non-volatile memory (NVM) 30, and a A static random access memory (SRAM) 32, a slave circuit 34, a decoder 36, an external terminal 38, an external terminal 40, an external terminal 42, and an external terminal 44. The integrated circuit 14 includes a system interconnection 46, a core 48, a DMA 50, a main control circuit 52, a decoder 54, a configuration register 56, a peripheral device 58, an NVM 60, and an SRAM 62. A slave circuit 64, an external terminal 66, an external terminal 68, an external terminal 70 and an external terminal 72. In this example, the integrated circuit dies 12 and 14 are of the same design. Although system interconnects 18 and 46 are identical, it is preferred that system interconnects 18 and 46 have the same protocol. An example of such a system interconnect is a crossbar system interconnect. This system is a good example because it is relatively simple to add resources to the crossbar system. Cores 20 and 48 function as processing units and are each connected to system interconnects 18 and 46. In this example, the die 12 is a master die-like primary die and the die 14 is a slave-like secondary die. Peripheral devices 28 and 58 can be a wide variety of functional circuits. An example is an analog to digital converter. The external terminals are for direct external connection to the die, and the external terminals are part of the die.

關於晶粒12,系統互連18係在系統互連18之一主控埠21處連接至核心20,在系統互連18之一主控埠23處互連至DMA 22,在系統互連18之一主控埠25處互連至主控電路24,在系統互連18之一主控埠27處互連至組態暫存器26,在系統互連18之一從屬埠29處互連至週邊設備28,在系統互連18之一從屬埠31處連接至NVM 30,在系統互連18之一從屬埠33處連接至SRAM 32及在系統互連18之一從屬埠35處連接至從屬電路34。主控電路52連接至在此實例中並不外部連接至晶粒12之外部端子66及68。為了功能之清晰,組態暫存器26繪示為直接連接至解碼器36,但該組態暫存器26實際上係經由系統互連18連接至解碼器36。外部端子42連接至從屬電路34及連接至中間基板16。外部端子44連接至組態暫存器26及中間基板16。從屬電路34係用於連接至該次要晶粒。主控電路24連接至核心20。中間基板16係用於將晶粒12及14在電及結構二者上連接在一起。連接至繪示為系統互連18之較上部分的該等資源係連接至主控埠且在系統互連18之較下部分上之該等資源係連接至從屬埠。因此,核心20、DMA 22及主控電路24係在主控埠處可通信地耦合至系統互連18。週邊設備28、NVM 30、SRAM 32、從屬電路34及組態暫存器26係在從屬埠處可通信地耦合至系統互連18。使一微控制器具有分為具有從屬埠及主控埠之一系統互連在本技術中係熟知的。With respect to die 12, system interconnect 18 is coupled to core 20 at one of system interconnects 21 of system interconnect 18, and interconnected to DMA 22 at one of system interconnects 23, at system interconnect 18 One of the masters 25 is interconnected to the master circuit 24, interconnected to the configuration register 26 at one of the system interconnects 18, and interconnected at one of the system interconnects 18 To the peripheral device 28, to the NVM 30 at one of the system interconnects 18, to the SRAM 32 at one of the system interconnects 18, and to the slave 埠35 at one of the system interconnects 18 Slave circuit 34. Main control circuit 52 is coupled to external terminals 66 and 68 that are not externally connected to die 12 in this example. For clarity of function, the configuration register 26 is shown as being directly connected to the decoder 36, but the configuration register 26 is actually connected to the decoder 36 via the system interconnect 18. The external terminal 42 is connected to the slave circuit 34 and to the intermediate substrate 16. The external terminal 44 is connected to the configuration register 26 and the intermediate substrate 16. A slave circuit 34 is used to connect to the secondary die. The main control circuit 24 is connected to the core 20. The intermediate substrate 16 is used to connect the dies 12 and 14 together in both electrical and structural terms. The resources connected to the upper portion of system interconnect 18 are connected to the master and the resources on the lower portion of system interconnect 18 are connected to the slave. Thus, core 20, DMA 22, and master control circuit 24 are communicatively coupled to system interconnect 18 at the master control port. Peripheral device 28, NVM 30, SRAM 32, slave circuitry 34, and configuration register 26 are communicatively coupled to system interconnect 18 at the slave. It is well known in the art to have a microcontroller divided into system interconnects having slaves and masters.

關於晶粒14,系統互連46係連接至核心48、DMA 50、主控電路52、解碼器54、組態暫存器56、週邊設備58、NVM 60、SRAM 62、從屬電路64。主控電路52連接至外部端子66及68。外部端子66及68連接至中間基板16。為了功能之清晰,解碼器54繪示為直接連接至組態暫存器56,但該解碼器54實際上係經由系統互連46連接至組態暫存器56。組態暫存器56連接至外部端子70。從屬電路64連接至外部端子72。外部端子70及72並不連接至晶粒14外之電路。經由中間基板16連接至主控電路52之從屬電路34及組態暫存器26將晶粒12建立為主要的且將晶粒14建立為次要的。核心48、DMA 50、主控電路52係在主控埠處可通信地耦合至系統互連18。週邊設備58、NVM 60、SRAM 62、從屬電路64及組態暫存器56係在從屬埠處可通信地耦合至系統互連18。With respect to die 14, system interconnect 46 is coupled to core 48, DMA 50, master circuit 52, decoder 54, configuration register 56, peripheral device 58, NVM 60, SRAM 62, slave circuit 64. Main control circuit 52 is connected to external terminals 66 and 68. The external terminals 66 and 68 are connected to the intermediate substrate 16. For clarity of function, the decoder 54 is shown as being directly connected to the configuration register 56, but the decoder 54 is actually connected to the configuration register 56 via the system interconnect 46. The configuration register 56 is connected to the external terminal 70. The slave circuit 64 is connected to the external terminal 72. External terminals 70 and 72 are not connected to circuitry external to die 14. The slave circuit 34 and the configuration register 26 connected to the master circuit 52 via the intermediate substrate 16 establish the die 12 as primary and establish the die 14 as secondary. Core 48, DMA 50, master control circuitry 52 are communicatively coupled to system interconnect 18 at the master control port. Peripheral device 58, NVM 60, SRAM 62, slave circuit 64, and configuration register 56 are communicatively coupled to system interconnect 18 at the slave.

在操作中,核心20可存取連接至系統互連18之資源以及可存取連接至系統互連46之週邊設備58、NVM 60及SRAM 62。解碼器36解碼該系統互連以將外部端子44提供晶粒12係主要的資訊的該控制資訊載入組態暫存器。該控制資訊經由中間基板16由外部端子68接收及因此而由主控電路接52接收作為一組態信號C。主控電路52係用於接收來自作為該主控之該主要晶粒的交易請求。從屬電路34經由中間基板16及外部端子66控制與主控電路52之交易T。例如,若核心20選擇存取SRAM 62,則該交易經由系統互連18傳達至從屬電路34。從屬電路將該交易T傳達至主控電路52。主控電路52接著經由系統互連46執行關於SRAM 62之交易。該交易自主控電路52傳達回來至從屬電路34及使用系統互連18自從屬電路34傳達至核心20。此進一步參考圖2解釋。In operation, core 20 has access to resources connected to system interconnect 18 and peripheral devices 58, NVM 60, and SRAM 62 that are accessible to system interconnect 46. The decoder 36 decodes the system interconnect to load the control information that the external terminal 44 provides the primary information of the die 12 into the configuration register. The control information is received by the external terminal 68 via the intermediate substrate 16 and is thus received by the master control circuit 52 as a configuration signal C. The master control circuit 52 is for receiving a transaction request from the primary die that is the master. The slave circuit 34 controls the transaction T with the master circuit 52 via the intermediate substrate 16 and the external terminal 66. For example, if core 20 chooses to access SRAM 62, the transaction is communicated to slave circuit 34 via system interconnect 18. The slave circuit communicates the transaction T to the master control circuit 52. The master control circuit 52 then performs the transaction with respect to the SRAM 62 via the system interconnect 46. The transaction master control circuit 52 communicates back to the slave circuit 34 and uses the system interconnect 18 to communicate from the slave circuit 34 to the core 20. This is further explained with reference to FIG. 2.

在圖2中更詳細地繪示裝置10之一部分。在圖2及亦在圖1中繪示的是系統互連18、從屬電路34、組態暫存器26、中間基板16、主控電路52、系統互連46、核心48及外部端子42、44、66及68。從屬電路34包括從屬邏輯74及一通信交握電路76。從屬邏輯74經由一第一介面連接至系統互連18及經由一第二介面連接至通信交握電路76。主控電路52包括一通信交握電路78、一位址轉譯電路80及主控邏輯82。通信交握電路78係經由一第一介面連接至外部端子66及經由一第二介面連接至位址轉譯電路80。主控邏輯82係經由一第一介面連接至位址轉譯電路80及經由一第二介面連接至系統互連。位址轉譯電路及核心48係經由外部端子68及44連接至組態暫存器26。從屬邏輯74與系統互連18介接,以知曉與晶粒14執行何種交易,及當正執行一交易時,耦合必要資訊(諸如位址及資料)。通信交握電路76與通信交握電路78通訊,使得其等之間的信號係及時且同步的。One portion of the device 10 is shown in more detail in FIG. 2 and also shown in FIG. 1 are system interconnect 18, slave circuit 34, configuration register 26, intermediate substrate 16, main control circuit 52, system interconnect 46, core 48 and external terminal 42, 44, 66 and 68. Slave circuit 34 includes slave logic 74 and a communication handshake circuit 76. Slave logic 74 is coupled to system interconnect 18 via a first interface and to communication handshake circuit 76 via a second interface. The main control circuit 52 includes a communication handshake circuit 78, an address translation circuit 80, and a master control logic 82. The communication handshake circuit 78 is coupled to the external terminal 66 via a first interface and to the address translation circuit 80 via a second interface. The master logic 82 is coupled to the address translation circuitry 80 via a first interface and to the system interconnect via a second interface. The address translation circuitry and core 48 are coupled to the configuration register 26 via external terminals 68 and 44. Dependent logic 74 interfaces with system interconnect 18 to know what transactions are to be performed with die 14, and to couple necessary information (such as address and data) while a transaction is being executed. The communication handshake circuit 76 communicates with the communication handshake circuit 78 such that the signals between them are timely and synchronized.

核心20已存取連接至系統互連46之該等資源,且因此該核心20已加倍供其處理之資源。在增加記憶體(諸如NVM 60及SRAM 62)之案例中,相較於僅使用連接至系統互連18之該記憶體所需要的位址空間,積體電路12亦必須能增加相對應的位址空間。因為一微控制器機載系統記憶體之數量遠遠少於該核心之定址能力,所以此很少成為一問題。期望核心20具有至少32位元及可能64位元或甚至128位元之定址能力。即使具有僅32位元之低定址能力,能夠定址之記憶體位址的數量超過四十億。若在每一位址中存在一位元組,則將具有定址超過四十億位元組(gigabyte)記憶體的能力。但是,在此同時,積體電路14中之該記憶體的位址空間與積體電路12中之記憶體的位址空間相同。如此,為了處理積體電路14之記憶體作為額外記憶體,當核心20正定址積體電路14之記憶體時,必須存在一位址轉譯。此繪示於圖3中。如此該主要記憶體(該主要記憶體係在該主要微控制器中之記憶體,即在此實例中之積體電路12)佔據一位址映射內之一第一位址範圍,且該次要記憶體(該次要記憶體係在該次要微控制器中之記憶體,即在此實例中之積體電路14)佔據該位址映射內之一第二位址範圍。如在圖3中繪示,此相同方法論同樣適用於使用該等週邊設備。在積體電路14之一資源係處理為至積體電路12之資源之複製資源的情況下,則不需要轉譯。The core 20 has accessed the resources connected to the system interconnect 46, and thus the core 20 has doubled the resources for its processing. In the case of adding memory (such as NVM 60 and SRAM 62), integrated circuit 12 must also be able to increase the corresponding bit compared to the address space required to use only the memory connected to system interconnect 18. Address space. This is rarely a problem because the amount of memory on a microcontroller's onboard system is much less than the addressability of the core. Core 20 is expected to have an addressing capability of at least 32 bits and possibly 64 bits or even 128 bits. Even with a low addressability of only 32 bits, the number of address addresses that can be addressed exceeds four billion. If there is a tuple in each address, it will have the ability to address more than four billion gigabytes of memory. However, at the same time, the address space of the memory in the integrated circuit 14 is the same as the address space of the memory in the integrated circuit 12. Thus, in order to process the memory of the integrated circuit 14 as additional memory, when the core 20 is addressing the memory of the integrated circuit 14, there must be an address translation. This is illustrated in Figure 3. Thus the primary memory (the memory of the primary memory system in the primary microcontroller, ie, the integrated circuit 12 in this example) occupies one of the first address ranges within the address mapping, and the secondary The memory (the memory of the secondary memory system in the secondary microcontroller, i.e., the integrated circuit 14 in this example) occupies one of the second address ranges within the address map. As illustrated in Figure 3, this same methodology is equally applicable to the use of such peripheral devices. In the case where one of the resources of the integrated circuit 14 is processed as a copy resource to the resource of the integrated circuit 12, no translation is required.

當在該次要晶粒上之一資源(諸如SRAM 62)係當作一複製資源處理時,該資源取代該主要晶粒上之相同資源SRAM 32。在操作中,核心20將跨系統互連18存取與SRAM 32相關聯的位址空間,然而經由從屬1電路34、中間基板16、主控2電路52及系統互連46將該存取轉移至SRAM 62。在此操作中,並不要求位址轉譯,但是停用與SRAM 32相關聯的位址解碼邏輯。When a resource (such as SRAM 62) on the secondary die is treated as a copy resource, the resource replaces the same resource SRAM 32 on the primary die. In operation, core 20 will access the address space associated with SRAM 32 across system interconnect 18, however the access will be transferred via slave 1 circuit 34, intermediate substrate 16, master 2 circuit 52, and system interconnect 46. To SRAM 62. In this operation, address translation is not required, but the address decoding logic associated with SRAM 32 is disabled.

對於一操作實例,若用於一寫入之一位址將最終傳達至SRAM 62,則通信交握電路78必須準備接收該位址。在組態暫存器26之控制下,位址轉譯80執行必要之轉譯。在晶粒12及晶粒14係相同設計之此實例中,晶粒14之由解碼器36為該記憶體分配的記憶體空間(諸如NVM 60或SRAM 62)不同於由晶粒14辨識之記憶體空間。因此,需要一轉譯。如此組態暫存器26傳達轉譯所需的。因此位址轉譯電路80執行由組態暫存器26命令之轉譯。主控邏輯82接收來自位址轉譯電路80之該經轉譯的位址且該主控邏輯82與系統互連46協商以執行該經命令之交易。在組態暫存器26之命令下,核心48置於一較低電力模式。在啟動期間,核心48可處於作用中,但在完成啟動之後,核心48可下降電力以節省電力。在此實例中,轉譯由該次要晶粒執行,但轉譯可替代為由該主要晶粒執行。如在圖2中所繪示,位址轉譯電路80可在從屬邏輯74與通信交握76之間移動。For an example of operation, if one address for a write will eventually be communicated to SRAM 62, then communication handshake circuit 78 must be ready to receive the address. Under the control of the configuration register 26, the address translation 80 performs the necessary translation. In this example in which the die 12 and the die 14 are identically designed, the memory space (such as NVM 60 or SRAM 62) of the die 14 that is allocated by the decoder 36 for the memory is different from the memory identified by the die 14. Body space. Therefore, a translation is required. The register 26 is configured to communicate the translations needed. The address translation circuit 80 therefore performs the translations commanded by the configuration register 26. Master logic 82 receives the translated address from address translation circuitry 80 and the master logic 82 negotiates with system interconnect 46 to execute the commanded transaction. Under the command of the configuration register 26, the core 48 is placed in a lower power mode. During startup, core 48 may be active, but after completion of startup, core 48 may drop power to conserve power. In this example, the translation is performed by the secondary die, but the translation may instead be performed by the primary die. As depicted in FIG. 2, address translation circuitry 80 can be moved between slave logic 74 and communication handshake 76.

在晶粒14將資訊往回提供至晶粒12之案例中,主控邏輯82接收來自系統互連46之資訊且耦合該資訊至位址轉譯電路80。在組態暫存器26之命令下,位址轉譯電路80執行任何所需之轉譯。使通信交握電路與交握電路76協調以適當地將該資訊傳達至邏輯74。接著邏輯74與系統互連協商以經由系統互連使該資訊到達核心20。In the case where die 14 provides information back to die 12, master logic 82 receives information from system interconnect 46 and couples the information to address translation circuitry 80. Under the command of the configuration register 26, the address translation circuit 80 performs any required translations. The communication handshake circuit is coordinated with the handshake circuit 76 to properly communicate the information to the logic 74. Logic 74 then negotiates with the system interconnect to cause the information to reach core 20 via the system interconnect.

此操作容許核心20使用連接至系統互連46之晶粒14的資源。如此,可運行多種實驗來決定用於積體電路之一下一代之資源的最佳組合。因為用現有積體電路運行實驗,由該等積體電路已經及可能改良製造能力,所以期望縮短具有此等資源之一新組合的一積體電路上市之時間。This operation allows core 20 to use resources connected to die 14 of system interconnect 46. As such, a variety of experiments can be run to determine the optimal combination of resources for one of the next generation of integrated circuits. Since it is possible and possible to improve the manufacturing capability by running the experiment with the existing integrated circuit, it is desirable to shorten the time to market for an integrated circuit having a new combination of such resources.

在圖4中繪示的是以一剖面圖示之一完成的裝置10,其繪示經由中間基板16彼此耦合之晶粒12及14且用一密封劑(諸如一模製化合物(諸如酚醛環氧樹脂))密封該裝置10。為了理解之簡單易用起見,繪示代表接觸件(可亦稱作端子),但可存在許多更多接觸件用於一真實裝置。晶粒端子可為(例如)焊料、金或一導電有機材料(諸如銀填充環氧樹脂或塗佈有一導體的一環氧型球體)。如所繪示的是一散熱件86以用於將熱從晶粒12耦合至一封裝基板84。中間基板16將晶粒12及14之端子彼此連接以及連接至封裝基板84之一頂表面。一晶粒至晶粒連接的一實例係晶粒12之一端子104經由一通孔98連接至晶粒14之一端子102。另一實例係晶粒14之端子106經由一通孔100連接至晶粒12之端子108。通孔98及100可係通過中間基板16之電鍍孔。介於晶粒14與中間基板16之間之一連接的一實例係一端子110經由一導電線120連接至中間基板16之一墊118。晶粒14同樣具有連接至中間基板16之一中間基板墊的一端子114。以同樣的方式,晶粒12具有連接至中間基板16之墊的連接112及116。在此實例中,在連接至晶粒12或晶粒14之墊的中間基板16上的墊係藉由焊線(諸如藉由焊線111)連接至封裝基板84,該焊線111將中間基板16之墊118連接至焊料球90。該等焊線結合腳架(landing)連接至在封裝基板84之底部上的焊料球。繪示於圖4中之該封裝基板84的底部上的其他例示性焊料球係焊料球92、94及96。中間基板16可由矽或一些其它材料(諸如一陶瓷,例如氮化鋁)製成。散熱件86可由一金屬(諸如銅)或具有良好熱傳送之另一類型材料製成。對於散熱件86,所需之目標係良好熱傳送及匹配熱膨脹之係數。Illustrated in FIG. 4 is a device 10 completed in one of the cross-sectional illustrations showing the dies 12 and 14 coupled to one another via an intermediate substrate 16 and using a sealant such as a molding compound such as a phenolic ring. Oxygen resin)) The device 10 is sealed. For ease of understanding, the representative contacts (which may also be referred to as terminals) are shown, but there may be many more contacts for a real device. The die terminal can be, for example, solder, gold or a conductive organic material such as a silver filled epoxy or an epoxy type sphere coated with a conductor. As shown, a heat sink 86 is used to couple heat from the die 12 to a package substrate 84. The intermediate substrate 16 connects the terminals of the dies 12 and 14 to each other and to one of the top surfaces of the package substrate 84. One example of a die-to-die connection is that one of the terminals 104 of the die 12 is connected to one of the terminals 102 of the die 14 via a via 98. Another example is that the terminal 106 of the die 14 is connected to the terminal 108 of the die 12 via a via 100. The through holes 98 and 100 may pass through the plated holes of the intermediate substrate 16. An example of a connection between one of the die 14 and the intermediate substrate 16 is a terminal 110 connected to a pad 118 of the intermediate substrate 16 via a conductive line 120. The die 14 also has a terminal 114 that is connected to one of the intermediate substrate pads of the intermediate substrate 16. In the same manner, the die 12 has connections 112 and 116 that are connected to pads of the intermediate substrate 16. In this example, the pads on the intermediate substrate 16 connected to the pads of the die 12 or die 14 are connected to the package substrate 84 by wire bonds, such as by bond wires 111, which bond the wires 111 to the intermediate substrate A pad 118 of 16 is attached to the solder ball 90. The bonding wires are coupled to the solder balls on the bottom of the package substrate 84 in conjunction with a landing. Other exemplary solder ball solder balls 92, 94, and 96 are shown on the bottom of the package substrate 84 in FIG. The intermediate substrate 16 may be made of tantalum or some other material such as a ceramic such as aluminum nitride. The heat sink 86 can be made of a metal such as copper or another type of material that has good heat transfer. For heat sink 86, the desired target is a coefficient of good heat transfer and matching thermal expansion.

圖5中繪示的是如繪示於一晶圓140上之晶粒12及14且同樣地晶粒136及138的一俯視圖。晶粒12及14繪示為具有經配置以便可方便地以一所需方式附接至中間基板16的接觸件。在此實例中,晶粒12及14應係相同的,但具有稍微不同之功能。晶粒12如該主要或主控般作用,且晶粒14如該次要或從屬般作用。一些接觸件在當該特定晶粒係主要的時候使用而其它接觸件則是在當該特定晶粒如該從屬般作用時使用。在晶粒14上繪示的是接觸件102、106、110、114、120、122、124、126、154及156。繪示於晶粒12上的是接觸件104、108、112、116、128、130、132、134、158及160。當該次要的係晶粒14時,與作為次要的該晶粒14相關聯之接觸件包含接觸件102、106及154。該等未使用之主控接觸件係122、124及156。主控接觸件122、124及156係關於中心線142各自與從屬接觸件106、102及154對稱。例如,從中心線142至接觸件124之一距離146相同於從中心線142至接觸件102之一距離148。同樣適用於晶粒12,與作為一主控之該晶粒12相關聯之接觸件係接觸件108、104及160。與作為一主控之晶粒12相關聯之該等未使用的從屬接觸件係接觸件130、132及158。從屬接觸件130、132及158係關於中心線144各自與主控接觸件108、104及160對稱。例如,從中心線144至接觸件104之一距離150相同於從中心線144至接觸件132之一距離152。此對稱容許晶粒12及14係相同的,但亦使該等從屬接觸件與該等主控接觸件對準及該等主控接觸件與該等從屬接觸件對準。此容許晶粒12及14之作用區域在接觸中間基板而對準之同時彼此面對,使得一晶粒之該等從屬接觸件電連接至另一晶粒之該等主控接觸件。因為該等晶粒係相同的且任何一者可為一從屬或一主控,所以每一其它接觸件亦具有一相對應之對稱接觸件。FIG. 5 is a top plan view of the dies 12 and 14 and similar dies 136 and 138 as shown on a wafer 140. The dies 12 and 14 are depicted as having contacts that are configured to be easily attached to the intermediate substrate 16 in a desired manner. In this example, the dies 12 and 14 should be identical but have slightly different functions. The die 12 acts as the primary or master, and the die 14 acts as a secondary or subordinate. Some contacts are used when the particular die is predominant and others are used when the particular die acts as a slave. Illustrated on the die 14 are contacts 102, 106, 110, 114, 120, 122, 124, 126, 154, and 156. Illustrated on the die 12 are contacts 104, 108, 112, 116, 128, 130, 132, 134, 158, and 160. When the secondary die 14 is in contact, the contacts associated with the secondary die 14 include contacts 102, 106, and 154. The unused master contacts 122, 124 and 156 are used. Master control contacts 122, 124, and 156 are each symmetrical with respect to slave contacts 106, 102, and 154 with respect to centerline 142. For example, the distance 146 from the centerline 142 to the contact 124 is the same as the distance 148 from the centerline 142 to the contact 102. The same applies to the die 12, to the contact contacts 108, 104 and 160 associated with the die 12 as a master. The unused slave contact contacts 130, 132 and 158 are associated with the die 12 as a master. The slave contacts 130, 132, and 158 are each symmetrical about the centerline 144 with the master contacts 108, 104, and 160. For example, the distance 150 from the centerline 144 to the contact 104 is the same as the distance 152 from the centerline 144 to the contact 132. This symmetry allows the dies 12 and 14 to be identical, but also aligns the slave contacts with the master contacts and the master contacts are aligned with the slave contacts. This allows the active regions of the dies 12 and 14 to face each other while being aligned with the intermediate substrate such that the slave contacts of one die are electrically connected to the master contacts of the other die. Because the dies are identical and either can be a slave or a master, each of the other contacts also has a corresponding symmetrical contact.

在該晶粒可為不同之情況下的其它應用中,可不關心對稱且可使用在圖4中繪示之方法而不用要求對稱。In other applications where the die may be different, the symmetry may not be of interest and the method illustrated in Figure 4 may be used without requiring symmetry.

在圖6中繪示的是一完成之裝置168作為圖4的完成之裝置10的一替代品。裝置168具有晶粒12及14,該等晶粒12及14以類似於其等如何接觸在圖4中之中間基板16的方式接觸一中間基板170。作為一例示性端子之端子114係經由一導體182耦合至中間基板170之一接觸件。裝置168不同於裝置10,不同處係使用焊料球(諸如焊料球174)以接觸封裝基板172而使中間基板170接觸一封裝基板172,及不同處係作為主要之晶粒12係在該晶粒14上。晶粒12使與該作用側相對之一背側曝露,使得一散熱件可應用於該背側。相較於該次要積體電路,該主要積體電路對一散熱件具有更大之需求。此亦繪示焊料球(諸如焊料球176)作為裝置168之外部連接且該等焊料球可在該晶粒之下。一例示性導體180經由封裝基板172將焊料球174連接至焊料球176。密封劑178覆蓋除晶粒12與14之背側及中間基板170之外的所有區域。具有一焊料球陣列之此類型封裝有時稱為一球格柵陣列(BGA)封裝。晶粒12與14之該等作用側面對中間基板170且並不要求焊線接合。Illustrated in Figure 6 is a completed device 168 as an alternative to the completed device 10 of Figure 4. Device 168 has dies 12 and 14 that contact an intermediate substrate 170 in a manner similar to how they are in contact with intermediate substrate 16 in FIG. Terminal 114, which is an exemplary terminal, is coupled to one of the contacts of intermediate substrate 170 via a conductor 182. The device 168 is different from the device 10 in that solder balls (such as solder balls 174) are used to contact the package substrate 172 to bring the intermediate substrate 170 into contact with a package substrate 172, and different portions are used as the main die 12 in the die. 14 on. The die 12 exposes the back side opposite the active side such that a heat sink can be applied to the back side. Compared to the secondary integrated circuit, the primary integrated circuit has a greater need for a heat sink. This also depicts solder balls (such as solder balls 176) as external connections to device 168 and the solder balls can be under the die. An exemplary conductor 180 connects the solder balls 174 to the solder balls 176 via the package substrate 172. The encapsulant 178 covers all areas except the back side of the dies 12 and 14 and the intermediate substrate 170. This type of package with a solder ball array is sometimes referred to as a ball grid array (BGA) package. These functional sides of the dies 12 and 14 are opposite the intermediate substrate 170 and do not require wire bonding.

在圖7中繪示的是作為另一替代品之一完成的裝置190。晶粒12與14係附接至一中間基板,其中如先前對於裝置10及168之描述,該等晶粒12與14之主動側面對該中間基板。在此案例中,一封裝基板191具有在其中駐有晶粒14之一開口。該封裝基板具有用於提供該封裝外之電接觸的經選定之部分(諸如導電部分194及196)。導電部分194及196係封裝基板191之結構的一整合部分,例如,該等導電部分194及196可為銅之一引線框架的部分、一般稱作合金42之一導體或在稱作四方形扁平無引腳(QFN)封裝之一引線框架中有用的另一引線框架材料。從該中間基板至該等導電部分之電接觸件係透過類似於先前描述之該等端子的端子(諸如端子195)。一例示性導體193經由該中間基板使晶粒12連接至端子195。在此實例中,密封劑192僅延伸至晶粒12之頂部,使得晶粒12之背側曝露且可應用一散熱件。Illustrated in Figure 7 is a device 190 that is completed as one of the alternatives. The dies 12 and 14 are attached to an intermediate substrate, wherein the active sides of the dies 12 and 14 are opposite the intermediate substrate as previously described for devices 10 and 168. In this case, a package substrate 191 has an opening in which a die 14 is resident. The package substrate has selected portions (such as conductive portions 194 and 196) for providing electrical contact outside of the package. The conductive portions 194 and 196 are an integral part of the structure of the package substrate 191. For example, the conductive portions 194 and 196 may be part of a lead frame of copper, generally referred to as a conductor of the alloy 42 or referred to as a quad flat Another leadframe material useful in one leadframe of a leadless (QFN) package. Electrical contacts from the intermediate substrate to the electrically conductive portions are transmitted through terminals (such as terminal 195) similar to the terminals previously described. An exemplary conductor 193 connects the die 12 to the terminal 195 via the intermediate substrate. In this example, the encapsulant 192 extends only to the top of the die 12 such that the backside of the die 12 is exposed and a heat sink can be applied.

在圖8中繪示的是一完成之裝置200,該裝置200係相同於完成之裝置190,惟晶粒14係在頂部及晶粒12係在底部且一密封劑202覆蓋晶粒14除外。在此案例中,一散熱件需要應用於完成之裝置200的底側上,因為該底側係晶粒12使其背側曝露之處。Illustrated in FIG. 8 is a completed device 200 that is identical to the completed device 190 except that the die 14 is attached to the top and the die 12 is attached to the bottom and a sealant 202 covers the die 14. In this case, a heat sink needs to be applied to the bottom side of the finished device 200 because the bottom side is where the die 12 is exposed to its back side.

在圖9中繪示的是類似於又另一替代品之一完成的裝置210,該替代品具有附接至一中間基板之晶粒12與14,其中如先前對於裝置10、168、190及200之描述,該等晶粒12與14之作用側面對該中間基板。在此案例中,使用焊料球(諸如焊料球212)以提供電連接至裝置210。晶粒12繪示為在底部上,所以該晶粒12之背側在那裡曝露以用於一散熱件之應用。晶粒14使其背側曝露於該頂部上。可切換晶粒12與14,使得晶粒12可使其背側曝露於裝置210之頂部上。焊料球(諸如焊料球214)繪示為附接至裝置210,表示亦可以此方式製造一BGA。Illustrated in FIG. 9 is a device 210 that is similar to yet another alternative, having a die 12 and 14 attached to an intermediate substrate, as previously described for devices 10, 168, 190 and In the description of 200, the sides of the grains 12 and 14 act on the intermediate substrate. In this case, a solder ball, such as solder ball 212, is used to provide electrical connection to device 210. The die 12 is shown on the bottom so that the back side of the die 12 is exposed there for use in a heat sink. The die 14 has its back side exposed to the top. The dies 12 and 14 can be switched such that the die 12 can have its back side exposed on top of the device 210. Solder balls, such as solder balls 214, are shown attached to device 210, indicating that a BGA can also be fabricated in this manner.

如此,如圖4-9中所繪示,封裝晶粒12與14之多種變化係可用的。對於該等晶粒係相同之此條件下,該封裝尤其有用,但此等封裝可能具有在此特定情況外之適用性。該等兩個晶粒可為非常不同,諸如一晶粒最佳化RF效能且一晶粒設計為邏輯。進一步,該等兩個晶粒可為不同大小。As such, various variations of packaged dies 12 and 14 are available as illustrated in Figures 4-9. This package is particularly useful for the same conditions of the die, but such packages may have applicability outside of this particular case. The two dies can be very different, such as a grain optimized RF performance and a die design as logic. Further, the two crystal grains may be of different sizes.

迄今為止,應瞭解已提供一種資訊處理系統,該資訊處理系統包含一第一積體電路晶粒及一第二積體電路晶粒。該第一積體電路晶粒包含:一第一系統互連,該第一系統互連包含第一複數個主控埠及第一複數個從屬埠,且該第一系統互連可按照一第一系統互連協定操作;一第一處理器核心,該第一處理器核心可通信地耦合至該等第一複數個主控埠之一第一主控埠;一記憶體,該記憶體可通信地耦合至該等第一複數個從屬埠之一第一從屬埠;及一第一從屬電路,該第一從屬電路可通信地耦合至該等第一複數個從屬埠之一第二從屬埠。該第二積體電路晶粒包含:一第二系統互連,該第二系統互連包含第二複數個主控埠及第二複數個從屬埠,且該第二系統互連可按照該第一系統互連協定操作;一第二處理器核心,該第二處理器核心可通信地耦合至該等第二複數個主控埠之一第一主控埠;一可定址從屬電路,該可定址從屬電路可通信地耦合至該等第二複數個從屬埠之一第一從屬埠,該可定址從屬電路具有一可定址之位址範圍,該可定址之位址範圍相對應於在該第一積體電路晶粒之一位址映射內的一第一位址範圍,該可定址之位址範圍相對應於在該第二積體電路晶粒之一位址映射內的一第二位址範圍;及一第一主控電路,該第一主控電路可通信地耦合至該等第二複數個主控埠之一第二主控埠。該第一從屬電路係可通信地耦合至該第一主控電路以用於在經由該第一系統互連及該第二系統互連、藉由該第一積體電路晶粒之一系統互連主控對該可定址從屬電路的一資料存取期間提供資料。該系統的進一步特徵為該第一從屬電路及該第一主控電路之至少一者包含一位址轉譯電路以用於將該可定址從屬電路之一位址從該第一位址範圍轉譯成該第二位址範圍。系統的進一步特徵為該第一主控電路包含一轉譯電路。該系統可進一步包括經組態以儲存組態資訊之一記憶體,該組態資訊用於控制該系統以複數個模式之一者操作,其中在該等複數個模式之一第一模式中,對該可定址從屬電路之資料存取係由定址該第一位址範圍之該第一系統互連之一系統互連主控達成,且在一第二操作模式中,對該可定址從屬電路之資料存取係由定址該第一積體電路晶粒之位址映射的一第三位址範圍的該第一系統互連之一系統互連主控達成。該系統的進一步特徵為該可定址從屬電路係一記憶體電路。該系統可進一步包括介於該第一積體電路晶粒與該第二積體電路晶粒之間的一組態通信路徑,該組態通信路徑用於在該第一積體電路晶粒與該第二積體電路晶粒之間提供操作模式資訊。該系統的進一步特徵為該可定址從屬電路係一記憶體電路。該系統之進一步特徵為該可定址從屬電路係一週邊電路。該系統之進一步特徵為在至少一操作模式期間,該第二核心在對該可定址從屬電路之資料存取期間係處於一低電力模式中。該系統之進一步特徵為該第一積體電路晶粒係一微控制器且第二積體電路晶粒係一微控制器。該系統之進一步特徵為該第一積體電路進一步包括:一第二主控電路,該第二主控電路可通信地耦合至該等第一複數個主控埠之一第二主控埠及耦合至該第一積體電路晶粒之外部端子,其中該等外部端子係在一不可用狀態中組態;及一第二從屬電路,該第二從屬電路可通信地耦合至該等第二複數個從屬埠之一第二從屬埠及耦合至該第二積體電路晶粒之外部端子,該第二積體電路晶粒之該等外部端子係在一不可用狀態中組態,其中該第二從屬電路及該第二主控電路之至少一者包含一位址轉譯電路以用於轉譯一位址。該系統可進一步包括一記憶體,該記憶體經組態以儲存用於控制該系統以複數個模式之一者操作的組態資訊,其中在該等複數個模式之一第一模式中,該第一積體電路晶粒操作為一主要積體電路晶粒且該第二積體電路晶粒操作為一次要積體電路晶粒,且在該等複數個模式之一第二模式中,該第二積體電路晶粒操作為一主要積體電路晶粒且該第一積體電路晶粒操作為一次要積體電路晶粒。該系統的進一步特徵為該第一積體電路晶粒及該第二積體電路晶粒係併入於一積體電路封裝中。To date, it has been understood that an information processing system has been provided which includes a first integrated circuit die and a second integrated circuit die. The first integrated circuit die includes: a first system interconnect, the first system interconnect includes a first plurality of masters and a first plurality of slaves, and the first system interconnects are a system interconnection protocol operation; a first processor core communicatively coupled to one of the first plurality of masters; a memory, the memory Communicatively coupled to one of the first plurality of slaves, a first slave circuit, and a first slave circuit communicatively coupled to the first plurality of slaves, the second slave . The second integrated circuit die includes: a second system interconnect, the second system interconnect includes a second plurality of masters and a second plurality of slaves, and the second system interconnect can follow the first a system interconnect protocol operation; a second processor core communicatively coupled to the first plurality of masters of the second plurality of masters; an addressable slave circuit, the Addressing slave circuitry communicatively coupled to one of the second plurality of slaves, the addressable slave circuit having an addressable address range, the addressable address range corresponding to the a first address range within an address map of an integrated circuit die, the addressable address range corresponding to a second bit in one of the address mappings of the second integrated circuit die And a first main control circuit communicatively coupled to one of the second plurality of masters, the second master. The first slave circuit is communicably coupled to the first master circuit for interconnecting via the first system interconnect and the second system via one of the first integrated circuit dies The master provides information during a data access to the addressable slave circuit. A further feature of the system is that at least one of the first slave circuit and the first master circuit includes a address translation circuit for translating an address of the addressable slave circuit from the first address range into The second address range. A further feature of the system is that the first master control circuit includes a translation circuit. The system can further include a memory configured to store configuration information, the configuration information for controlling the system to operate in one of a plurality of modes, wherein in one of the plurality of modes, the first mode, Accessing the data access to the addressable slave circuit by a system interconnect master that addresses the first system interconnect of the first address range, and in a second mode of operation, the addressable slave circuit The data access is achieved by a system interconnect master of the first system interconnect that addresses a third address range of the address mapping of the first integrated circuit die. A further feature of the system is that the addressable slave circuit is a memory circuit. The system may further include a configuration communication path between the first integrated circuit die and the second integrated circuit die, the configured communication path being used in the first integrated circuit die and Operating mode information is provided between the second integrated circuit dies. A further feature of the system is that the addressable slave circuit is a memory circuit. A further feature of the system is that the addressable slave circuit is a peripheral circuit. A further feature of the system is that during at least one mode of operation, the second core is in a low power mode during data access to the addressable slave circuit. The system is further characterized in that the first integrated circuit die is a microcontroller and the second integrated circuit die is a microcontroller. A further feature of the system is that the first integrated circuit further comprises: a second main control circuit communicably coupled to one of the first plurality of masters and the second master An external terminal coupled to the first integrated circuit die, wherein the external terminals are configured in an unavailable state; and a second slave circuit communicatively coupled to the second a second slave of the plurality of slaves and an external terminal coupled to the die of the second integrated circuit, the external terminals of the second integrated circuit die being configured in an unavailable state, wherein the At least one of the second slave circuit and the second master circuit includes a bit address translation circuit for translating the address. The system can further include a memory configured to store configuration information for controlling the system to operate in one of a plurality of modes, wherein in one of the plurality of modes, the first mode The first integrated circuit die operates as a primary integrated circuit die and the second integrated circuit die operates as a primary integrated circuit die, and in one of the plurality of modes, the second mode The second integrated circuit die operates as a main integrated circuit die and the first integrated circuit die operates as a primary integrated circuit die. The system is further characterized in that the first integrated circuit die and the second integrated circuit die are incorporated in an integrated circuit package.

亦描述的是操作一資訊處理系統之一方法。該方法包含提供電力至一第一積體電路晶粒,該第一積體電路晶粒包括:一第一系統互連,該第一系統互連包含第一複數個主控埠及第一複數個從屬埠,該第一系統互連可按照一第一系統互連協定操作;一第一處理器核心,該第一處理器核心可通信地耦合至該等第一複數個主控埠之一第一主控埠;及一第一從屬電路,該第一從屬電路可通信地耦合至該等第一複數個從屬埠之一第一從屬埠。該方法進一步包含提供電力至一第二積體電路晶粒,該第二積體電路晶粒包含:一第二系統互連,該第二系統互連包含第二複數個主控埠及第二複數個從屬埠,該第二系統互連可按照該第一系統互連協定操作;一第二處理器核心,該第二處理器核心可通信地耦合至該等第二複數個主控埠之一第一主控埠;一可定址從屬電路,該可定址從屬電路可通信地耦合至該等第二複數個從屬埠之一第一從屬埠,該可定址從屬電路具有一可定址之位址範圍,該可定址之位址範圍相對應於在該第一積體電路晶粒之一位址映射內之一第一位址範圍,該可定址之位址範圍相對應於在該第二積體電路晶粒之一位址映射內之一第二位址範圍;及一第一主控電路,該第一主控電路可通信地耦合至該等第二複數個主控埠之一第二主控埠。該方法進一步包含藉由該第一積體電路晶粒之該第一系統互連之一系統互連主控電路來執行對該可定址從屬電路之一資料存取,該資料存取係經由該第一系統互連、該第一從屬電路、該第一主控電路及該第二系統互連而執行。該方法可進一步包括藉由該系統互連主控電路,將該第一位址範圍內之資料存取之一第一位址提供於該第一系統互連上,藉由該第一從屬電路接收來自該第一系統互連之該第一位址,將該第一位址從該第一位址範圍轉譯為該第二位址範圍,以產生一經轉譯之位址,藉由該第一主控電路將該經轉譯之位址提供於該第二系統互連上及藉由該可定址從屬電路接收來自該第二系統互連之該經轉譯的位址。該方法的進一步特徵為該轉譯係由該第一主控電路執行。該方法的進一步特徵為該轉譯係由該第一從屬電路執行。該方法進一步可包含藉由該第一積體電路晶粒之第一系統互連之一系統互連主控電路來執行對該可定址從屬電路之一資料存取,該資料存取係經由該第一系統互連、該第一從屬電路、該第一主控電路及該第二系統互連而執行,其中執行一資料存取進一步包含:藉由該系統互連主控電路,將一第一位址提供於該第一系統互連上,該第一位址係在一第二從屬電路之一位址範圍內之一位址,該第二從屬電路可通信地耦合至該第一系統互連之該等第一複數個從屬埠之一第二從屬埠;藉由該第一從屬電路接收來自該第一系統互連之資料存取,且其中該第二從屬電路並不接收該資料存取;將來自該第一從屬電路之該資料存取提供至該第一主控電路;藉由該第一主控電路,將該資料存取提供至該第二系統互連;及藉由該可定址從屬電路接收來自該第二系統互連之該資料存取。該方法可進一步包括藉由該第一積體電路晶粒之第一系統互連之一系統互連主控電路來執行對該可定址從屬電路之一資料存取,該資料存取係經由該第一系統互連、該第一從屬電路、該第一主控電路及該第二系統互連而執行,其中執行一資料存取進一步包含:藉由該系統互連主控電路將一第一位址提供於該第一系統互連上,該第一位址係在一第二從屬電路之一位址範圍內之一位址,該第二從屬電路可通信地耦合至第一系統互連之該等第一複數個從屬埠之一第二從屬埠;藉由該第一從屬電路接收來自該第一系統互連之資料存取,且其中該第二從屬電路並不接收該資料存取;將來自該第一從屬電路之資料存取提供至該第一主控電路;藉由該第一主控電路,將該資料存取提供至該第二系統互連;及藉由該可定址從屬電路接收來自該第二系統互連之該資料存取。該方法進一步包括在執行一資料存取期間,抑制該第二處理器核心之操作。Also described is one method of operating an information processing system. The method includes providing power to a first integrated circuit die, the first integrated circuit die comprising: a first system interconnect, the first system interconnect comprising a first plurality of masters and a first plurality a slave system, the first system interconnect operable in accordance with a first system interconnect protocol; a first processor core communicatively coupled to one of the first plurality of masters a first master circuit; and a first slave circuit communicatively coupled to the first slave of the first plurality of slaves. The method further includes providing power to a second integrated circuit die, the second integrated circuit die comprising: a second system interconnect, the second system interconnect comprising a second plurality of masters and a second a plurality of slaves, the second system interconnect operable in accordance with the first system interconnect protocol; a second processor core communicatively coupled to the second plurality of masters a first master 埠; an addressable slave circuit communicatively coupled to the first slave of the second plurality of slaves, the addressable slave circuit having an addressable address a range, the addressable address range corresponding to a first address range in an address map of the first integrated circuit die, the addressable address range corresponding to the second product a second address range within one of the address mappings of the body circuit die; and a first master control circuit communicatively coupled to one of the second plurality of masters Master control. The method further includes performing a data access to one of the addressable slave circuits via a system interconnect master circuit of the first system interconnect of the first integrated circuit die, the data access via the The first system interconnection, the first slave circuit, the first master circuit, and the second system are interconnected for execution. The method may further include, by the system interconnecting the main control circuit, providing a first address of the data access in the first address range to the first system interconnect, wherein the first slave circuit Receiving the first address from the first system interconnect, translating the first address from the first address range to the second address range to generate a translated address, by the first The master circuit provides the translated address to the second system interconnect and receives the translated address from the second system interconnect by the addressable slave circuit. A further feature of the method is that the translation is performed by the first master circuit. A further feature of the method is that the translation is performed by the first slave circuit. The method can further include performing a data access to one of the addressable slave circuits via a system interconnect master circuit of the first system interconnect of the first integrated circuit die, the data access via the The first system interconnection, the first slave circuit, the first master circuit, and the second system are interconnected, wherein performing a data access further comprises: interconnecting the master circuit by the system, An address is provided on the first system interconnect, the first address is an address within a range of addresses of a second slave circuit, and the second slave circuit is communicatively coupled to the first system Interconnecting one of the first plurality of slaves of the first plurality of slaves; receiving, by the first slave circuit, a data access from the first system interconnect, and wherein the second slave circuit does not receive the data Accessing the data access from the first slave circuit to the first master circuit; providing the data access to the second system interconnect by the first master circuit; The addressable slave circuit receives the interconnection from the second system Data access. The method can further include performing a data access to one of the addressable slave circuits via a system interconnect master circuit of the first system interconnect of the first integrated circuit die, the data access via the Executing, the first slave circuit, the first master circuit, and the second system are interconnected, wherein performing a data access further comprises: interconnecting the master circuit by the system to be a first An address is provided on the first system interconnect, the first address being at an address within a range of one of the second slave circuits, the second slave circuit being communicably coupled to the first system interconnect One of the first plurality of slaves, the second slave; receiving, by the first slave circuit, a data access from the first system interconnect, and wherein the second slave circuit does not receive the data access Providing a data access from the first slave circuit to the first master circuit; providing the data access to the second system interconnect by the first master circuit; and by the addressable The slave circuit receives the data from the second system interconnect Take. The method further includes inhibiting operation of the second processor core during execution of a data access.

而且,在該發明說明及該等申請專利範圍中之術語「前方」、「後方」、「頂部」、「底部」、「上面」、「下面」及類似物(若有的話)係用作描述之目的且並不一定用於描述永久相對位置。應瞭解如此使用之詞語在適當條件下係可互換的,使得本文描述之本發明之實施例(例如)能夠在除了本文中此類圖解說明或另外描述之外的位向上操作。Moreover, the terms "front", "rear", "top", "bottom", "above", "below" and the like (if any) are used in the description of the invention and in the scope of the claims. The purpose of the description is not necessarily used to describe a permanent relative position. It is to be understood that the terms so used are interchangeable under appropriate conditions such that the embodiments of the invention described herein, for example, are capable of operation in the

雖然本發明在本文中係參考特定實施例而得以描述,但可在不脫離如以下申請專利範圍中提出之本發明的範疇下進行多種修改及改變。例如,縱橫件經指示為一系統互連之一實例,但可使用另一類型之系統互連。同樣,該次要晶粒之核心描述為斷電。斷電並不一定就是移除所有電力,而可能是比較小的動作,諸如簡單地停止該核心之時脈或選擇性地移除該核心之一些部分的電力。亦可使用減少該核心之電力消耗的其它實例。因此,本說明書與圖式將看作一例示性,而非一限制性意義,且所有此等修改係意欲包含在本發明之範疇內。本文關於特定實施例描述之任何益處、優點、或問題的解決方案並非意欲視為任何或所有技術方案之一關鍵、所需或基本之特徵或元件。While the invention has been described herein with reference to the specific embodiments thereof, various modifications and changes can be made without departing from the scope of the invention as set forth in the appended claims. For example, the crossbars are indicated as one instance of a system interconnect, but another type of system interconnect can be used. Again, the core of the secondary die is described as powered down. Powering down does not necessarily mean removing all power, but may be a relatively small action, such as simply stopping the core clock or selectively removing power from portions of the core. Other examples of reducing the power consumption of the core can also be used. Accordingly, the specification and drawings are to be regarded as a The solution to any benefit, advantage, or problem described herein with respect to a particular embodiment is not intended to be a critical, required or essential feature or element of any or all of the embodiments.

如本文使用之術語「耦合」並非意欲限於一直接耦合或一機械耦合。The term "coupled," as used herein, is not intended to be limited to a direct coupling or a mechanical coupling.

此外,如本文使用之術語「一」或「一個」被界定為一或多於一個。同樣,在該等技術方案中之前置性片語諸如「至少一」及「一或多個」之使用不應被視為暗示著以該等不定冠詞「一」或「一個」前置之另一技術方案元件將含有該前置之技術方案元件的任何特定技術方案限制於僅含該一元件之發明,即使當相同技術方案包含該等前置性片語「一或多個」或「至少一」及不定冠詞諸如「一」或「一個」時亦是如此。定冠詞之使用也係如此。In addition, the terms "a" or "an", as used herein, are defined as one or more than one. In the same way, the use of pre-existing phrases such as "at least one" and "one or more" in such technical solutions should not be construed as implying that the indefinite article "a" or "a" Another technical solution element limits any specific technical solution containing the pre-technical component to the invention containing only the one component, even when the same technical solution includes the pre-speech "one or more" or " The same is true of at least one and indefinite articles such as "one" or "one". This is also the case with the use of definite articles.

除非另有申明,否則術語諸如「第一」及「第二」可被用於任意地區別該等術語描述之元件。因此,此等術語並不一定意欲指示該等元件之時間上或其它優先權。Terms such as "first" and "second" may be used to arbitrarily distinguish the elements described by the terms, unless otherwise stated. Therefore, such terms are not necessarily intended to indicate the time or other priority of the elements.

10...封裝裝置10. . . Package device

12...積體電路晶粒12. . . Integrated circuit die

14...積體電路晶粒14. . . Integrated circuit die

16...中間基板16. . . Intermediate substrate

18...系統互連18. . . System interconnection

20...核心20. . . core

21...主控埠twenty one. . . Master control

22...DMAtwenty two. . . DMA

23...主控埠twenty three. . . Master control

24...主控電路twenty four. . . Main control circuit

25...主控埠25. . . Master control

26...組態暫存器26. . . Configuration register

27...主控埠27. . . Master control

28...週邊設備28. . . Peripherals

29...從屬埠29. . . Subordinate

30...非揮發性記憶體(NVM)30. . . Non-volatile memory (NVM)

31...從屬埠31. . . Subordinate

32...靜態隨機存取記憶體(SRAM)32. . . Static random access memory (SRAM)

33...從屬埠33. . . Subordinate

34...從屬電路34. . . Slave circuit

35...從屬埠35. . . Subordinate

36...解碼器36. . . decoder

38...外部端子38. . . External terminal

40...外部端子40. . . External terminal

42...外部端子42. . . External terminal

44...外部端子44. . . External terminal

46...系統互連46. . . System interconnection

48...核心48. . . core

50...DMA50. . . DMA

52...主控電路52. . . Main control circuit

54...解碼器54. . . decoder

56...組態暫存器56. . . Configuration register

58...週邊設備58. . . Peripherals

60...NVM60. . . NVM

62...SRAM62. . . SRAM

64...從屬電路64. . . Slave circuit

66...外部端子66. . . External terminal

68...外部端子68. . . External terminal

70...外部端子70. . . External terminal

72...外部端子72. . . External terminal

74...從屬邏輯74. . . Dependent logic

76...通信交握電路76. . . Communication handshake circuit

78...通信交握電路78. . . Communication handshake circuit

80...位址轉譯電路80. . . Address translation circuit

82...主控邏輯82. . . Master logic

84...封裝基板84. . . Package substrate

86...散熱件86. . . Heat sink

92...焊料球92. . . Solder ball

98...通孔98. . . Through hole

100...通孔100. . . Through hole

116...連接116. . . connection

118...墊118. . . pad

120...導電線120. . . Conductive wire

136...晶粒136. . . Grain

138...晶粒138. . . Grain

140...晶圓140. . . Wafer

142...中心線142. . . Center line

144...中心線144. . . Center line

146...距離146. . . distance

148...距離148. . . distance

150...距離150. . . distance

152...距離152. . . distance

168...裝置168. . . Device

170...中間基板170. . . Intermediate substrate

172...封裝基板172. . . Package substrate

174...焊料球174. . . Solder ball

176...焊料球176. . . Solder ball

178...密封劑178. . . Sealants

180...導體180. . . conductor

182...導體182. . . conductor

190...裝置190. . . Device

191...封裝基板191. . . Package substrate

192...密封劑192. . . Sealants

193...導體193. . . conductor

194...導電部分194. . . Conductive part

195...端子195. . . Terminal

196...導電部分196. . . Conductive part

200...裝置200. . . Device

202...密封劑202. . . Sealants

210...裝置210. . . Device

214...焊料球214. . . Solder ball

102、106、110、114、120、122、124、126、154及156...接觸件/端子102, 106, 110, 114, 120, 122, 124, 126, 154 and 156. . . Contact / terminal

104、108、112、116、128、130、132、134、158及160...接觸件/端子104, 108, 112, 116, 128, 130, 132, 134, 158 and 160. . . Contact / terminal

圖1係根據一實施例的一多重晶粒裝置的一方塊圖;1 is a block diagram of a multi-die device in accordance with an embodiment;

圖2係更詳細地繪示圖1之裝置之一部分的一方塊圖;Figure 2 is a block diagram showing a portion of the apparatus of Figure 1 in more detail;

圖3係關於該多重晶粒裝置之操作的位址映射;及Figure 3 is an address map relating to the operation of the multi-die device; and

圖4係根據一第一封裝實施例之該裝置的一剖面;Figure 4 is a cross section of the device according to a first package embodiment;

圖5係有助於製造圖4之裝置的兩個晶粒的一俯視圖;Figure 5 is a top plan view of two dies that facilitate fabrication of the device of Figure 4;

圖6係根據一第二封裝實施例之裝置的一剖面;Figure 6 is a cross section of the apparatus according to a second package embodiment;

圖7係根據一第三封裝實施例之裝置的一剖面;Figure 7 is a cross section of the apparatus according to a third package embodiment;

圖8係根據一第四封裝實施例之裝置的一剖面;及Figure 8 is a cross section of the apparatus according to a fourth package embodiment; and

圖9係根據一第五封裝實施例之裝置的一剖面。Figure 9 is a cross section of the apparatus in accordance with a fifth package embodiment.

10...封裝裝置10. . . Package device

12...積體電路晶粒12. . . Integrated circuit die

14...積體電路晶粒14. . . Integrated circuit die

16...中間基板16. . . Intermediate substrate

18...系統互連18. . . System interconnection

20...核心20. . . core

21...主控埠twenty one. . . Master control

22...DMAtwenty two. . . DMA

23...主控埠twenty three. . . Master control

24...主控電路twenty four. . . Main control circuit

25...主控埠25. . . Master control

26...組態暫存器26. . . Configuration register

27...主控埠27. . . Master control

28...週邊設備28. . . Peripherals

29...從屬埠29. . . Subordinate

30...非揮發性記憶體(NVM)30. . . Non-volatile memory (NVM)

31...從屬埠31. . . Subordinate

32...靜態隨機存取記憶體(SRAM)32. . . Static random access memory (SRAM)

33...從屬埠33. . . Subordinate

34...從屬電路34. . . Slave circuit

35...從屬埠35. . . Subordinate

36...解碼器36. . . decoder

38...外部端子38. . . External terminal

40...外部端子40. . . External terminal

42...外部端子42. . . External terminal

44...外部端子44. . . External terminal

46...系統互連46. . . System interconnection

48...核心48. . . core

50...DMA50. . . DMA

52...主控電路52. . . Main control circuit

54...解碼器54. . . decoder

56...組態暫存器56. . . Configuration register

58...週邊設備58. . . Peripherals

60...NVM60. . . NVM

62...SRAM62. . . SRAM

64...從屬電路64. . . Slave circuit

66...外部端子66. . . External terminal

68...外部端子68. . . External terminal

70...外部端子70. . . External terminal

72...外部端子72. . . External terminal

Claims (19)

一種資訊處理系統,其包括:一第一積體電路晶粒,該第一積體電路晶粒包括:一第一系統互連,該第一系統互連包含第一複數個主控埠及第一複數個從屬埠,該第一系統互連可按照一第一系統互連協定操作;一第一處理器核心,該第一處理器核心可通信地耦合至該等第一複數個主控埠之一第一主控埠;一記憶體,該記憶體可通信地耦合至該等第一複數個從屬埠之一第一從屬埠;及一第一從屬電路,該第一從屬電路可通信地耦合至該等第一複數個從屬埠之一第二從屬埠;及一第二積體電路晶粒,該第二積體電路晶粒包括:一第二系統互連,該第二系統互連包含第二複數個主控埠及第二複數個從屬埠,該第二系統互連可按照該第一系統互連協定操作;一第二處理器核心,該第二處理器核心可通信地耦合至該等第二複數個主控埠之一第一主控埠;一可定址從屬電路,該可定址從屬電路可通信地耦合至該等第二複數個從屬埠之一第一從屬埠,該可定址從屬電路具有一可定址之位址範圍,該可定址之位址範圍相對應於在該第一積體電路晶粒之一位址映射內之一第一位址範圍,該可定址之位址範圍相對應於在該第二積體電路晶粒之一位址映射內之一第二位址 範圍;及一第一主控電路,該第一主控電路可通信地耦合至該等第二複數個主控埠之一第二主控埠;其中該第一從屬電路係經由該第一系統互連及該第二系統互連可通信地耦合至該第一主控電路,以用於藉由該第一積體電路晶粒之一系統互連主控於對該可定址從屬電路之一資料存取期間提供資料。 An information processing system includes: a first integrated circuit die, the first integrated circuit die comprising: a first system interconnect, the first system interconnect comprising a first plurality of masters and a plurality of slaves, the first system interconnect operable in accordance with a first system interconnect protocol; a first processor core communicatively coupled to the first plurality of masters a first master; a memory communicatively coupled to the first slave of the first plurality of slaves; and a first slave circuit, the first slave circuit communicatively And a second integrated circuit die coupled to the first plurality of slaves; and the second integrated circuit die comprising: a second system interconnect, the second system interconnect Include a second plurality of masters and a second plurality of slaves, the second system interconnect operable in accordance with the first system interconnect protocol; a second processor core communicatively coupled To the first master of one of the second plurality of masters; one An address slave circuit communicatively coupled to one of the second plurality of slaves, the addressable slave address having an addressable address range, the addressable address range Corresponding to one of the first address ranges in one of the address maps of the first integrated circuit die, the addressable address range corresponding to one of the address mappings in the second integrated circuit die One of the second addresses And a first main control circuit communicatively coupled to the second plurality of masters of the second plurality of masters; wherein the first slave circuit is via the first system An interconnect and the second system interconnect are communicably coupled to the first master control circuit for mastering one of the addressable slave circuits by one of the first integrated circuit die system interconnects Information is provided during data access. 如請求項1之系統,其中該第一從屬電路及該第一主控電路之至少一者包含一位址轉譯電路,以用於將該可定址從屬電路之一位址從該第一位址範圍轉譯為該第二位址範圍。 The system of claim 1, wherein at least one of the first slave circuit and the first master circuit includes a address translation circuit for using an address of the addressable slave circuit from the first address The range is translated into the second address range. 如請求項1之系統,其中該第一主控電路包含一轉譯電路。 The system of claim 1, wherein the first master circuit comprises a translation circuit. 如請求項1之系統,進一步包括:一記憶體,該記憶體經組態以儲存組態資訊,其中該組態資訊係用於控制該系統以複數個模式之一者操作,其中:在該等複數個模式之一第一模式中,對該可定址從屬電路之資料存取係由定址該第一位址範圍之該第一系統互連之一系統互連主控達成;及在一第二操作模式中,對該可定址從屬電路之資料存取係由定址該第一積體電路晶粒之該位址映射之一第三位址範圍之該第一系統互連之一系統互連主控達成。 The system of claim 1, further comprising: a memory configured to store configuration information, wherein the configuration information is used to control the system to operate in one of a plurality of modes, wherein: And in one of the plurality of modes, in the first mode, the data access to the addressable slave circuit is achieved by a system interconnect master that addresses the first system interconnect of the first address range; In the second mode of operation, the data access to the addressable slave circuit is interconnected by one of the first system interconnects addressing the third address range of the address map of the first integrated circuit die The master reached. 如請求項4之系統,其中該可定址從屬電路係一記憶體電路。 The system of claim 4, wherein the addressable slave circuit is a memory circuit. 如請求項1之系統,進一步包括:一組態通信路徑,該組態通信路徑介於該第一積體電路晶粒與該第二積體電路晶粒之間,該組態通信路徑用於在該第一積體電路晶粒與該第二積體電路晶粒之間提供操作模式資訊。 The system of claim 1, further comprising: a configuration communication path between the first integrated circuit die and the second integrated circuit die, the configured communication path being used Operating mode information is provided between the first integrated circuit die and the second integrated circuit die. 如請求項1之系統,其中該可定址從屬電路係一記憶體電路。 A system as claimed in claim 1, wherein the addressable slave circuit is a memory circuit. 如請求項1之系統,其中該可定址從屬電路係一週邊電路。 A system as claimed in claim 1, wherein the addressable slave circuit is a peripheral circuit. 如請求項1之系統,其中在至少一操作模式期間,該第二核心在對該可定址從屬電路之資料存取期間係處於一低電力模式中。 A system as claimed in claim 1, wherein during the at least one mode of operation, the second core is in a low power mode during data access to the addressable slave circuit. 如請求項1之系統,其中該第一積體電路晶粒係特徵化為一微控制器,且該第二積體電路晶粒係特徵化為一微控制器。 The system of claim 1, wherein the first integrated circuit die is characterized as a microcontroller, and the second integrated circuit die is characterized as a microcontroller. 如請求項1之系統,其中:該第一積體電路晶粒進一步包括:一第二主控電路,該第二主控電路可通信地耦合至該等第一複數個主控埠之一第二主控埠,該第二主控電路係耦合至該第一積體電路晶粒之外部端子,其中該等外部端子係在一不可用狀態中組態;該第二積體電路晶粒進一步包括: 一第二從屬電路,該第二從屬電路可通信地耦合至該等第二複數個從屬埠之一第二從屬埠,該第二從屬電路係耦合至該第二積體電路晶粒之外部端子,該第二積體電路晶粒之該等外部端子係在一不可用狀態中組態;及該第二從屬電路及該第二主控電路之至少一者包含一位址轉譯電路以用於轉譯一位址。 The system of claim 1, wherein: the first integrated circuit die further comprises: a second main control circuit communicably coupled to one of the first plurality of masters a second master control circuit, the second master control circuit is coupled to an external terminal of the first integrated circuit die, wherein the external terminals are configured in an unavailable state; the second integrated circuit die further include: a second slave circuit communicatively coupled to the second plurality of slaves of the second plurality of slaves, the second slave circuit being coupled to an external terminal of the second integrated circuit die The external terminals of the second integrated circuit die are configured in an unavailable state; and at least one of the second slave circuit and the second master circuit includes an address translation circuit for Translate an address. 如請求項1之系統,該系統包括:一記憶體,該記憶體經組態以儲存組態資訊,其中該組態資訊係用於控制該系統以複數個模式之一者操作,其中:在該等複數個模式之一第一模式中,該第一積體電路晶粒操作如一主要積體電路晶粒,且該第二積體電路晶粒操作如一次要積體電路晶粒;及在該等複數個模式之一第二模式中,該第二積體電路晶粒操作如一主要積體電路晶粒,且該第一積體電路晶粒操作如一次要積體電路晶粒。 The system of claim 1, the system comprising: a memory configured to store configuration information, wherein the configuration information is used to control the system to operate in one of a plurality of modes, wherein: In the first mode of the plurality of modes, the first integrated circuit die operates as a main integrated circuit die, and the second integrated circuit die operates as a primary integrated circuit die; In one of the plurality of modes, in the second mode, the second integrated circuit die operates as a main integrated circuit die, and the first integrated circuit die operates as a primary integrated circuit die. 如請求項1之系統,其中該第一積體電路晶粒及該第二積體電路晶粒係併入於一積體電路封裝中。 The system of claim 1, wherein the first integrated circuit die and the second integrated circuit die are incorporated in an integrated circuit package. 一種操作一資訊處理系統之方法,該方法包括:提供電力至一第一積體電路晶粒,該第一積體電路晶粒包括:一第一系統互連,該第一系統互連包含第一複數個主控埠及第一複數個從屬埠,該第一系統互連可按照 一第一系統互連協定操作;一第一處理器核心,該第一處理器核心可通信地耦合至該等第一複數個主控埠之一第一主控埠;及一第一從屬電路,該第一從屬電路可通信地耦合至該等第一複數個從屬埠之一第一從屬埠;提供電力至一第二積體電路晶粒,該第二積體電路晶粒包含:一第二系統互連,該第二系統互連包含第二複數個主控埠及第二複數個從屬埠,該第二系統互連可按照該第一系統互連協定操作;一第二處理器核心,該第二處理器核心可通信地耦合至該等第二複數個主控埠之一第一主控埠;一可定址從屬電路,該可定址從屬電路可通信地耦合至該等第二複數個從屬埠之一第一從屬埠,該可定址從屬電路具有一可定址之位址範圍,相對應於在該第一積體電路晶粒之一位址映射內之一第一位址範圍之該可定址位址範圍,及相對應於在該第二積體電路晶粒之一位址映射內之一第二位址範圍之該可定址位址範圍;及一第一主控電路,該第一主控電路可通信地耦合至該等第二複數個主控埠之一第二主控埠;及藉由該第一積體電路晶粒之該第一系統互連之一系統互連主控電路來執行對該可定址從屬電路之一資料存取,該資料存取係經由該第一系統互連、該第一從屬電 路、該第一主控電路及該第二系統互連而執行。 A method of operating an information processing system, the method comprising: providing power to a first integrated circuit die, the first integrated circuit die comprising: a first system interconnect, the first system interconnect comprising a plurality of masters and a first plurality of slaves, the first system interconnection can be followed a first system interconnect protocol operation; a first processor core communicatively coupled to one of the first plurality of masters; a first slave circuit; and a first slave circuit The first slave circuit is communicatively coupled to the first slave of the first plurality of slaves; providing power to a second integrated circuit die, the second integrated circuit die comprising: a first a second system interconnect comprising a second plurality of masters and a second plurality of slaves, the second system interconnect operable in accordance with the first system interconnect protocol; a second processor core The second processor core is communicably coupled to one of the second plurality of masters; an addressable slave circuit communicatively coupled to the second plurality a first slave of the slave, the addressable slave circuit having an addressable address range corresponding to a first address range within one of the address maps of the first integrated circuit die The addressable address range and corresponding to the second integrated body a addressable address range of one of the second address ranges in one of the address lands; and a first main control circuit communicatively coupled to the second plurality of main Controlling one of the second masters; and performing a data access to one of the addressable slave circuits by one of the first system interconnects of the first integrated circuit die interconnecting the master circuit The data access is interconnected by the first system, the first slave The circuit, the first main control circuit and the second system are interconnected and executed. 如請求項14之方法,其中該執行一資料存取進一步包括:藉由該系統互連主控電路,將該第一位址範圍內之該資料存取之一第一位址提供於該第一系統互連上;藉由該第一從屬電路,接收來自該第一系統互連之該第一位址;將該第一位址從該第一位址範圍轉譯為該第二位址範圍,以產生一經轉譯的位址;藉由該第一主控電路,將該經轉譯之位址提供於該第二系統互連上;及藉由該可定址從屬電路,接收來自該第二系統互連之該經轉譯的位址。 The method of claim 14, wherein the performing a data access further comprises: by the system interconnecting the main control circuit, providing the first address of the data access in the first address range to the first address Receiving, by the first slave circuit, the first address from the first system interconnection; translating the first address from the first address range to the second address range Transmitting a translated address; providing the translated address to the second system interconnect by the first master circuit; and receiving, by the addressable slave circuit, the second system The translated address of the interconnection. 如請求項15之方法,其中該轉譯係由該第一主控電路執行。 The method of claim 15, wherein the translation is performed by the first master circuit. 如請求項15之方法,其中該轉譯係由該第一從屬電路執行。 The method of claim 15, wherein the translation is performed by the first slave circuit. 如請求項15之方法,其中該執行一資料存取進一步包含:藉由該系統互連主控電路,將一第一位址提供於該第一系統互連上,該第一位址係在一第二從屬電路之一位址範圍內之一位址,該第二從屬電路可通信地耦合至該第一系統互連之該等第一複數個從屬埠之一第二從屬埠; 藉由該第一從屬電路,接收來自該第一系統互連之該資料存取,且其中該第二從屬電路並不接收該資料存取;將來自該第一從屬電路之該資料存取提供至該第一主控電路;藉由該第一主控電路,將該資料存取提供至該第二系統互連;及藉由該可定址從屬電路,接收來自該第二系統互連之該資料存取。 The method of claim 15, wherein the performing a data access further comprises: providing, by the system interconnecting the main control circuit, a first address on the first system interconnect, the first address being An address within one of the address ranges of one of the second slave circuits, the second slave circuit being communicably coupled to the second plurality of slaves of the first plurality of slaves of the first system interconnect; Receiving, by the first slave circuit, the data access from the first system interconnect, and wherein the second slave circuit does not receive the data access; providing the data access from the first slave circuit To the first main control circuit; the data access is provided to the second system interconnect by the first main control circuit; and the interconnected slave circuit is configured to receive the interconnection from the second system Data access. 如請求項14之方法,進一步包括:在該執行一資料存取期間,抑制該第二處理器核心之操作。 The method of claim 14, further comprising: inhibiting operation of the second processor core during the performing a data access.
TW098138144A 2008-11-10 2009-11-10 Technique for interconnecting integrated circuits TWI476891B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/267,725 US7827336B2 (en) 2008-11-10 2008-11-10 Technique for interconnecting integrated circuits

Publications (2)

Publication Number Publication Date
TW201029144A TW201029144A (en) 2010-08-01
TWI476891B true TWI476891B (en) 2015-03-11

Family

ID=42153497

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098138144A TWI476891B (en) 2008-11-10 2009-11-10 Technique for interconnecting integrated circuits

Country Status (6)

Country Link
US (1) US7827336B2 (en)
JP (1) JP5569982B2 (en)
KR (1) KR101672064B1 (en)
CN (1) CN102210020B (en)
TW (1) TWI476891B (en)
WO (1) WO2010053821A2 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8402188B2 (en) * 2008-11-10 2013-03-19 Micron Technology, Inc. Methods and systems for devices with a self-selecting bus decoder
US20100314730A1 (en) * 2009-06-16 2010-12-16 Broadcom Corporation Stacked hybrid interposer through silicon via (TSV) package
US8601306B1 (en) 2010-06-22 2013-12-03 Xilinx, Inc. Decryption of configuration data for multi-die integrated circuits
US8058897B1 (en) 2010-06-28 2011-11-15 Xilinx, Inc. Configuration of a multi-die integrated circuit
US9091727B1 (en) 2012-10-16 2015-07-28 Xilinx, Inc. Configuration and testing of multiple-die integrated circuits
US9176916B2 (en) 2013-02-25 2015-11-03 Freescale Semiconductor, Inc. Methods and systems for address mapping between host and expansion devices within system-in-package (SiP) solutions
US9170974B2 (en) 2013-02-25 2015-10-27 Freescale Semiconductor, Inc. Methods and systems for interconnecting host and expansion devices within system-in-package (SiP) solutions
US9195630B2 (en) * 2013-03-13 2015-11-24 International Business Machines Corporation Three-dimensional computer processor systems having multiple local power and cooling layers and a global interconnection structure
EP2979193B1 (en) * 2013-03-28 2021-04-28 Hewlett Packard Enterprise Development LP Shared memory system
US9971733B1 (en) 2014-12-04 2018-05-15 Altera Corporation Scalable 2.5D interface circuitry
EP3812900B1 (en) 2016-12-31 2023-11-29 Intel Corporation Systems, methods, and apparatuses for heterogeneous computing
US10936221B2 (en) 2017-10-24 2021-03-02 Micron Technology, Inc. Reconfigurable memory architectures
US11281608B2 (en) 2017-12-11 2022-03-22 Micron Technology, Inc. Translation system for finer grain memory architectures
US10496594B1 (en) * 2018-06-01 2019-12-03 Nxp Usa, Inc. Inter-processor communication method for access latency between system-in-package (SIP) dies
CN111315121B (en) * 2018-12-12 2022-04-05 台达电子工业股份有限公司 Voltage regulation module
US11488764B2 (en) 2018-10-09 2022-11-01 Delta Electronics, Inc. Voltage regulator module
EP3637445A1 (en) * 2018-10-09 2020-04-15 Delta Electronics, Inc. Voltage regulator module
EP3637446A1 (en) * 2018-10-09 2020-04-15 Delta Electronics, Inc. Voltage regulator module
US11216397B2 (en) * 2019-12-23 2022-01-04 Intel Corporation Translation circuitry for an interconnection in an active interposer of a semiconductor package
JP7164267B2 (en) * 2020-12-07 2022-11-01 インテル・コーポレーション System, method and apparatus for heterogeneous computing
CN114970409A (en) * 2022-07-27 2022-08-30 北极雄芯信息科技(西安)有限公司 Integrated circuit based on multi-die interconnection

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200636458A (en) * 2004-12-16 2006-10-16 Sandisk Corp Non-volatile memory and method with multi-stream updating
TW200801954A (en) * 2006-03-13 2008-01-01 Intel Corp Input/output agent having multiple secondary ports
TW200809498A (en) * 2005-12-27 2008-02-16 Intel Corp Device, system and method of multi-state cache coherence scheme

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6152765A (en) * 1984-08-21 1986-03-15 Mitsubishi Electric Corp One chip microcomputer
JPH0619785A (en) * 1992-03-27 1994-01-28 Matsushita Electric Ind Co Ltd Distributed shared virtual memory and its constitution method
JP2551342B2 (en) * 1993-07-29 1996-11-06 日本電気株式会社 Dual microprocessor device
JPH09152979A (en) * 1995-09-28 1997-06-10 Matsushita Electric Ind Co Ltd Semiconductor device
US6492719B2 (en) 1999-07-30 2002-12-10 Hitachi, Ltd. Semiconductor device
US6014710A (en) * 1997-06-30 2000-01-11 Sun Microsystems, Inc. System and method for message transmission between network nodes using remote wires
US6397354B1 (en) * 1999-03-26 2002-05-28 Hewlett-Packard Company Method and apparatus for providing external access to signals that are internal to an integrated circuit chip package
DE10030994A1 (en) * 2000-06-30 2002-01-17 Infineon Technologies Ag Semiconductor chip
CN1251105C (en) * 2001-01-31 2006-04-12 株式会社日立制作所 Data processing system and data processor
US6686768B2 (en) 2001-07-05 2004-02-03 Alan Elbert Comer Electrically-programmable interconnect architecture for easily-configurable stacked circuit arrangements
US6877114B2 (en) * 2002-02-14 2005-04-05 Delphi Technologies, Inc. On-chip instrumentation
US6717276B2 (en) * 2002-09-10 2004-04-06 Texas Instruments Incorporated Two-metal layer ball grid array and chip scale package having local interconnects used in wire-bonded and flip-chip semiconductor assembly
US7049691B2 (en) * 2002-10-08 2006-05-23 Chippac, Inc. Semiconductor multi-package module having inverted second package and including additional die or stacked package on second package
DE10315303B4 (en) 2003-04-02 2007-03-22 Infineon Technologies Ag Semiconductor device power supply for system with at least two, in particular stacked, semiconductor devices
JP2005276033A (en) * 2004-03-26 2005-10-06 Sony Corp Multiprocessor module
US7184264B2 (en) 2004-09-23 2007-02-27 Imation Corp. Connectable memory devices to provide expandable memory
US7279795B2 (en) * 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
US7945793B2 (en) * 2006-08-11 2011-05-17 Intel Corporation Interface frequency modulation to allow non-terminated operation and power reduction

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200636458A (en) * 2004-12-16 2006-10-16 Sandisk Corp Non-volatile memory and method with multi-stream updating
TW200809498A (en) * 2005-12-27 2008-02-16 Intel Corp Device, system and method of multi-state cache coherence scheme
TW200801954A (en) * 2006-03-13 2008-01-01 Intel Corp Input/output agent having multiple secondary ports

Also Published As

Publication number Publication date
JP2012508418A (en) 2012-04-05
WO2010053821A3 (en) 2010-08-12
US7827336B2 (en) 2010-11-02
CN102210020A (en) 2011-10-05
TW201029144A (en) 2010-08-01
JP5569982B2 (en) 2014-08-13
KR101672064B1 (en) 2016-11-02
WO2010053821A2 (en) 2010-05-14
CN102210020B (en) 2013-09-11
KR20110089136A (en) 2011-08-04
US20100122001A1 (en) 2010-05-13

Similar Documents

Publication Publication Date Title
TWI476891B (en) Technique for interconnecting integrated circuits
US20100117242A1 (en) Technique for packaging multiple integrated circuits
US8116100B2 (en) Semiconductor device
US10504603B2 (en) System-in-package module with memory
EP3278456B1 (en) Method and circuits for communication in multi-die packages
KR100430984B1 (en) Semiconductor device
US7977781B2 (en) Semiconductor device
US8780647B2 (en) Semiconductor device
US20100078635A1 (en) Semiconductor device
TWI501380B (en) Three-dimensional soc structure stacking by multiple chip modules
US9158717B2 (en) Electronic device and semiconductor device
US11282780B2 (en) Integrated bridge for die-to-die interconnects
TW201517243A (en) Co-support for XFD packaging
TWI589032B (en) System-in-package module with memory
JP3942198B2 (en) Semiconductor integrated circuit device
JP5539156B2 (en) Semiconductor integrated circuit device
US20230369232A1 (en) Molded interconnect memory on package
US20230077750A1 (en) Disaggregated mesh and l4 cache
JP2006155650A (en) Apparatus of semiconductor integrated circuit
JP2007134686A (en) Semiconductor integrated circuit device
TW202101624A (en) Multi-chip structure including a memory die stacked on die having programmable integrated circuit
JP2006114920A (en) Semiconductor integrated circuit device