TWI470682B - Substrate provided with semiconductor films and manufacturing method thereof - Google Patents

Substrate provided with semiconductor films and manufacturing method thereof Download PDF

Info

Publication number
TWI470682B
TWI470682B TW97135885A TW97135885A TWI470682B TW I470682 B TWI470682 B TW I470682B TW 97135885 A TW97135885 A TW 97135885A TW 97135885 A TW97135885 A TW 97135885A TW I470682 B TWI470682 B TW I470682B
Authority
TW
Taiwan
Prior art keywords
single crystal
crystal semiconductor
substrate
semiconductor substrates
disk
Prior art date
Application number
TW97135885A
Other languages
Chinese (zh)
Other versions
TW200937508A (en
Inventor
Shunpei Yamazaki
Original Assignee
Semiconductor Energy Lab
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Lab filed Critical Semiconductor Energy Lab
Publication of TW200937508A publication Critical patent/TW200937508A/en
Application granted granted Critical
Publication of TWI470682B publication Critical patent/TWI470682B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1345Conductors connecting electrodes to cell terminals
    • G02F1/13454Drivers integrated on the active matrix substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

設置有半導體膜的基底及其製造方法Substrate provided with semiconductor film and method of manufacturing the same

本發明係關於設置有半導體膜的基底及其製造方法。該設置有半導體膜的基底是具有絕緣體上矽(SOI)結構的半導體基底。The present invention relates to a substrate provided with a semiconductor film and a method of manufacturing the same. The substrate provided with the semiconductor film is a semiconductor substrate having a structure on insulator (SOI).

近年來,VLSI技術的發展迅速,並且藉由實現速度的加快和功耗的減少的SOI技術已經引起注意。該技術通常是一種由體單晶矽基底製成的場效應電晶體(FET)的活性區域(溝道形成區域)由單晶矽膜構成的技術。衆所周知,當使用SOI結構而非常規體單晶矽基底製造MOS場效應電晶體時,可減小寄生電容,並且這種MOS場效應電晶體在加快速度方面是有利的。In recent years, VLSI technology has been rapidly developed, and attention has been paid by SOI technology that achieves speed increase and power consumption reduction. This technique is generally a technique in which an active region (channel formation region) of a field effect transistor (FET) made of a bulk single crystal germanium substrate is composed of a single crystal germanium film. It is well known that when a MOS field effect transistor is fabricated using an SOI structure instead of a conventional bulk single crystal germanium substrate, parasitic capacitance can be reduced, and such a MOS field effect transistor is advantageous in speeding up.

作爲SOI基底,SIMOX基底和接合基底是已知的。例如,具有SOI結構的SIMOX基底用以下方式製造:氧離子被植入體單晶矽基底且在1300℃或更高溫度進行熱處理以形成掩埋氧化物(BOX)層;因此,單晶矽膜在BOX層的表面上形成。在製造SIMOX基底時,儘管由於可精確地控制氧離子的植入,從而可將單晶膜的深度精確控制成使得該單晶膜可具有均勻厚度,但由於氧離子的植入會花很長時間,因此在操作時間和成本方面會有問題。此外,另一個問題是單晶矽膜容易被氧離子植入破壞。As the SOI substrate, SIMOX substrates and bonding substrates are known. For example, a SIMOX substrate having an SOI structure is fabricated in such a manner that oxygen ions are implanted into a bulk single crystal substrate and heat-treated at 1300 ° C or higher to form a buried oxide (BOX) layer; therefore, a single crystal germanium film is Formed on the surface of the BOX layer. In the manufacture of the SIMOX substrate, although the depth of the single crystal film can be precisely controlled so that the single crystal film can have a uniform thickness because the implantation of oxygen ions can be precisely controlled, the implantation of oxygen ions takes a long time. Time, so there is a problem with the operation time and cost. In addition, another problem is that the single crystal ruthenium film is easily destroyed by oxygen ion implantation.

具有SOI結構的接合基底用以下方式製造:兩個單晶矽基底(底部基底和接合基底)被接合在一起,其間夾有氧化物膜;並且單晶矽基底之一(接合基底)從後表面(不被接合的表面)減薄以使單晶矽膜形成。作爲減薄基底的一種方法,藉由研磨和拋光來形成厚薄均勻的單晶矽膜是困難的,因此已提出了稱爲“Smart Cut”(智慧切割,商標)的使用氫離子植入的技術(參見專利文獻1:日本公開專利申請H5-211128)。A bonding substrate having an SOI structure is manufactured in such a manner that two single crystal germanium substrates (a bottom substrate and a bonding substrate) are bonded together with an oxide film interposed therebetween; and one of the single crystal germanium substrates (bonding substrate) is from the rear surface The (unjoined surface) is thinned to form a single crystal germanium film. As a method of thinning a substrate, it is difficult to form a thin and uniform single crystal germanium film by grinding and polishing, and thus a technique called "Smart Cut" (Smart Cutting) has been proposed using hydrogen ion implantation. (See Patent Document 1: Japanese Laid-Open Patent Application No. H5-211128).

然而,常規SOI基底的尺寸取決於單晶矽晶片的尺寸,並且對常規SOI基底而言實現尺寸的增大是困難的。因此,本發明的一個目的是提一種供設置有半導體膜的基底,其比單晶矽基底大且接合有多個單晶矽半導體層。另一個目的是提供設置有半導體膜的基底的製造方法,藉由該方法可有效地將多個單晶矽半導體層接合到大面積的基底上。However, the size of a conventional SOI substrate depends on the size of a single crystal germanium wafer, and it is difficult to achieve an increase in size for a conventional SOI substrate. Accordingly, it is an object of the present invention to provide a substrate provided with a semiconductor film which is larger than a single crystal germanium substrate and which is bonded to a plurality of single crystal germanium semiconductor layers. Another object is to provide a method of fabricating a substrate provided with a semiconductor film by which a plurality of single crystal germanium semiconductor layers can be efficiently bonded to a large-area substrate.

本發明的設置有半導體膜的基底的一個方面包括底部基底、與該底部基底的上表面緊密接觸的多個絕緣層、以及與這些絕緣層的每一個的上表面緊密接觸的單晶矽半導體層。作爲底部基底,較佳地是使用一邊爲300毫米或更長的基底。One aspect of the substrate provided with the semiconductor film of the present invention includes a bottom substrate, a plurality of insulating layers in close contact with the upper surface of the bottom substrate, and a single crystal germanium semiconductor layer in close contact with the upper surface of each of the insulating layers . As the base substrate, it is preferred to use a substrate having a side of 300 mm or longer.

根據本發明的設置有半導體膜的基底的製造方法的一方面包括以下步驟:製備底部基底和多個單晶半導體基底,接合層形成於這些單晶半導體基底的上表面上且在每一個單晶半導體基底中受損區域在預期深度處形成;在盤上佈置多個單晶半導體基底;使置於盤上的多個單晶半導體基底與底部基底緊密接觸,其間夾有接合層,以接合接合層的一個表面和底部基底的一個表面,從而使底部基底和多個單晶半導體基底彼此接合在一起;並且藉由加熱置於盤上的多個單晶半導體基底在受損區域中生成裂縫,從而形成與單晶半導體基底分開的多個第一單晶半導體層與之緊密接觸的底部基底。An aspect of a method of fabricating a substrate provided with a semiconductor film according to the present invention includes the steps of: preparing a bottom substrate and a plurality of single crystal semiconductor substrates formed on an upper surface of the single crystal semiconductor substrates and at each single crystal A damaged region in the semiconductor substrate is formed at a desired depth; a plurality of single crystal semiconductor substrates are disposed on the disk; and the plurality of single crystal semiconductor substrates placed on the disk are in close contact with the bottom substrate with a bonding layer interposed therebetween for bonding One surface of the layer and one surface of the bottom substrate such that the bottom substrate and the plurality of single crystal semiconductor substrates are bonded to each other; and cracks are generated in the damaged region by heating a plurality of single crystal semiconductor substrates placed on the disk, Thereby, a bottom substrate in which a plurality of first single crystal semiconductor layers separated from the single crystal semiconductor substrate are in close contact is formed.

根據本發明的設置有半導體膜的基底的製造方法的一方面包括以下步驟:製備底部基底和多個單晶半導體基底,接合層形成於這些單晶半導體基底的上表面上且在每一個單晶半導體基底中受損區域在預期深度處形成;在第一盤上佈置多個單晶半導體基底;使置於第一盤上的多個單晶半導體基底與底部基底緊密接觸,其間夾有接合層,以接合接合層的一個表面和底部基底的一個表面,從而使底部基底和多個單晶半導體基底彼此接合在一起;並且藉由加熱置於第一盤上的多個單晶半導體基底在受損區域中生成裂縫,從而形成與單晶半導體基底分開的多個第一單晶半導體層與之緊密接觸的底部基底。An aspect of a method of fabricating a substrate provided with a semiconductor film according to the present invention includes the steps of: preparing a bottom substrate and a plurality of single crystal semiconductor substrates formed on an upper surface of the single crystal semiconductor substrates and at each single crystal A damaged region in the semiconductor substrate is formed at a desired depth; a plurality of single crystal semiconductor substrates are disposed on the first disk; and the plurality of single crystal semiconductor substrates placed on the first disk are in close contact with the bottom substrate with a bonding layer interposed therebetween To bond one surface of the bonding layer and one surface of the bottom substrate such that the bottom substrate and the plurality of single crystal semiconductor substrates are bonded to each other; and by heating a plurality of single crystal semiconductor substrates placed on the first disk A crack is formed in the damaged region, thereby forming a bottom substrate in which the plurality of first single crystal semiconductor layers separated from the single crystal semiconductor substrate are in close contact.

在絕緣層的形成步驟中,置於第二盤上的多個單晶基底可被置入含有氟化物氣體或氟氣的反應室,處理氣體可被導入該反應室,該處理氣體可被激發以生成電漿,該電漿中包括的活性種類的化學反應可進行以形成具有單個層或兩個或更多個層的絕緣膜。相同的盤或不同的盤可被用作第一盤和第二盤。In the forming step of the insulating layer, the plurality of single crystal substrates placed on the second tray may be placed in a reaction chamber containing a fluoride gas or a fluorine gas, and the processing gas may be introduced into the reaction chamber, and the processing gas may be excited To generate a plasma, a chemical reaction of the active species included in the plasma can be performed to form an insulating film having a single layer or two or more layers. The same disc or a different disc can be used as the first disc and the second disc.

以使用氟化物氣體或氟氣藉由電漿氣體蝕刻清洗反應室而使該氟化物氣體或氟氣留在反應室中的方式,氟化物氣體或氟氣可被包含在反應室內。或者,可藉由將氟化物氣體或氟氣供入反應室,氟化物氣體或氟氣可被包含在反應室內。Fluoride gas or fluorine gas may be contained in the reaction chamber in such a manner that the fluoride gas or fluorine gas is left in the reaction chamber by plasma gas etching or fluorine gas cleaning by plasma gas etching. Alternatively, a fluoride gas or a fluorine gas may be contained in the reaction chamber by supplying a fluoride gas or a fluorine gas into the reaction chamber.

在上述發明中,較佳地將一邊爲300毫米或更長的基底用作底部基底。此外,接合層較佳地在絕緣層上形成,該絕緣層形成爲與單晶半導體基底相接觸。In the above invention, a substrate having a side of 300 mm or longer is preferably used as the base substrate. Further, the bonding layer is preferably formed on the insulating layer which is formed in contact with the single crystal semiconductor substrate.

本發明的設置有半導體膜的基底是具有SOI結構的基底,其面積比諸如矽晶片的體單晶半導體基底大。因此,藉由使用本發明的設置有半導體膜的基底,可改進比如半導體積體電路的半導體裝置的生產率。注意,在本說明書中,半導體裝置一般指可藉由利用半導體特性起作用的裝置。The substrate provided with the semiconductor film of the present invention is a substrate having an SOI structure having a larger area than a bulk single crystal semiconductor substrate such as a germanium wafer. Therefore, by using the substrate provided with the semiconductor film of the present invention, the productivity of a semiconductor device such as a semiconductor integrated circuit can be improved. Note that in the present specification, a semiconductor device generally refers to a device that can function by utilizing semiconductor characteristics.

根據本發明的製造方法,可製造具有SOI結構的設置有半導體膜的基底,其面積比諸如矽晶片的體單晶半導體基底大。According to the manufacturing method of the present invention, a substrate provided with a semiconductor film having an SOI structure having a larger area than a bulk single crystal semiconductor substrate such as a germanium wafer can be manufactured.

在下文中,將描述本發明。本領域技術人員容易理解,本發明可以許多不同方式實現,且本文中所公開的方式和細節可以各種方式修改而不背離本發明的精神和範圍。因此,本發明不應被解釋爲受限於各實施方式的描述。注意,不同附圖中相同附圖標記所標示的元件是相同的元件;因此,略去對材料、形狀、製造方法等的重複描述。Hereinafter, the present invention will be described. It will be readily apparent to those skilled in the art that the present invention may be practiced in many different ways and the various embodiments and details disclosed herein may be modified in various ways without departing from the spirit and scope of the invention. Therefore, the invention should not be construed as being limited to the description of the embodiments. Note that elements denoted by the same reference numerals in the different drawings are the same elements; therefore, repeated description of materials, shapes, manufacturing methods, and the like are omitted.

實施方式1Embodiment 1

在實施方式1中,描述了具有SOI結構的設置有半導體膜的基底,其是設置有多個單晶半導體層的基底,並描述了其製造方法。In Embodiment 1, a substrate provided with a semiconductor film having an SOI structure, which is a substrate provided with a plurality of single crystal semiconductor layers, and a method of manufacturing the same are described.

圖1是示出設置有半導體膜的基底100的結構示例的立體圖。作爲設置有半導體膜的基底100,多個單晶半導體層116被接合到底部基底101。各個單晶半導體層116被設置在底部基底101上,其間夾有絕緣層102,且設置有半導體膜的基底100是所謂具有SOI結構的半導體基底。因此,在下文中將把設置有半導體膜的基底100稱爲“半導體基底100”。FIG. 1 is a perspective view showing a structural example of a substrate 100 provided with a semiconductor film. As the substrate 100 provided with the semiconductor film, a plurality of single crystal semiconductor layers 116 are bonded to the base substrate 101. Each of the single crystal semiconductor layers 116 is disposed on the base substrate 101 with the insulating layer 102 interposed therebetween, and the substrate 100 provided with the semiconductor film is a so-called semiconductor substrate having an SOI structure. Therefore, the substrate 100 provided with the semiconductor film will hereinafter be referred to as "semiconductor substrate 100".

絕緣層102可具有單層結構或疊層結構。在本實施方式中,絕緣層102具有三層結構。在底部基底101之上,接合層114、絕緣膜112b、以及絕緣膜112a按此次序堆疊。The insulating layer 102 may have a single layer structure or a stacked structure. In the present embodiment, the insulating layer 102 has a three-layer structure. Above the bottom substrate 101, the bonding layer 114, the insulating film 112b, and the insulating film 112a are stacked in this order.

每個單晶半導體層116都是藉由減薄單晶半導體基底形成的層。可購買的半導體基底可被用作單晶半導體基底。例如,可使用由第4族元素製成的單晶半導體基底,諸如單晶矽基底、單晶鍺基底、或單晶矽-鍺基底。或者,可使用包括砷化鎵、磷化銦等的化合物半導體基底。Each of the single crystal semiconductor layers 116 is a layer formed by thinning a single crystal semiconductor substrate. A commercially available semiconductor substrate can be used as the single crystal semiconductor substrate. For example, a single crystal semiconductor substrate made of a Group 4 element such as a single crystal germanium substrate, a single crystal germanium substrate, or a single crystal germanium-germanium substrate can be used. Alternatively, a compound semiconductor substrate including gallium arsenide, indium phosphide, or the like can be used.

作爲底部基底101,使用具有絕緣表面的基底。具體地,可舉出在電子行業中使用的各種玻璃基底,諸如使用鋁矽酸鹽玻璃、鋁硼矽酸鹽玻璃、鋇硼矽酸鹽玻璃的基底,也可舉出石英基底、陶瓷基底以及藍寶石基底。較佳地,玻璃基底被用作底部基底101。作爲玻璃基底,可使用熱膨脹係數高於或等於25×10-7 /℃且低於或等於50×10-7 /℃(較佳地高於或等於30×10-7 /℃且低於或等於40×10-7 /℃)且畸變點高於或等於580℃且低於或等於680℃(較佳地高於或等於600℃且低於或等於680℃)的玻璃基底。此外,爲了抑制半導體裝置受污染,玻璃基底較佳的是無鹼玻璃。作爲無鹼玻璃基底的材料,可舉出諸如鋁矽酸鹽玻璃、鋁硼矽酸鹽玻璃、鋇硼矽酸鹽玻璃的玻璃材料。此外,作爲底部基底101,可使用由絕緣體製成的絕緣基底,諸如陶瓷基底、石英基底、以及藍寶石基底;由諸如金屬或不銹鋼的導體製成的導電基底;或由諸如矽或砷化鎵的半導體製成的半導體基底等等,以替代玻璃基底。As the base substrate 101, a substrate having an insulating surface is used. Specifically, various glass substrates used in the electronics industry, such as a substrate using an aluminosilicate glass, an aluminoborosilicate glass, or a bismuth borate glass, may also be mentioned, as well as a quartz substrate, a ceramic substrate, and Sapphire base. Preferably, a glass substrate is used as the bottom substrate 101. As the glass substrate, a coefficient of thermal expansion higher than or equal to 25 × 10 -7 / ° C and lower than or equal to 50 × 10 -7 / ° C (preferably higher than or equal to 30 × 10 -7 / ° C and lower or A glass substrate equal to 40 x 10 -7 / ° C) and having a distortion point higher than or equal to 580 ° C and lower than or equal to 680 ° C (preferably higher than or equal to 600 ° C and lower than or equal to 680 ° C). Further, in order to suppress contamination of the semiconductor device, the glass substrate is preferably an alkali-free glass. As a material of the alkali-free glass substrate, a glass material such as aluminosilicate glass, aluminoborosilicate glass, or bismuth borate glass can be cited. Further, as the base substrate 101, an insulating substrate made of an insulator such as a ceramic substrate, a quartz substrate, and a sapphire substrate; a conductive substrate made of a conductor such as metal or stainless steel; or a material such as germanium or gallium arsenide may be used. A semiconductor substrate made of a semiconductor or the like instead of a glass substrate.

作爲底部基底101,較佳的是使用300毫米×300毫米或更大的基底。例如,作爲這種大面積基底,較佳地使用開發成用於製造液晶面板的母板玻璃(mother glass)基底。已知以下尺寸的基底是作爲母板玻璃基底的,例如:第三代(550mm×650mm)、第3.5代(600mm×720mm)、第四代(680mm×880mm或730mm×920mm)、第五代(1100mm×1300mm)、第六代(1500mm×1850mm)、第七代(1870mm×2200mm)、第八代(2200mm×2400mm)等等。藉由將大面積母板玻璃基底用作底部基底101來製造SOI基底,可實現SOI基底的尺寸增大。As the base substrate 101, it is preferred to use a substrate of 300 mm × 300 mm or more. For example, as such a large-area substrate, a mother glass substrate developed for manufacturing a liquid crystal panel is preferably used. Substrates of the following sizes are known as mother glass substrates, such as: third generation (550 mm x 650 mm), 3.5th generation (600 mm x 720 mm), fourth generation (680 mm x 880 mm or 730 mm x 920 mm), fifth generation (1100mm × 1300mm), sixth generation (1500mm × 1850mm), seventh generation (1870mm × 2200mm), eighth generation (2200mm × 2400mm) and so on. By fabricating an SOI substrate using a large-area mother glass substrate as the bottom substrate 101, an increase in the size of the SOI substrate can be achieved.

SOI基底的尺寸增大可藉由將諸如母板玻璃基底的大面積基底用作底部基底101來實現。當實現SOI基底的尺寸增大時,可由一個SOI基底製造出大量的IC晶片、LSI晶片等。由於由一個基底製造出的晶片的數量增多,這可大大地提高生產率。The size increase of the SOI substrate can be achieved by using a large-area substrate such as a mother glass substrate as the bottom substrate 101. When the size of the SOI substrate is increased, a large number of IC chips, LSI wafers, and the like can be fabricated from one SOI substrate. This can greatly increase productivity due to an increase in the number of wafers manufactured from one substrate.

參照圖2、圖3、圖4、圖5A和5B、圖6A和6B、圖7A-7D、圖8A和8B、圖9、以及圖10A和10B,描述圖1中示出的半導體基底100(設置有半導體膜的基底100)的製造方法。Referring to FIGS. 2, 3, 4, 5A and 5B, FIGS. 6A and 6B, FIGS. 7A-7D, FIGS. 8A and 8B, FIG. 9, and FIGS. 10A and 10B, the semiconductor substrate 100 shown in FIG. 1 is described ( A method of manufacturing a substrate 100) provided with a semiconductor film.

首先,製備單晶半導體基底111。該單晶半導體基底111被處理成所需尺寸和形狀。圖2是示出單晶半導體基底111的結構的一個示例的外部視圖。當考慮單晶半導體基底111與之接合的底部基底101的形狀是矩形的,並且諸如微縮投影(reduced-projection)曝光裝置的曝光裝置的曝光區域是矩形的事實時,單晶半導體基底111的形狀最好爲矩形,如圖2所示。注意,除非另有指定,正方形也可作爲矩形包括在內。例如,矩形單晶半導體基底111長邊的長度最好被處理成是微縮投影曝光裝置的一個投影的曝光區域的一次發射的邊的長度的n倍(n是任意正整數,且)。First, a single crystal semiconductor substrate 111 is prepared. The single crystal semiconductor substrate 111 is processed to a desired size and shape. FIG. 2 is an external view showing one example of the structure of the single crystal semiconductor substrate 111. The shape of the single crystal semiconductor substrate 111 when considering the fact that the shape of the base substrate 101 to which the single crystal semiconductor substrate 111 is bonded is rectangular, and the exposure region of the exposure device such as a reduced-projection exposure device is rectangular It is preferably a rectangle, as shown in Figure 2. Note that squares can also be included as rectangles unless otherwise specified. For example, the length of the long side of the rectangular single crystal semiconductor substrate 111 is preferably processed to be n times the length of the side of one shot of the exposed area of one projection of the miniature projection exposure apparatus (n is any positive integer, and ).

該矩形的單晶半導體基底111可藉由切割可購得的圓形的體單晶半導體基底形成。爲了切割該基底,可使用諸如切塊機或線狀鋸的切割裝置、諸如雷射切割機、電漿切割機或電子束切割機等的切割裝置。或者,在切成基底之前,用於製造半導體基底的結晶塊可被處理成長方體以使其具有矩形截面,並且此長方體的結晶塊可被切割以製造矩形的單晶半導體基底111。The rectangular single crystal semiconductor substrate 111 can be formed by cutting a commercially available circular bulk single crystal semiconductor substrate. In order to cut the substrate, a cutting device such as a dicer or a wire saw, a cutting device such as a laser cutter, a plasma cutter or an electron beam cutter may be used. Alternatively, the crystal block for fabricating the semiconductor substrate may be processed into a rectangular body to have a rectangular cross section before being cut into a substrate, and the crystal block of the rectangular parallelepiped may be cut to manufacture a rectangular single crystal semiconductor substrate 111.

注意,在將由第4族元素製成的其晶體結構爲金剛石結構的基底(像單晶矽基底)用作單晶半導體基底111的情形中,其主表面的平面取向可以是(100)、(110)或(111)。藉由使用具有主表面(100)的單晶半導體基底111,可使單晶半導體層116與在其表面上形成的絕緣層之間的介面態密度較低,這在製造場效應電晶體時是有利的。Note that in the case where a substrate made of a Group 4 element having a crystal structure of a diamond structure (such as a single crystal germanium substrate) is used as the single crystal semiconductor substrate 111, the plane orientation of the main surface thereof may be (100), ( 110) or (111). By using the single crystal semiconductor substrate 111 having the main surface (100), the interface state density between the single crystal semiconductor layer 116 and the insulating layer formed on the surface thereof can be made low, which is when manufacturing the field effect transistor advantageous.

藉由使用具有主表面(110)的單晶半導體基底111,包括在絕緣膜112a中的元素與包括在單晶半導體層116中的第4族元素(例如,矽元素)之間的緊密鍵在絕緣膜112a和單晶半導體層116之間的接合表面上形成。因此,接合層114和單晶半導體層116之間的接合力得到改進。By using the single crystal semiconductor substrate 111 having the main surface (110), a tight bond between an element included in the insulating film 112a and a Group 4 element (for example, a lanthanum element) included in the single crystal semiconductor layer 116 is A formation surface is formed between the insulating film 112a and the single crystal semiconductor layer 116. Therefore, the bonding force between the bonding layer 114 and the single crystal semiconductor layer 116 is improved.

藉由使用具有主表面(110)的單晶半導體基底111,單晶半導體層116的平面性得到改進,因爲與其他平面取向的表面相比原子被密集排列在主表面上。因此,使用具有主表面(110)的單晶半導體層116製造的電晶體具有極佳的電特性,諸如小S值和高電子場效應遷移率。注意,具有主表面(110)的單晶半導體基底的楊氏模量比具有主表面(100)的單晶半導體基底的高,並且具有容易形成裂縫的優點。By using the single crystal semiconductor substrate 111 having the main surface (110), the planarity of the single crystal semiconductor layer 116 is improved because atoms are densely arranged on the main surface as compared with other planarly oriented surfaces. Therefore, a transistor fabricated using the single crystal semiconductor layer 116 having the main surface (110) has excellent electrical characteristics such as a small S value and a high electron field effect mobility. Note that the single crystal semiconductor substrate having the main surface (110) has a Young's modulus higher than that of the single crystal semiconductor substrate having the main surface (100), and has an advantage that cracks are easily formed.

在清洗完單晶半導體基底111之後,將多個單晶半導體基底111置於盤10上。圖3是示出盤10的結構示例的外部視圖。盤10爲板狀構件,且設置有多個用於容納單晶半導體基底111的凹部11。圖3所示的盤是用於製造圖1的半導體基底100的盤,其中凹部11構成爲三行三列。如圖4所示,單晶半導體基底111被置於盤10之上以使其裝入凹部11。圖4是示出多個單晶半導體基底111被置於盤10之上的狀態的外部視圖。After the single crystal semiconductor substrate 111 is cleaned, a plurality of single crystal semiconductor substrates 111 are placed on the disk 10. FIG. 3 is an external view showing a structural example of the disk 10. The disk 10 is a plate-like member, and is provided with a plurality of recesses 11 for accommodating the single crystal semiconductor substrate 111. The disk shown in FIG. 3 is a disk for manufacturing the semiconductor substrate 100 of FIG. 1, in which the recesses 11 are formed in three rows and three columns. As shown in FIG. 4, a single crystal semiconductor substrate 111 is placed on the disk 10 to be loaded into the recess 11. FIG. 4 is an external view showing a state in which a plurality of single crystal semiconductor substrates 111 are placed on the disk 10.

盤10使用在半導體基底100的製造過程中不會由熱處理改變其質量和形狀的材料來製造。具體地,最好選擇不會由熱處理膨脹很多的材料。例如,盤10可使用石英玻璃、不銹鋼、無鹼玻璃等來製造。The disk 10 is fabricated using a material that does not change its quality and shape by heat treatment during the manufacturing process of the semiconductor substrate 100. Specifically, it is preferable to select a material that does not expand much by heat treatment. For example, the disk 10 can be manufactured using quartz glass, stainless steel, alkali-free glass, or the like.

盤10的厚度可等於或大於1.1mm且等於或小於2mm。凹部11的深度可等於或大於0.2mm且等於或小於0.6mm,且最好等於或大於0.3mm且等於或小於0.5mm。盤10最好具有與底部基底101相同的尺寸。凹部11可具有使單晶半導體基底111裝入凹部11的尺寸。如圖4所示,在本實施方式的製造方法中,半導體基底100的單晶半導體層116的尺寸和陣列受凹部11的尺寸和陣列限制。The thickness of the disk 10 may be equal to or greater than 1.1 mm and equal to or less than 2 mm. The depth of the recess 11 may be equal to or larger than 0.2 mm and equal to or smaller than 0.6 mm, and is preferably equal to or larger than 0.3 mm and equal to or smaller than 0.5 mm. The disk 10 preferably has the same dimensions as the bottom substrate 101. The recess 11 may have a size in which the single crystal semiconductor substrate 111 is fitted into the recess 11. As shown in FIG. 4, in the manufacturing method of the present embodiment, the size and array of the single crystal semiconductor layer 116 of the semiconductor substrate 100 are limited by the size and array of the recesses 11.

圖5A和5B與圖6A和6B是示出盤10的結構示例的俯視圖。圖5A和5B是將尺寸爲600mm×720mm的母板玻璃基底用作底部基底101的情形中盤10的平面視圖,其中盤10的尺寸爲600mm×720mm。圖6A和6B是將尺寸爲730mm×920mm的第四代母板玻璃基底用作底部基底101的情形中盤10的平面視圖,其中盤10的尺寸爲730mm×920mm。5A and 5B and Figs. 6A and 6B are plan views showing structural examples of the disk 10. 5A and 5B are plan views of the disk 10 in the case where a mother glass substrate having a size of 600 mm × 720 mm is used as the base substrate 101, wherein the disk 10 has a size of 600 mm × 720 mm. 6A and 6B are plan views of the disk 10 in the case where a fourth generation mother glass substrate having a size of 730 mm × 920 mm is used as the base substrate 101, wherein the disk 10 has a size of 730 mm × 920 mm.

圖5A是考慮了凹部11的尺寸和陣列而選擇成與具有尺寸爲每一邊4英寸的曝光區的微縮投影曝光裝置相一致的盤10的平面視圖。盤10被分成四塊,且在每個塊中9個凹部11構成爲三行三列。凹部11各自的尺寸爲102mm×82mm以便於裝入一次發射的曝光區。在一個塊中,各行各列的相鄰凹部11之間的距離均爲11mm,而在縱向和橫向上盤10各邊到凹部11的最靠近盤10各邊的邊的距離均爲16mm。Figure 5A is a plan view of disk 10 selected to conform to a miniature projection exposure apparatus having an exposed area of 4 inches on each side, taking into account the size and array of recesses 11. The disk 10 is divided into four blocks, and in each block, nine recesses 11 are formed in three rows and three columns. The recesses 11 each have a size of 102 mm x 82 mm to facilitate loading of the exposed area of one shot. In one block, the distance between adjacent recesses 11 of each row and column is 11 mm, and the distance from the sides of the disk 10 to the sides of the recess 11 closest to the sides of the disk 10 in the longitudinal and lateral directions is 16 mm.

圖5B是考慮了凹部11的尺寸和陣列而選擇成與具有尺寸爲每一邊5英寸的曝光區的微縮投影曝光裝置相一致的盤10的平面視圖。盤10被分成四塊,且在每個塊中6個凹部11構成爲三行兩列。凹部11各自的尺寸爲102mm×130mm以便於裝入一次發射的曝光區。在一個塊中,各列中相鄰凹部11之間的距離爲11mm,而各行中相鄰凹部11之間的距離爲10mm。在縱向和橫向上盤10各邊到凹部11的最靠近盤10各邊的邊的距離均爲16mm。Figure 5B is a plan view of the disc 10 selected to conform to the miniature projection exposure apparatus having an exposed area of 5 inches on each side, taking into account the size and array of recesses 11. The disk 10 is divided into four blocks, and in each block, six recesses 11 are formed in three rows and two columns. The recesses 11 each have a size of 102 mm x 130 mm to facilitate loading of the exposed area of one shot. In one block, the distance between adjacent recesses 11 in each column is 11 mm, and the distance between adjacent recesses 11 in each row is 10 mm. The distance from the sides of the disk 10 to the sides of the recess 11 closest to the sides of the disk 10 in the longitudinal and lateral directions is 16 mm.

圖6A是考慮了凹部11的尺寸和陣列而選擇成與具有尺寸爲每一邊4英寸的曝光區的微縮投影曝光裝置相一致的盤10的平面視圖。盤10被分成6塊,且在每個塊中9個凹部11構成爲三行三列。凹部11各自的尺寸爲105mm×84mm以便於裝入一次發射的曝光區。在一個塊中,各列中相鄰凹部11之間的距離爲10mm,且各行中相鄰凹部11之間的距離爲10mm。在縱向上盤10各邊到凹部11的最靠近盤10各邊的邊的距離爲16mm,而在橫向上盤10各邊到凹部11的最靠近盤10各邊的邊的距離爲15mm。Figure 6A is a plan view of disk 10 selected to conform to a miniature projection exposure apparatus having an exposure zone having a size of 4 inches on each side, taking into account the size and array of recesses 11. The disk 10 is divided into six blocks, and nine recesses 11 are formed in three rows and three columns in each block. The recesses 11 each have a size of 105 mm x 84 mm to facilitate loading of the exposed area of one shot. In one block, the distance between adjacent recesses 11 in each column is 10 mm, and the distance between adjacent recesses 11 in each row is 10 mm. The distance from each side of the disc 10 to the side of the recess 11 closest to each side of the disc 10 is 16 mm, and the distance from each side of the disc 10 to the side of the recess 11 closest to each side of the disc 10 is 15 mm.

圖6B是考慮了凹部11的尺寸和陣列而選擇成與具有尺寸爲每一邊5英寸的曝光區的微縮投影曝光裝置相一致的盤10的平面視圖。盤10被分成6塊,且在每個塊中6個凹部11構成爲兩行三列。凹部11各自的尺寸爲132mm×105mm以便於裝入一次發射的曝光區。在一個塊中,各列中相鄰凹部11之間的距離爲13mm,而各行中相鄰凹部11之間的距離爲10mm。在縱向和橫向上盤10各邊到凹部11的最靠近盤10各邊的邊的距離均爲15mm。Figure 6B is a plan view of the disc 10 selected to conform to the miniature projection exposure apparatus having an exposed area of 5 inches on each side, taking into account the size and array of recesses 11. The disk 10 is divided into six blocks, and six recesses 11 are formed in two rows and three columns in each block. The recesses 11 each have a size of 132 mm x 105 mm to facilitate loading of the exposed area of one shot. In one block, the distance between adjacent recesses 11 in each column is 13 mm, and the distance between adjacent recesses 11 in each row is 10 mm. The distance from the sides of the disk 10 to the sides of the recess 11 closest to the sides of the disk 10 in the longitudinal and lateral directions was 15 mm.

在下文中,將參照圖7A-圖10B的橫截面視圖描述在如圖3所示將單晶半導體基底111沈積在盤10上之後半導體基底100的製造方法。首先,如圖7A所示,絕緣層112在單晶半導體基底111上形成。絕緣層112可具有單層結構或兩層以上的多層結構。絕緣層112的厚度可大於或等於5nm且小於或等於400nm。作爲絕緣層112,可使用其成分中含矽或鍺的絕緣膜,諸如氧化矽膜、氮化矽膜、氧氮化矽膜、氮氧化矽膜、氧化鍺膜、氮化鍺膜、氧氮化鍺膜、或氮氧化鍺膜。或者,可使用由諸如氧化鋁、氧化鉭、或氧化鉿的金屬氧化物製成的絕緣膜;由諸如氮化鋁的金屬氮化物製成的絕緣膜;諸如氧氮化鋁膜的由金屬氧氮化物製成的絕緣膜;或諸如氮氧化鋁膜的由金屬氮氧化物製成的絕緣膜。Hereinafter, a method of manufacturing the semiconductor substrate 100 after depositing the single crystal semiconductor substrate 111 on the disk 10 as shown in FIG. 3 will be described with reference to cross-sectional views of FIGS. 7A to 10B. First, as shown in FIG. 7A, an insulating layer 112 is formed on a single crystal semiconductor substrate 111. The insulating layer 112 may have a single layer structure or a multilayer structure of two or more layers. The thickness of the insulating layer 112 may be greater than or equal to 5 nm and less than or equal to 400 nm. As the insulating layer 112, an insulating film containing germanium or antimony in its composition, such as a hafnium oxide film, a tantalum nitride film, a hafnium oxynitride film, a hafnium oxynitride film, a hafnium oxide film, a tantalum nitride film, or an oxygen-nitrogen can be used. A ruthenium film or a ruthenium oxynitride film. Alternatively, an insulating film made of a metal oxide such as alumina, yttria, or lanthanum oxide; an insulating film made of a metal nitride such as aluminum nitride; a metal oxide such as an aluminum oxynitride film may be used. An insulating film made of nitride; or an insulating film made of metal oxynitride such as an aluminum oxynitride film.

注意在本說明書中,氧氮化物是指在其組成中氧原子的數量多於氮原子的數量的物質,而氮氧化物是指在其組成中氮原子的數量多於氧原子的數量的物質。例如,氧氮化矽是氧含量在55原子%~65原子%的範圍內,氮含量在0.5原子%~20原子%的範圍內,Si含量在25原子%~35原子%的範圍內,氫含量在0.1原子%~20原子%的範圍內的物質。此外,氮氧化矽是氧含量在5原子%~30原子%的範圍內,氮含量在20原子%~55原子%的範圍內,Si含量在25原子%~35原子%的範圍內,氫含量在10原子%~30原子%的範圍內的物質。注意,氧氮化物和氮氧化物的組成可使用盧瑟福反向散射光譜測定法(RBS)和氫前向散射(HFS)來測量。注意,氧,氮、氫和矽的百分比是當氧氮化矽或氮氧化矽中所含原子的總數被定義爲100at.%時的值。Note that in the present specification, oxynitride refers to a substance in which the number of oxygen atoms is greater than the number of nitrogen atoms, and nitrogen oxide refers to a substance in which the number of nitrogen atoms is greater than the number of oxygen atoms. . For example, yttrium oxynitride has an oxygen content in the range of 55 atom% to 65 atom%, a nitrogen content in the range of 0.5 atom% to 20 atom%, and a Si content in the range of 25 atom% to 35 atom%, hydrogen. A substance having a content in the range of 0.1 atom% to 20 atom%. In addition, bismuth oxynitride has an oxygen content in the range of 5 atom% to 30 atom%, a nitrogen content in the range of 20 atom% to 55 atom%, a Si content in the range of 25 atom% to 35 atom%, and a hydrogen content. A substance in the range of 10 atom% to 30 atom%. Note that the composition of oxynitride and oxynitride can be measured using Rutherford backscatter spectroscopy (RBS) and hydrogen forward scatter (HFS). Note that the percentage of oxygen, nitrogen, hydrogen, and helium is a value when the total number of atoms contained in yttrium oxynitride or yttrium oxynitride is defined as 100 at.%.

形成絕緣層112的絕緣膜可藉由CVD法、濺射法、氧化或氮化單晶半導體基底111的方法等等形成。The insulating film forming the insulating layer 112 can be formed by a CVD method, a sputtering method, a method of oxidizing or nitriding the single crystal semiconductor substrate 111, or the like.

在將含有諸如降低半導體裝置的可靠性的鹼金屬或鹼土金屬之類的雜質的基底用作底部基底101的情形中,絕緣層112最好設置有至少一層可防止這種雜質從底部基底101擴散到SOI基底的半導體層的膜。作爲這種膜,可舉出氮化矽膜、氮氧化矽膜、氮化鋁膜、氮氧化鋁膜等。藉由包括這樣的膜,絕緣層112可起阻擋層的作用。In the case where a substrate containing an impurity such as an alkali metal or an alkaline earth metal which lowers the reliability of the semiconductor device is used as the underlying substrate 101, the insulating layer 112 is preferably provided with at least one layer which prevents such impurities from diffusing from the underlying substrate 101. a film to a semiconductor layer of an SOI substrate. Examples of such a film include a tantalum nitride film, a hafnium oxynitride film, an aluminum nitride film, and an aluminum nitride oxide film. By including such a film, the insulating layer 112 can function as a barrier layer.

例如,在將絕緣層112形成爲具有單層結構的阻擋層的情形中,可形成厚度爲5nm到200nm的氮化矽膜、氮氧化矽膜、氮化鋁膜、或氮氧化鋁膜。For example, in the case where the insulating layer 112 is formed as a barrier layer having a single layer structure, a tantalum nitride film, a hafnium oxynitride film, an aluminum nitride film, or an aluminum nitride oxide film having a thickness of 5 nm to 200 nm can be formed.

在將絕緣層112形成爲具有雙層結構的阻擋層的情形中,上層由具有高阻擋功能的絕緣膜構成。作爲這樣的絕緣膜,可形成厚度爲5nm到200nm的氮化矽膜、氮氧化矽膜、氮化鋁膜、或氮氧化鋁膜。這些膜具有防止雜質擴散的高阻擋效果,但具有高內應力。因此,作爲與單晶半導體基底111接觸的下層絕緣膜,較佳地是選擇具有減少上層絕緣膜應力的效果的膜。作爲這種絕緣膜,可舉出氧化矽膜、氮化矽膜、藉由熱氧化單晶半導體基底111形成的熱氧化膜等。下層絕緣膜的厚度可以是5nm到300nm。In the case where the insulating layer 112 is formed as a barrier layer having a two-layer structure, the upper layer is composed of an insulating film having a high barrier function. As such an insulating film, a tantalum nitride film, a hafnium oxynitride film, an aluminum nitride film, or an aluminum nitride oxide film having a thickness of 5 nm to 200 nm can be formed. These films have a high barrier effect of preventing diffusion of impurities, but have high internal stress. Therefore, as the lower insulating film that is in contact with the single crystal semiconductor substrate 111, it is preferable to select a film having an effect of reducing the stress of the upper insulating film. Examples of such an insulating film include a hafnium oxide film, a tantalum nitride film, a thermal oxide film formed by thermally oxidizing the single crystal semiconductor substrate 111, and the like. The thickness of the underlying insulating film may be 5 nm to 300 nm.

在本實施方式中,絕緣層112具有包括絕緣膜112a和絕緣膜112b的雙層結構。作爲絕緣層112中用作阻擋膜的絕緣膜112a與絕緣膜112b的組合,可給出以下作爲示例。氧化矽膜和氮化矽膜、氧氮化矽膜和氮化矽膜、氧化矽膜和氮氧化矽膜、氧氮化矽膜和氮氧化矽膜等等。In the present embodiment, the insulating layer 112 has a two-layer structure including an insulating film 112a and an insulating film 112b. As a combination of the insulating film 112a serving as a barrier film in the insulating layer 112 and the insulating film 112b, the following can be given as an example. A ruthenium oxide film and a tantalum nitride film, a bismuth oxynitride film and a tantalum nitride film, a ruthenium oxide film and a ruthenium oxynitride film, a bismuth oxynitride film, a ruthenium oxynitride film, and the like.

例如,上層的絕緣膜112a可由將SiH4 和N2 O用作處理氣體的電漿激發CVD法(下文中稱爲“PECVD法”)形成的氧氮化矽膜構成。或者,作爲絕緣膜112a,氧化矽膜可藉由將有機矽烷和氧氣用作處理氣體的PECVD法來形成。再或者,絕緣膜112a可由藉由氧化單晶半導體基底111形成的氧化膜構成。For example, the upper insulating film 112a may be composed of a yttrium oxynitride film formed by a plasma-excited CVD method (hereinafter referred to as "PECVD method") using SiH 4 and N 2 O as a processing gas. Alternatively, as the insulating film 112a, the yttrium oxide film can be formed by a PECVD method using organic decane and oxygen as a processing gas. Still alternatively, the insulating film 112a may be composed of an oxide film formed by oxidizing the single crystal semiconductor substrate 111.

有機矽烷是諸如以下的化合物:矽酸乙酯(四乙氧基矽烷,簡稱TEOS,化學式:Si(OC2 H5 )4 )、四甲基矽烷(TMS,化學式:Si(CH3 )4 )、四甲基環四矽氧烷(TMCTS)、八甲基環四矽氧烷(OMCTS)、六甲基二矽氮烷(HMDS)、三乙氧基矽烷(SiH(OC2 H5 )3 )、三(二甲氨基)矽烷(SiH(N(CH3 )2 )3 )等。The organic decane is a compound such as ethyl citrate (tetraethoxy decane, abbreviated as TEOS, chemical formula: Si(OC 2 H 5 ) 4 ), tetramethyl decane (TMS, chemical formula: Si(CH 3 ) 4 ) Tetramethylcyclotetraoxane (TMCTS), octamethylcyclotetraoxane (OMCTS), hexamethyldioxane (HMDS), triethoxydecane (SiH(OC 2 H 5 ) 3 ), tris(dimethylamino)decane (SiH(N(CH 3 ) 2 ) 3 ), and the like.

下層的絕緣膜112b可由藉由將SiH4 、N2 O、NH3 和H2 用作處理氣體的PECVD法形成的氮氧化矽膜,或藉由將SiH4 、N2 、N3 和H2 用作處理氣體的PECVD法形成的氮化矽膜構成。The lower insulating film 112b may be a hafnium oxynitride film formed by a PECVD method using SiH 4 , N 2 O, NH 3 , and H 2 as a processing gas, or by using SiH 4 , N 2 , N 3 , and H 2 It is composed of a tantalum nitride film formed by a PECVD method as a processing gas.

例如,在藉由PECVD法形成由氧氮化矽製成的絕緣膜112a和由氮氧化矽製成的絕緣膜112b的情形中,多個單晶半導體基底111被載入PECVD裝置的處理室。然後,SiH4 和N2 O被供入處理室作爲處理氣體用於形成絕緣膜112a,產生這些處理氣體的電漿,並在該單晶半導體基底111之上形成氮氧化矽膜。然後,導入處理室的氣體被切換成用於形成絕緣膜112b的處理的氣體。在此,使用SiH4 、NH3 、H2 和N2 O。產生這些氣體的混合氣體的電漿,並且在氧氮化矽膜上無間斷地形成氮氧化矽膜。同樣,在使用具有多個處理室的PECVD裝置的情形中,可在不同的處理室中形成氧氮化矽膜和氮氧化矽膜。當然,藉由切換引入處理室的氣體,氧化矽膜可被形成爲下層,而氮化矽膜可被形成爲上層。For example, in the case where the insulating film 112a made of hafnium oxynitride and the insulating film 112b made of hafnium oxynitride are formed by a PECVD method, a plurality of single crystal semiconductor substrates 111 are loaded into a processing chamber of a PECVD apparatus. Then, SiH 4 and N 2 O are supplied to the processing chamber as a processing gas for forming the insulating film 112a, plasma of these processing gases is generated, and a hafnium oxynitride film is formed on the single crystal semiconductor substrate 111. Then, the gas introduced into the processing chamber is switched to the processed gas for forming the insulating film 112b. Here, SiH 4 , NH 3 , H 2 and N 2 O are used. A plasma of a mixed gas of these gases is generated, and a ruthenium oxynitride film is formed without interruption on the yttrium oxynitride film. Also, in the case of using a PECVD apparatus having a plurality of processing chambers, a hafnium oxynitride film and a hafnium oxynitride film can be formed in different processing chambers. Of course, by switching the gas introduced into the process chamber, the ruthenium oxide film can be formed as a lower layer, and the tantalum nitride film can be formed as an upper layer.

藉由用以上方式形成絕緣膜112a和絕緣膜112b,絕緣層112能以有利生產量在多個單晶半導體基底111上形成。此外,因為絕緣膜112a和絕緣膜112b可在不暴露於大氣的情況下形成,所以可防止絕緣膜112a和絕緣膜112b之間介面被大氣的污染。By forming the insulating film 112a and the insulating film 112b in the above manner, the insulating layer 112 can be formed on the plurality of single crystal semiconductor substrates 111 with an advantageous throughput. Further, since the insulating film 112a and the insulating film 112b can be formed without being exposed to the atmosphere, contamination of the interface between the insulating film 112a and the insulating film 112b by the atmosphere can be prevented.

或者,藉由氧化單晶半導體基底111形成的氧化膜可被用作絕緣膜112a。用於形成該氧化膜的熱氧化處理可以是乾氧化,但將含鹵素氣體加入氧氣氣氛是較佳的。作為含鹵素氣體,可使用從以下選擇的一類或多類氣體:HCl、HF、NF3 、HBr、Cl、ClF、BCl3 、F、Br2 等。Alternatively, an oxide film formed by oxidizing the single crystal semiconductor substrate 111 can be used as the insulating film 112a. The thermal oxidation treatment for forming the oxide film may be dry oxidation, but it is preferred to add a halogen-containing gas to an oxygen atmosphere. As the halogen-containing gas, one or more types of gases selected from the group consisting of HCl, HF, NF 3 , HBr, Cl, ClF, BCl 3 , F, Br 2 and the like can be used.

例如,在700℃或更高溫度、含有相對於氧的體積比為0.5%-10%(最好體積比為3%)的HCl的氣氛中執行熱處理。最好,熱氧化在950℃到1100℃的加熱溫度下執行。處理時間可以是0.1到6小時,最好為0.5到1小時。所形成的該氧化膜的厚度可被製成10nm到1000nm(最好為50nm到200nm),例如100nm。For example, heat treatment is performed in an atmosphere of HCl having a volume ratio of 0.5% to 10% (preferably, a volume ratio of 3%) at 700 ° C or higher. Preferably, the thermal oxidation is carried out at a heating temperature of 950 ° C to 1100 ° C. The treatment time can be from 0.1 to 6 hours, preferably from 0.5 to 1 hour. The thickness of the oxide film formed can be made 10 nm to 1000 nm (preferably 50 nm to 200 nm), for example, 100 nm.

藉由在這種溫度範圍進行氧化處理,可獲得鹵族元素的吸氣作用。作為吸氣作用,具體地有去除金屬雜質的作用。即,藉由氯的作用,諸如金屬等雜質轉變成揮發氯化物,然後以氣相排放並從單晶半導體基底111中去除。此外,藉由在氧化處理中使用鹵族元素,單晶半導體基底111的表面上的懸空鍵被終止,且可降低氧化膜與單晶半導體基底111的介面上的局部能級密度。By performing the oxidation treatment in this temperature range, the gettering action of the halogen element can be obtained. As the gettering action, specifically, the effect of removing metal impurities is obtained. That is, impurities such as metals are converted into volatile chlorides by the action of chlorine, and then discharged in the vapor phase and removed from the single crystal semiconductor substrate 111. Further, by using a halogen element in the oxidation treatment, the dangling bonds on the surface of the single crystal semiconductor substrate 111 are terminated, and the local level density of the interface between the oxide film and the single crystal semiconductor substrate 111 can be lowered.

藉由在含鹵素氣氛中進行該熱氧化處理,氧化膜可含鹵素。藉由含鹵族元素濃度為1×1017 原子/cm3 到5×1020 原子/cm3 ,在半導體基底100中,氧化膜可顯示出保護膜功能,即藉由捕捉諸如金屬的雜質防止污染單晶半導體層116。The oxide film may contain a halogen by performing the thermal oxidation treatment in a halogen-containing atmosphere. By the concentration of the halogen-containing element being 1 × 10 17 atoms/cm 3 to 5 × 10 20 atoms/cm 3 , in the semiconductor substrate 100, the oxide film can exhibit a protective film function, that is, by capturing impurities such as metal to prevent The single crystal semiconductor layer 116 is contaminated.

作爲用於藉由熱氧化處理形成下層絕緣膜112a和藉由諸如PECVD法的的氣相沈積法形成上層絕緣膜112b的方法的一個示例,可使用以下方法:在將單晶半導體基底111置於盤10上之前藉由熱氧化處理形成絕緣膜112a,將已在其上形成了氧化膜的絕緣膜112a的單晶半導體基底111置於盤10上,然後形成絕緣膜112b。As an example of a method for forming the lower insulating film 112a by thermal oxidation treatment and forming the upper insulating film 112b by a vapor deposition method such as PECVD, the following method can be used: the single crystal semiconductor substrate 111 is placed The insulating film 112a is formed by thermal oxidation treatment on the disk 10, and the single crystal semiconductor substrate 111 of the insulating film 112a on which the oxide film has been formed is placed on the disk 10, and then the insulating film 112b is formed.

在本實施方式中,包括在具有單層結構或疊層結構的絕緣層112中的絕緣膜的至少之一最好是含氟的絕緣膜。具體地,與單晶半導體基底111接觸的一層絕緣層112最好使用含氟絕緣膜形成。在本實施方式的情形中,可藉由在含氟化物氣體或氟氣的PECVD裝置的反應室中形成絕緣膜112a來使氟能被包含在絕緣膜112a中。用於形成絕緣膜112a的處理氣體被引入這種反應室,該處理氣體被激發以產生電漿,且引發包括在電漿內的活性種類的化學反應,使得絕緣膜112a在單晶半導體基底111上形成。In the present embodiment, at least one of the insulating films included in the insulating layer 112 having a single layer structure or a laminated structure is preferably a fluorine-containing insulating film. Specifically, an insulating layer 112 in contact with the single crystal semiconductor substrate 111 is preferably formed using a fluorine-containing insulating film. In the case of the present embodiment, fluorine can be contained in the insulating film 112a by forming the insulating film 112a in the reaction chamber of the fluorine-containing gas or fluorine gas PECVD apparatus. A process gas for forming the insulating film 112a is introduced into the reaction chamber, the process gas is excited to generate a plasma, and a chemical reaction of the active species included in the plasma is caused, so that the insulating film 112a is on the single crystal semiconductor substrate 111. Formed on.

藉由使用氟化物氣體的電漿氣體蝕刻清洗反應室,氟化合物氣體可被包含在PECVD裝置的反應室中。當膜藉由PECVD裝置形成時,藉由源材料的反應所產生的產物不僅沈積在基底的表面上而且沈積在反應室的內壁、電極、基底支架等之上。這些沈積產物是顆粒和粉塵的成因。因此,定期地進行去除這些沈積產物的清洗步驟。作爲反應室的典型清洗方法,可舉出使用電漿氣體蝕刻的方法。這是這樣的一種方法,其中諸如NF3 的氟化物氣體被引入反應室,該氟化物氣體被激發以產生電漿以使氟基產生,且沈積產物被蝕刻以便於去除。因爲藉由與氟基的反應產生的氟化物具有高蒸氣壓,所以氟化物藉由排氣系統從反應室去除。The cleaning chamber is etched by plasma gas etching using a fluoride gas, and the fluorine compound gas may be contained in a reaction chamber of the PECVD apparatus. When the film is formed by a PECVD apparatus, the product produced by the reaction of the source material is deposited not only on the surface of the substrate but also on the inner wall of the reaction chamber, the electrode, the substrate holder, and the like. These deposited products are the cause of particles and dust. Therefore, the washing step of removing these deposited products is performed periodically. As a typical cleaning method of the reaction chamber, a method of etching using a plasma gas can be mentioned. This is a method in which a fluoride gas such as NF 3 is introduced into the reaction chamber, the fluoride gas is excited to generate a plasma to generate a fluorine group, and the deposition product is etched for removal. Since the fluoride produced by the reaction with the fluorine group has a high vapor pressure, the fluoride is removed from the reaction chamber by the exhaust system.

在藉由電漿氣體蝕刻進行清洗時,用作清洗氣體的氟化物氣體被吸附在反應室的內壁以及設置在反應室中的電極和各種工具上。即,氟化物氣體可被包含在反應室中。注意,作爲用於使氟化物氣體被包含在反應室內的方法,可使用在反應室中設置置於盤10上的單晶半導體基底111之後氟化物氣體被引入反應室的方法,以及其中用氟化物氣體清洗反應室且使該氟化物氣體保留在反應室內的方法。When cleaning by plasma gas etching, the fluoride gas used as the cleaning gas is adsorbed on the inner wall of the reaction chamber and the electrodes and various tools disposed in the reaction chamber. That is, a fluoride gas can be contained in the reaction chamber. Note that as a method for causing a fluoride gas to be contained in the reaction chamber, a method in which a fluoride gas is introduced into the reaction chamber after the single crystal semiconductor substrate 111 placed on the disk 10 is disposed in the reaction chamber, and fluorine is used therein A method in which the chemical gas purges the reaction chamber and retains the fluoride gas in the reaction chamber.

例如,在藉由使用SiH4 和N2 O的PECVD法形成氧氮化矽膜作爲絕緣膜112a的情形中,SiH4 和N2 O被提供給反應室,這些氣體被激發以生成電漿,且相應地保留在反應室內的氟化物氣體也被激發以形成氟基。因而,氧氮化矽膜可含氟。此外,少量的氟化物保留在反應室內,並且在形成氧氮化矽膜期間未提供該氟化物。因此,氟是在形成氧氮化矽膜的前期引入的。用此方式,在絕緣膜112a中,氟的濃度在單晶半導體基底111與絕緣膜112a(絕緣層112)之間的介面上或在該介面附近可增大。即,在圖1所示的半導體基底100的絕緣層112中,氟的濃度可在與單晶半導體層116的介面上或在該介面的附近增大。For example, in the case where a hafnium oxynitride film is formed as the insulating film 112a by a PECVD method using SiH 4 and N 2 O, SiH 4 and N 2 O are supplied to the reaction chamber, and these gases are excited to generate a plasma, The fluoride gas remaining in the reaction chamber is also excited to form a fluorine group. Thus, the yttrium oxynitride film can be fluorine-containing. In addition, a small amount of fluoride remains in the reaction chamber, and the fluoride is not supplied during the formation of the yttrium oxynitride film. Therefore, fluorine is introduced in the early stage of forming a yttrium oxynitride film. In this manner, in the insulating film 112a, the concentration of fluorine can be increased on the interface between the single crystal semiconductor substrate 111 and the insulating film 112a (insulating layer 112) or in the vicinity of the interface. That is, in the insulating layer 112 of the semiconductor substrate 100 shown in FIG. 1, the concentration of fluorine may increase on the interface with the single crystal semiconductor layer 116 or in the vicinity of the interface.

在與單晶半導體層116的介面上半導體中的懸空鍵可藉由使該區含氟來用氟終止;相應地,單晶半導體層116與絕緣層112之間的介面狀態密度可降低。此外,即使在諸如鈉的金屬從底部基底101擴散到絕緣層112的情形中,如果氟存在,則該金屬可被該氟捕捉,從而防止單晶半導體層116被金屬污染。The dangling bonds in the semiconductor at the interface with the single crystal semiconductor layer 116 can be terminated with fluorine by making the region fluorine-containing; accordingly, the interface state density between the single crystal semiconductor layer 116 and the insulating layer 112 can be lowered. Further, even in the case where a metal such as sodium diffuses from the base substrate 101 to the insulating layer 112, if fluorine exists, the metal can be trapped by the fluorine, thereby preventing the single crystal semiconductor layer 116 from being contaminated with metal.

代替氟化物氣體,反應室中也可含有氟氣(F2 )。氟化物是其成分中含氟(F)的化合物。作爲氟化物氣體,可使用選自OF2 ,ClF3 ,NF3 ,FNO,F3 NO,SF6 ,SF5 NO,SOF2 等的氣體。或者,其成分中含碳的任一以下氟化合物氣體可被用作氟化物氣體:全氟化碳(PFC)、氫氟化碳(HFC)、氟氯烴(HCFC)、氟代醚、碳酰氟和氟代酯化物。Instead of the fluoride gas, the reaction chamber may also contain fluorine gas (F 2 ). Fluoride is a compound containing fluorine (F) in its composition. As the fluoride gas, a gas selected from the group consisting of OF 2 , ClF 3 , NF 3 , FNO, F 3 NO, SF 6 , SF 5 NO, SOF 2 or the like can be used. Alternatively, any of the following fluorine compound gases containing carbon in the composition may be used as the fluoride gas: perfluorocarbon (PFC), hydrofluorocarbon (HFC), hydrochlorofluorocarbon (HCFC), fluoroether, carbon Acyl fluoride and fluoroester.

作爲全氟化碳,可使用CF4 、C2 F6 、C3 F8 、C4 F10 、C3 F8 、C4 F6 、C4 F8 、C5 F8 等。作爲氫氟化碳,可使用CF3 CHF2 、CHF2 CHF2 、CF3 CHFCF3 、CF3 CF2 CHF2 、CHF2 CF2 CHF2 等。作爲氟代醚,可使用諸如CHF2 OCHF2 、CF3 OCHFCF3 的氫氟醚(HFE);CF3 OCF=CF2 、C2 F5 OCF=CF2 、C3 F6 O、C3 F6 O2 、C4 F8 O、C4 F8 O2 等。作爲碳酰氟,可使用CF3 COCF3 等。作爲氟代酯,可使用CF3 COOCHF2 、CF3 COOC2 F5 等。As the perfluorocarbon, CF 4 , C 2 F 6 , C 3 F 8 , C 4 F 10 , C 3 F 8 , C 4 F 6 , C 4 F 8 , C 5 F 8 or the like can be used. As the hydrofluorocarbon, CF 3 CHF 2 , CHF 2 CHF 2 , CF 3 CHFCF 3 , CF 3 CF 2 CHF 2 , CHF 2 CF 2 CHF 2 or the like can be used. As the fluoroether, hydrofluoroether (HFE) such as CHF 2 OCHF 2 , CF 3 OCHFCF 3 can be used; CF 3 OCF=CF 2 , C 2 F 5 OCF=CF 2 , C 3 F 6 O, C 3 F 6 O 2 , C 4 F 8 O, C 4 F 8 O 2 and the like. As the carbonyl fluoride, CF 3 COCF 3 or the like can be used. As the fluoroalkyl ester, may be used CF 3 COOCHF 2, CF 3 COOC 2 F 5 and the like.

作爲其成分中含碳的氟化合物氣體,也可使用選自COF2 、CF3 COF、CF2 (COF)2 、C3 F7 COFCF3 OF、CF3 I、CF3 OOCF3 、CF3 OOOCF3 、CF3 CN、CF3 NO等的氣體。As the fluorine-containing compound gas containing carbon in the composition, it is also possible to use a compound selected from the group consisting of COF 2 , CF 3 COF, CF 2 (COF) 2 , C 3 F 7 COFCF 3 OF, CF 3 I, CF 3 OOCF 3 , CF 3 OOOCF. 3 , CF 3 CN, CF 3 NO and other gases.

接著,參照圖7B描述在各個單晶半導體基底111中形成受損區113的步驟。由藉由電場加速的離子構成的離子束121穿過絕緣層112被發射到單晶半導體基底111,以在距離單晶半導體基底111的表面預定深度的區域中形成受損區域113。該離子輻射步驟是其中用由加速離子種類構成的離子束121輻射單晶半導體基底111、將該離子種類中包括的元素添加到單晶半導體基底111的步驟。因此,當離子束121被發射到單晶半導體基底111時,藉由加速離子種類的撞擊在各個單晶半導體基底111中的預定深度處形成其中晶體結構脆性的脆化層。該層是受損區域113。離子束121是藉由激發源氣體以生成該源氣體的電漿,然後藉由電場的作用提取該電漿中所含的離子來生成的。Next, a step of forming the damaged region 113 in each of the single crystal semiconductor substrates 111 will be described with reference to FIG. 7B. An ion beam 121 composed of ions accelerated by an electric field is emitted through the insulating layer 112 to the single crystal semiconductor substrate 111 to form a damaged region 113 in a region of a predetermined depth from the surface of the single crystal semiconductor substrate 111. The ion irradiation step is a step in which the single crystal semiconductor substrate 111 is irradiated with the ion beam 121 composed of the accelerated ion species, and an element included in the ion species is added to the single crystal semiconductor substrate 111. Therefore, when the ion beam 121 is emitted to the single crystal semiconductor substrate 111, an embrittlement layer in which the crystal structure is brittle is formed at a predetermined depth in each of the single crystal semiconductor substrates 111 by the impact of the accelerated ion species. This layer is the damaged area 113. The ion beam 121 is generated by exciting a source gas to generate a plasma of the source gas, and then extracting ions contained in the plasma by an action of an electric field.

形成有受損區域113的區域的深度可藉由控制離子束121的加速能量和入射角來調節。加速能量可藉由控制加速電壓、劑量等來調節。受損區113在處於與離子的平均透深相同的深度的區域中形成。取決於離子被添加的深度,設置與單晶半導體基底111分隔開的半導體層的厚度。形成受損區113的深度在50nm到500nm,最好在50nm到200nm的範圍內。The depth of the region where the damaged region 113 is formed can be adjusted by controlling the acceleration energy and the incident angle of the ion beam 121. The acceleration energy can be adjusted by controlling the acceleration voltage, the dose, and the like. The damaged area 113 is formed in a region at the same depth as the average depth of the ions. The thickness of the semiconductor layer separated from the single crystal semiconductor substrate 111 is set depending on the depth to which the ions are added. The depth of the damaged region 113 is formed in the range of 50 nm to 500 nm, preferably in the range of 50 nm to 200 nm.

在將離子添加到單晶半導體基底111時,最好使用不涉及質量分離的離子摻雜法,而非涉及質量分離的離子植入法。這是因爲可縮短用於在置於盤10上的多個單晶半導體基底111中形成受損區域113的節拍時間。When ions are added to the single crystal semiconductor substrate 111, it is preferable to use an ion doping method which does not involve mass separation, and an ion implantation method which involves mass separation. This is because the tact time for forming the damaged region 113 in the plurality of single crystal semiconductor substrates 111 placed on the disk 10 can be shortened.

裝在盤10內的單晶半導體基底111被裝入離子摻雜裝置。然後,源氣體被激發以生成電漿,並且從該電漿中提取離子種類並加速以生成離子束121。該離子束121被發射到多個單晶半導體基底111;因此,將離子以高濃度引入預定深度的區域,並且在單晶半導體基底111中形成受損區域113。The single crystal semiconductor substrate 111 housed in the disk 10 is loaded into an ion doping apparatus. Then, the source gas is excited to generate a plasma, and ion species are extracted from the plasma and accelerated to generate an ion beam 121. The ion beam 121 is emitted to the plurality of single crystal semiconductor substrates 111; therefore, ions are introduced into a region of a predetermined depth at a high concentration, and the damaged regions 113 are formed in the single crystal semiconductor substrate 111.

在將氫氣(H2 )用作源氣體的情形中,氫氣可被激發以生成含H+ ,H2 + 和H3 + 的電漿。從源氣體生成的離子種類的比率可藉由調節電漿的激發方法、產生電漿的氣氛的壓力、源氣體的供應量等來變化。當離子束121中所含H+ ,H2 + 和H3 + 的總量被定義爲100%時,最好包括大於或等於50%的H3 + ,更最好是大於或等於80%的H3 +In the case where hydrogen (H 2 ) is used as the source gas, hydrogen gas can be excited to generate a plasma containing H + , H 2 + and H 3 + . The ratio of the ion species generated from the source gas can be changed by adjusting the excitation method of the plasma, the pressure of the atmosphere in which the plasma is generated, the supply amount of the source gas, and the like. When the total amount of H + , H 2 + and H 3 + contained in the ion beam 121 is defined as 100%, it is preferable to include H 3 + greater than or equal to 50%, more preferably 80% or more. H 3 + .

因爲H3 + 與其他氫離子種類(H+ 和H2 + )相比具有更大數目的氫原子從而具有較大質量,所以在用相同能量加速的情形中,與H+ 和H2 + 相比H3 + 被植入單晶半導體基底111的較淺區域。因此,就離子束121中所含H3 + 的高百分比而言,氫離子的平均滲透深度的變化變小;因此,單晶半導體基底111中深度方向上氫的濃度分佈變陡,並且可使該分佈的峰位置爲淺。因此,較佳的是當離子束121中所含H+ ,H2 + 和H3 + 的總量被定義爲100%時,最好包括大於或等於50%的H3 + ,更最好是大於或等於80%的H3 +Since H 3 + has a larger number of hydrogen atoms than other hydrogen ion species (H + and H 2 + ) and has a larger mass, in the case of acceleration with the same energy, with H + and H 2 + The shallower region of the single crystal semiconductor substrate 111 is implanted than H 3 + . Therefore, with respect to the high percentage of H 3 + contained in the ion beam 121, the change in the average penetration depth of the hydrogen ions becomes small; therefore, the concentration distribution of hydrogen in the depth direction in the single crystal semiconductor substrate 111 becomes steep, and The peak position of this distribution is shallow. Therefore, it is preferred that when the total amount of H + , H 2 + and H 3 + contained in the ion beam 121 is defined as 100%, it is preferable to include H 3 + greater than or equal to 50%, more preferably Greater than or equal to 80% H 3 + .

在藉由使用氫氣的離子摻雜法進行離子添加的情形中,加速電壓可以是10kV到200kV,且劑量可以是1×1016 離子/cm2 至6×1016 離子/cm2 。藉由在此條件下添加氫離子,受損區域113可在單晶半導體基底111的處於50nm到500nm深度處的區域中形成,儘管它取決於離子束121中所含的離子種類及其百分比。In the case of ion addition by ion doping using hydrogen, the accelerating voltage may be 10 kV to 200 kV, and the dose may be 1 x 10 16 ions/cm 2 to 6 x 10 16 ions/cm 2 . By adding hydrogen ions under this condition, the damaged region 113 can be formed in a region of the single crystal semiconductor substrate 111 at a depth of 50 nm to 500 nm, although it depends on the kind of ions contained in the ion beam 121 and its percentage.

例如,在源氣體爲氫氣、加速電壓爲40kV、且劑量爲2.2×1016 離子/cm2 的條件下,其中單晶半導體基底111是單晶矽基底,絕緣膜112a是厚度爲50nm的氧氮化矽膜,絕緣膜112b是厚度爲50nm的氮氧化矽膜的情形中,可從單晶半導體基底111中剝離厚度爲約120nm的單晶半導體層。或者,當在與以上相同但絕緣膜112a是厚度爲100nm的氧氮化矽膜的條件下添加氫離子時,可從單晶半導體基底111中剝離厚度爲約70nm的單晶半導體層。For example, in the case where the source gas is hydrogen, the accelerating voltage is 40 kV, and the dose is 2.2 × 10 16 ions/cm 2 , wherein the single crystal semiconductor substrate 111 is a single crystal germanium substrate, and the insulating film 112a is oxygen nitrogen having a thickness of 50 nm. In the case where the insulating film 112b is a hafnium oxynitride film having a thickness of 50 nm, a single crystal semiconductor layer having a thickness of about 120 nm can be peeled off from the single crystal semiconductor substrate 111. Alternatively, when hydrogen ions are added under the same conditions as above but the insulating film 112a is a yttrium oxynitride film having a thickness of 100 nm, a single crystal semiconductor layer having a thickness of about 70 nm can be peeled off from the single crystal semiconductor substrate 111.

也可將氦(He)用作離子束121的源氣體。因爲甚至藉由不涉及質量分離的離子摻雜法藉由激發氦氣生成的離子種類也幾乎全是He+ ,所以He+ 可作爲主要離子被添加到單晶半導體基底111。因此,可藉由離子摻雜法在受損區域113內有效率地形成微孔。在藉由使用氦的離子摻雜法進行離子添加的情形中,加速電壓可以是10kV到200kV,且劑量可以是1×1016 離子/cm2 至6×1016 離子/cm2Helium (He) can also be used as the source gas of the ion beam 121. Since the ion species generated by exciting the helium gas is almost entirely He + by the ion doping method which does not involve mass separation, He + can be added to the single crystal semiconductor substrate 111 as a main ion. Therefore, the micropores can be efficiently formed in the damaged region 113 by the ion doping method. In the case of ion addition by ion doping using ruthenium, the acceleration voltage may be 10 kV to 200 kV, and the dose may be 1 x 10 16 ions/cm 2 to 6 x 10 16 ions/cm 2 .

諸如氯氣(Cl2 氣體)或氟氣(F2 氣體)的鹵素氣體可被用作源氣體。A halogen gas such as chlorine gas (Cl 2 gas) or fluorine gas (F 2 gas) can be used as the source gas.

在形成受損區113之後,在絕緣層112的上表面上形成接合層114,如圖7所示。在形成接合層114的步驟中,單晶半導體基底111的加熱溫度是添加到受損區113的元素或分子不被析出的溫度,並且加熱溫度最好是350℃或更低。換言之,該加熱溫度是氣體不會從受損區113中釋放出的溫度。注意,接合層114可在形成受損區113之前形成。在此情形中,用於形成接合層114的處理溫度可以是350℃或更高溫度。After the damaged region 113 is formed, the bonding layer 114 is formed on the upper surface of the insulating layer 112 as shown in FIG. In the step of forming the bonding layer 114, the heating temperature of the single crystal semiconductor substrate 111 is a temperature at which elements or molecules added to the damaged region 113 are not precipitated, and the heating temperature is preferably 350 ° C or lower. In other words, the heating temperature is the temperature at which the gas is not released from the damaged area 113. Note that the bonding layer 114 may be formed before the damaged region 113 is formed. In this case, the processing temperature for forming the bonding layer 114 may be 350 ° C or higher.

該接合層114是用於在單晶半導體基底111的表面上形成光滑且親水的接合面的層。因此,接合層114的平均表面粗糙度Ra等於或小於0.7nm,且更爲最好等於或小於0.4nm。接合層114的厚度可等於或大於10nm且等於或小於200nm。該厚度最好等於或大於5nm,且等於或小於500nm,且更爲最好等於或大於10nm且大於或小於200nm。The bonding layer 114 is a layer for forming a smooth and hydrophilic bonding surface on the surface of the single crystal semiconductor substrate 111. Therefore, the average surface roughness Ra of the bonding layer 114 is equal to or less than 0.7 nm, and more preferably equal to or less than 0.4 nm. The thickness of the bonding layer 114 may be equal to or greater than 10 nm and equal to or less than 200 nm. The thickness is preferably equal to or greater than 5 nm and equal to or less than 500 nm, and more preferably equal to or greater than 10 nm and greater than or less than 200 nm.

接合層114最好是藉由化學氣相反應形成的絕緣膜。例如,可形成氧化矽膜、氧氮化矽膜、氮氧化矽膜、氮化矽膜等作爲接合層114。在藉由PECVD法形成氧化矽膜作爲接合層114的情形中,有機矽烷氣體和氧氣(O2 )最好被用作源氣體。將有機矽烷用作源氣體使得具有光滑表面的氧化矽膜能在350℃或更低的處理溫度下形成。或者,接合層114可使用藉由熱CVD法在200℃或更高且500℃或更低的加熱溫度下形成的低溫氧化物(LTO)來形成。爲了形成LTO,甲矽烷(SiH4)、乙矽烷(Si2 H6 )等可被用作矽源氣體,而一氧化二氮(N2 O)等可被用作氧源氣體。The bonding layer 114 is preferably an insulating film formed by a chemical vapor phase reaction. For example, a tantalum oxide film, a hafnium oxynitride film, a hafnium oxynitride film, a tantalum nitride film, or the like can be formed as the bonding layer 114. In the case where a ruthenium oxide film is formed as the bonding layer 114 by the PECVD method, an organic decane gas and oxygen (O 2 ) are preferably used as the source gas. The use of organic decane as a source gas enables a cerium oxide film having a smooth surface to be formed at a processing temperature of 350 ° C or lower. Alternatively, the bonding layer 114 may be formed using a low temperature oxide (LTO) formed by a thermal CVD method at a heating temperature of 200 ° C or higher and 500 ° C or lower. In order to form LTO, methotane (SiH4), acetylene (Si 2 H 6 ), or the like can be used as the helium source gas, and nitrous oxide (N 2 O) or the like can be used as the oxygen source gas.

例如,用於藉由將TEOS和O2 用作源氣體而形成氧化矽膜的接合層114的條件示例是:將TEOS以15sccm的流速引入處理室而O2 以750sccm的流速引入。該成膜壓力可以是100Pa,成膜溫度可以是300℃,高頻功率輸出可以是300W,且功率頻率可以是13.56MHz。For example, an example of a condition for forming the bonding layer 114 of the hafnium oxide film by using TEOS and O 2 as a source gas is that TEOS is introduced into the processing chamber at a flow rate of 15 sccm and O 2 is introduced at a flow rate of 750 sccm. The film forming pressure may be 100 Pa, the film forming temperature may be 300 ° C, the high frequency power output may be 300 W, and the power frequency may be 13.56 MHz.

圖7B步驟和圖7C步驟的順序可顛倒。換言之,在置於盤10上的多個單晶半導體基底111上形成絕緣層112和接合層114之後,可形成受損區113。在該情形中,如果絕緣層112和接合層114可用同一成膜裝置形成,則最好的是依次形成絕緣層112和接合層114。The order of the steps of Figure 7B and the steps of Figure 7C can be reversed. In other words, after the insulating layer 112 and the bonding layer 114 are formed on the plurality of single crystal semiconductor substrates 111 placed on the disk 10, the damaged region 113 can be formed. In this case, if the insulating layer 112 and the bonding layer 114 can be formed by the same film forming apparatus, it is preferable to form the insulating layer 112 and the bonding layer 114 in order.

再或者,在圖7B步驟之後,可執行圖7A的步驟和圖7C的步驟。換言之,在藉由離子摻雜置於盤10之上的多個單晶半導體基底111形成受損區113之後,可形成絕緣層112和接合層114。在該情形中,如果絕緣層112和接合層114可用同一成膜裝置形成,則最好的是依次形成絕緣層112和接合層114。或者,爲了保護單晶半導體基底111的表面,在形成受損區域113之前,可對該單晶半導體基底111進行氧化處理,以在各表面上形成氧化膜,且單晶半導體基底111可利用離子種類穿過氧化膜來摻雜。在形成受損區113之後去除該氧化膜。或者,可在保留該氧化膜的情況下形成絕緣層112。Still alternatively, after the step of FIG. 7B, the steps of FIG. 7A and the steps of FIG. 7C may be performed. In other words, after the damaged region 113 is formed by ion doping a plurality of single crystal semiconductor substrates 111 placed on the disk 10, the insulating layer 112 and the bonding layer 114 may be formed. In this case, if the insulating layer 112 and the bonding layer 114 can be formed by the same film forming apparatus, it is preferable to form the insulating layer 112 and the bonding layer 114 in order. Alternatively, in order to protect the surface of the single crystal semiconductor substrate 111, the single crystal semiconductor substrate 111 may be subjected to an oxidation treatment to form an oxide film on each surface, and the single crystal semiconductor substrate 111 may utilize ions before forming the damaged region 113. The species is doped through an oxide film. The oxide film is removed after the damaged region 113 is formed. Alternatively, the insulating layer 112 may be formed while retaining the oxide film.

因爲單晶半導體基底111藉由離子摻雜法用從源氣體生成的離子種類摻雜以供形成受損區113,所以除源氣體的離子種類之外的離子種類被包括在離子束121中。這些其他離子種類是例如部分地形成離子摻雜裝置的處理室的工具或電極的金屬等。因爲其他離子種類具有比源氣體(諸如氫氣或氦氣)的離子種類大的質量,所以其他離子種類藉由摻雜而被引入到在單晶半導體基底111的表面上形成的膜(絕緣層112、接合層114或氧化膜)的表面。爲了去除諸如金屬的雜質,在單晶半導體基底111的表面上形成的膜的表面可在離子摻雜步驟之後藉由濕法蝕刻去除一薄層。Since the single crystal semiconductor substrate 111 is doped with the ion species generated from the source gas by the ion doping method to form the damaged region 113, ion species other than the ion species of the source gas are included in the ion beam 121. These other ion species are, for example, metals or the like that partially form the tool or electrode of the processing chamber of the ion doping apparatus. Since other ion species have a mass larger than that of a source gas such as hydrogen or helium, other ion species are introduced into a film formed on the surface of the single crystal semiconductor substrate 111 by doping (insulating layer 112) The surface of the bonding layer 114 or the oxide film). In order to remove impurities such as metals, the surface of the film formed on the surface of the single crystal semiconductor substrate 111 may be removed by wet etching after the ion doping step.

接著,各自設置有絕緣層112、受損區113、以及接合層114的單晶半導體基底111與盤10分離,且清洗多個單晶半導體基底111。該清洗步驟可藉由利用純水的超聲波清洗進行。作爲超聲波清洗,兆赫的超聲波清洗(兆聲清洗)是最好的。在超聲波清洗之後,可用臭氧水清洗單晶半導體基底111。藉由臭氧水清洗,可去除有機物質,且可進行改進接合層114表面的親水性的表面啟動。在清洗步驟和表面啟動處理之後,單晶半導體基底111被置於盤10的凹部11,如圖7D所示。Next, the single crystal semiconductor substrate 111, which is provided with the insulating layer 112, the damaged region 113, and the bonding layer 114, is separated from the disk 10, and the plurality of single crystal semiconductor substrates 111 are cleaned. This washing step can be carried out by ultrasonic cleaning using pure water. As ultrasonic cleaning, megahertz ultrasonic cleaning (megasonic cleaning) is the best. After the ultrasonic cleaning, the single crystal semiconductor substrate 111 may be washed with ozone water. By ozone water washing, organic substances can be removed, and surface initiation of hydrophilicity of the surface of the bonding layer 114 can be performed. After the cleaning step and the surface start-up process, the single crystal semiconductor substrate 111 is placed in the recess 11 of the disk 10 as shown in Fig. 7D.

作爲接合層114的表面的啟動處理,可進行用原子束或離子束的輻射處理、電漿處理、或基處理、以及用臭氧水的清洗。當使用原子束或離子束時,可使用氬氣等的惰性氣體中性原子束或惰性氣體離子束。這些處理也可在單晶半導體基底111置於盤10上的情況下進行。As the activation treatment of the surface of the bonding layer 114, irradiation treatment with an atomic beam or an ion beam, plasma treatment, or base treatment, and cleaning with ozone water can be performed. When an atomic beam or an ion beam is used, an inert gas neutral atom beam or an inert gas ion beam of argon gas or the like can be used. These processes can also be performed with the single crystal semiconductor substrate 111 placed on the disk 10.

接著,貼附置於盤10上的單晶半導體基底111和底部基底101。在貼附之前,也對底部基底101進行清洗。此時,可使用鹽酸、過氧化氫溶液的清洗、或兆赫超音波清洗。與接合層114相似,最好對成爲底部基底101的接合面的表面進行表面啟動處理。Next, the single crystal semiconductor substrate 111 and the bottom substrate 101 placed on the disk 10 are attached. The bottom substrate 101 is also cleaned prior to attachment. At this time, washing with hydrochloric acid, hydrogen peroxide solution, or megahertz ultrasonic cleaning may be used. Similar to the bonding layer 114, it is preferable to perform surface activation treatment on the surface which becomes the bonding surface of the base substrate 101.

圖8A是示出接合步驟的橫截面視圖。底部基底101從其上置有多個單晶半導體基底111的盤10上方放置,且使該底部基底101和多個單晶半導體基底111彼此緊密接觸,其間夾有接合層114。約300N/cm2 到15000N/cm2 的壓力被施加於底部基底101的邊緣的一部分。該壓力最好是1000N/cm2 到5000N/cm2 。由於加壓部件,接合層114和底部基底101開始彼此接合在一起。然後,盤10上的所有單晶半導體基底111被接合到一個底部基底101,以使該多個單晶半導體基底111可與底部基底101緊密接觸。該接合步驟可在室溫下進行,而不進行熱處理;因此,像玻璃基底之類的耐熱性低到容許溫度限值爲700℃或更低的基底可被用作底部基底101。Fig. 8A is a cross-sectional view showing a joining step. The base substrate 101 is placed over the disk 10 on which the plurality of single crystal semiconductor substrates 111 are placed, and the base substrate 101 and the plurality of single crystal semiconductor substrates 111 are brought into close contact with each other with the bonding layer 114 interposed therebetween. A pressure of about 300 N/cm 2 to 15000 N/cm 2 is applied to a portion of the edge of the bottom substrate 101. The pressure is preferably from 1000 N/cm 2 to 5000 N/cm 2 . Due to the pressing member, the bonding layer 114 and the bottom substrate 101 start to be bonded to each other. Then, all of the single crystal semiconductor substrates 111 on the disk 10 are bonded to one of the base substrates 101 so that the plurality of single crystal semiconductor substrates 111 can be in close contact with the base substrate 101. This bonding step can be performed at room temperature without heat treatment; therefore, a substrate such as a glass substrate having heat resistance as low as an allowable temperature limit of 700 ° C or lower can be used as the base substrate 101.

因爲多個單晶半導體基底111被置於盤10上,所以存在因爲單晶半導體基底111之間厚度的差異引起的在一個單晶半導體基底111中接合層114的表面沒有與底部基底101相接觸的情形。因此,最好將壓力施加於不只是一部分(一個單晶半導體基底)而是每個單晶半導體基底111。此外,即使在處於單晶半導體基底111被置於盤10上的狀態中時接合層114的表面的高度有變化的情形中,如果接合層114的一部分因爲底部基底101的變形而與之緊密接觸,則也可對接合層114的整個表面進行接合。Since the plurality of single crystal semiconductor substrates 111 are placed on the disk 10, there is a surface of the bonding layer 114 in a single crystal semiconductor substrate 111 which is not in contact with the bottom substrate 101 due to the difference in thickness between the single crystal semiconductor substrates 111. The situation. Therefore, it is preferable to apply pressure to not only a part (a single crystal semiconductor substrate) but to each single crystal semiconductor substrate 111. Further, even in the case where the height of the surface of the bonding layer 114 is changed in a state where the single crystal semiconductor substrate 111 is placed on the disk 10, if a part of the bonding layer 114 is in close contact with it due to deformation of the bottom substrate 101 Then, the entire surface of the bonding layer 114 can also be bonded.

在如圖8A所示將底部基底101置於盤10之上之後,底部基底101的位置可被變成在底部,如圖9所示。藉由將底部基底101和盤10上下顛倒,可抵消單晶半導體基底111之間厚度的差異,從而可容易地使接合層114的整個表面與底部基底101的表面相接觸。After the bottom substrate 101 is placed on the disk 10 as shown in FIG. 8A, the position of the bottom substrate 101 can be changed to the bottom as shown in FIG. By inverting the bottom substrate 101 and the disk 10 upside down, the difference in thickness between the single crystal semiconductor substrates 111 can be offset, so that the entire surface of the bonding layer 114 can be easily brought into contact with the surface of the bottom substrate 101.

在將單晶半導體基底111接合到底部基底101之後,最好進行熱處理以便於在底部基底101和接合層114之間的接合介面增大接合力。該處理溫度被設置成不會在受損區113內產生裂縫的溫度,且可以在從200℃到450℃的溫度範圍內。此外,當單晶半導體基底111在上述範圍內的溫度加熱的同時被接合到底部基底101時,底部基底101和接合層114之間的接合介面上的接合力可變強。After bonding the single crystal semiconductor substrate 111 to the base substrate 101, heat treatment is preferably performed to increase the bonding force at the bonding interface between the bottom substrate 101 and the bonding layer 114. The treatment temperature is set to a temperature that does not cause cracks in the damaged region 113, and may be in a temperature range from 200 °C to 450 °C. Further, when the single crystal semiconductor substrate 111 is bonded to the base substrate 101 while being heated at a temperature within the above range, the bonding force on the bonding interface between the bottom substrate 101 and the bonding layer 114 can be made strong.

在如圖8A所示將底部基底101置於盤10之上的單晶半導體基底111之後,如果接合面被粉塵等污染,則在被污染部分不進行接合。因此,爲了防止接合面上的污染,放置底部基底101最好在密封處理室中進行。此外,處理室最好具有約5.0×10-3 Pa的降低的壓力,並且最好使接合處理中的氣氛保持清潔。After the bottom substrate 101 is placed on the single crystal semiconductor substrate 111 above the disk 10 as shown in FIG. 8A, if the joint surface is contaminated by dust or the like, the bonded portion is not joined. Therefore, in order to prevent contamination on the joint surface, it is preferable to place the bottom substrate 101 in the sealed processing chamber. Further, the processing chamber preferably has a reduced pressure of about 5.0 × 10 -3 Pa, and it is preferable to keep the atmosphere in the joining process clean.

接著,進行熱處理以引起受損區113處的分離,從而單晶半導體層115與單晶半導體基底111分離。圖8B示出將單晶半導體層115與單晶半導體基底111分離的分離步驟。附圖標記117標示的元件是單晶半導體層115與之分離的單晶半導體基底111。Next, heat treatment is performed to cause separation at the damaged region 113, whereby the single crystal semiconductor layer 115 is separated from the single crystal semiconductor substrate 111. FIG. 8B shows a separation step of separating the single crystal semiconductor layer 115 from the single crystal semiconductor substrate 111. The element indicated by reference numeral 117 is a single crystal semiconductor substrate 111 from which the single crystal semiconductor layer 115 is separated.

如圖8B所示,在許多情形中單晶半導體基底111的周邊部分不與底部基底101接合。這是因爲在運送單晶半導體基底111時單晶半導體基底111的周邊部分被削角,或者接合層114的周邊部分被損壞或弄髒;因此,在周邊部分,不使底部基底101與接合層114彼此緊密接觸。另一個可能原因是受損區113的分離在單晶半導體基底111的周邊部分不易進行。因此,尺寸比單晶半導體基底111小的單晶半導體層115被接合到底部基底101。此外,在單晶半導體基底117的周邊形成凸部,且絕緣膜112b、絕緣膜112b和接合層114的不與底部基底101接合的部分被留在凸部。As shown in FIG. 8B, the peripheral portion of the single crystal semiconductor substrate 111 is not bonded to the base substrate 101 in many cases. This is because the peripheral portion of the single crystal semiconductor substrate 111 is chamfered when the single crystal semiconductor substrate 111 is transported, or the peripheral portion of the bonding layer 114 is damaged or soiled; therefore, the bottom substrate 101 and the bonding layer are not allowed in the peripheral portion. 114 are in close contact with each other. Another possible reason is that the separation of the damaged region 113 is not easily performed at the peripheral portion of the single crystal semiconductor substrate 111. Therefore, the single crystal semiconductor layer 115 having a smaller size than the single crystal semiconductor substrate 111 is bonded to the base substrate 101. Further, a convex portion is formed on the periphery of the single crystal semiconductor substrate 117, and a portion of the insulating film 112b, the insulating film 112b, and the bonding layer 114 that is not bonded to the base substrate 101 is left in the convex portion.

當進行熱處理時,藉由離子摻雜添加的元素被析出到微孔,這些微孔隨著溫度增加而在受損區113中形成,且微孔中的壓力增大。隨著壓力增大,受損區113中微孔的體積改變,且在受損區113中產生裂縫;因此,單晶半導體基底111沿受損區113分離。因爲接合層114被接合到底部基底101,所以與單晶半導體基底111分離的單晶半導體層115被固定在底部基底101之上。用於將單晶半導體層115與單晶半導體基底111分離的熱處理的溫度被設置在不超過底部基底101的應變點的溫度。When heat treatment is performed, elements added by ion doping are precipitated into the micropores which are formed in the damaged region 113 as the temperature increases, and the pressure in the micropores increases. As the pressure increases, the volume of the micropores in the damaged region 113 changes, and cracks are generated in the damaged region 113; therefore, the single crystal semiconductor substrate 111 is separated along the damaged region 113. Since the bonding layer 114 is bonded to the base substrate 101, the single crystal semiconductor layer 115 separated from the single crystal semiconductor substrate 111 is fixed over the base substrate 101. The temperature of the heat treatment for separating the single crystal semiconductor layer 115 from the single crystal semiconductor substrate 111 is set at a temperature not exceeding the strain point of the bottom substrate 101.

該熱處理可用快速熱退火(RTA)裝置、電阻加熱爐、或微波加熱裝置來執行。作爲RTA裝置,可使用氣體快速熱退火(GRTA)裝置或燈快速熱退火(LRTA)裝置。最好的是,與單晶半導體層115接合的底部基底101的溫度藉由該熱處理被增至從550℃到650℃範圍的溫度。The heat treatment can be performed using a rapid thermal annealing (RTA) device, a resistance heating furnace, or a microwave heating device. As the RTA device, a gas rapid thermal annealing (GRTA) device or a lamp rapid thermal annealing (LRTA) device can be used. Most preferably, the temperature of the underlying substrate 101 bonded to the single crystal semiconductor layer 115 is increased to a temperature ranging from 550 ° C to 650 ° C by the heat treatment.

在使用GRTA裝置的情形中,加熱溫度可在550℃到650℃的範圍內,並且處理時間可在0.5分鐘到60分鐘的範圍內。在使用電阻加熱爐的情形中,加熱溫度可在200℃到650℃的範圍內,並且處理時間可在2小時到4小時的範圍內。在使用微波加熱裝置的情形中,例如,處理時間可在10分鐘到20分鐘的範圍內,且微波的頻率爲2.45GHz。In the case of using a GRTA device, the heating temperature may be in the range of 550 ° C to 650 ° C, and the treatment time may be in the range of 0.5 minute to 60 minutes. In the case of using a resistance heating furnace, the heating temperature may be in the range of 200 ° C to 650 ° C, and the treatment time may be in the range of 2 hours to 4 hours. In the case of using a microwave heating device, for example, the processing time may be in the range of 10 minutes to 20 minutes, and the frequency of the microwave is 2.45 GHz.

對使用以電阻加熱的豎爐的熱處理的特定處理方法進行描述。與置於盤10上的單晶半導體基底111接合的底部基底101(參見圖8A)被置於豎爐的舟皿中。該舟皿被載入豎爐的室中。首先,該室被抽空以具有真空狀態,以便於抑制單晶半導體基底111的氧化。真空度約爲5×10-3 Pa 。在形成該真空狀態之後,氮氣被供入該室以使該室具有處於大氣壓下的氮氣氣氛。在此期間,溫度增至200℃。A specific treatment method using heat treatment of a shaft furnace heated by electric resistance will be described. The bottom substrate 101 (see Fig. 8A) joined to the single crystal semiconductor substrate 111 placed on the disk 10 is placed in a boat of a shaft furnace. The boat was loaded into the chamber of the shaft furnace. First, the chamber is evacuated to have a vacuum state in order to suppress oxidation of the single crystal semiconductor substrate 111. The degree of vacuum is about 5 x 10 -3 P a . After the vacuum state was formed, nitrogen gas was supplied to the chamber to give the chamber a nitrogen atmosphere at atmospheric pressure. During this time, the temperature was increased to 200 °C.

在使該室具有處於大氣壓的氮氣氣氛之後,在200℃下加熱2小時。然後,在1小時內溫度增至400℃。在400℃加熱溫度的狀態穩定之後,該溫度在一小時內增至600℃。在600℃加熱溫度的狀態穩定之後,在600℃下進行2小時的熱處理。然後,溫度在一小時內降至400℃,10分鐘至30分鐘之後,從該室取出舟皿。在舟皿內的置於盤10上的單晶半導體基底117和與單晶半導體層115接合的底部基底101在氣氛中冷卻。After the chamber was allowed to have a nitrogen atmosphere at atmospheric pressure, it was heated at 200 ° C for 2 hours. Then, the temperature was increased to 400 ° C in 1 hour. After the state of the heating temperature of 400 ° C was stabilized, the temperature was increased to 600 ° C in one hour. After the state of the heating temperature of 600 ° C was stabilized, heat treatment was performed at 600 ° C for 2 hours. Then, the temperature was lowered to 400 ° C in one hour, and after 10 minutes to 30 minutes, the boat was taken out from the chamber. The single crystal semiconductor substrate 117 placed on the disk 10 in the boat and the bottom substrate 101 bonded to the single crystal semiconductor layer 115 are cooled in the atmosphere.

作爲使用上述電阻加熱爐的熱處理,可依次執行用於增大接合層114與底部基底101之間的接合力的熱處理和用於引起受損區113上分離的熱處理。在用不同裝置執行這兩個熱處理的情形中,例如,在電阻加熱爐中進行200℃處理溫度、2小時處理時間的熱處理,然後從該爐中取出彼此接合的底部基底101和單晶半導體基底111。然後,用RTA裝置進行處理溫度爲600℃到700℃、處理時間爲1分鐘到30分鐘的熱處理,以使單晶半導體基底111與受損區113分離。As the heat treatment using the above resistance heating furnace, heat treatment for increasing the bonding force between the bonding layer 114 and the bottom substrate 101 and heat treatment for causing separation on the damaged region 113 may be sequentially performed. In the case where the two heat treatments are performed by different means, for example, a heat treatment at a treatment temperature of 200 ° C for 2 hours in a resistance heating furnace is performed, and then the bottom substrate 101 and the single crystal semiconductor substrate bonded to each other are taken out from the furnace. 111. Then, a heat treatment at a treatment temperature of 600 ° C to 700 ° C and a treatment time of 1 minute to 30 minutes is performed with an RTA apparatus to separate the single crystal semiconductor substrate 111 from the damaged region 113.

爲了使接合層114與底部基底101藉由700℃或更低低溫的處理而彼此牢固接合,最好的是,在接合層114的表面或底部基底的表面上存在OH基團或水分子(H2 O)。這是因爲接合層114與底部基底101藉由由OH基團或水分子形成共價鍵(氧分子和氫分子之間的共價鍵)或氫鍵開始彼此接合。In order to firmly bond the bonding layer 114 and the underlying substrate 101 to each other by a treatment at 700 ° C or lower, it is preferable that OH groups or water molecules are present on the surface of the bonding layer 114 or the surface of the bottom substrate (H). 2 O). This is because the bonding layer 114 and the bottom substrate 101 start to bond to each other by forming a covalent bond (a covalent bond between the oxygen molecule and the hydrogen molecule) or a hydrogen bond by an OH group or a water molecule.

因此,接合層114和底部基底101的表面最好被啟動成是親水的。此外,接合層114優選藉由這種方法形成以含氧或氫。例如,當藉由PECVD法在400℃或更低的處理溫度下形成氧化矽膜、氧氮化矽膜、氮氧化矽膜、氮化矽膜等時,該膜可含氫。爲了形成氧化矽膜或氧氮化矽膜,可例如將SiH4 和N2 O用作處理氣體。爲了形成氮氧化矽膜,可例如使用SiH4 、NH3 和N2 O。爲了形成氮化矽膜,可例如使用SiH4 和NH3 。此外,最好在藉由PECVD法形成時將諸如TEOS(化學式:Si(OC2 H5 )4 )之類的包括OH基團的化合物用作源材料。Therefore, the surfaces of the bonding layer 114 and the bottom substrate 101 are preferably activated to be hydrophilic. Further, the bonding layer 114 is preferably formed by such a method to contain oxygen or hydrogen. For example, when a hafnium oxide film, a hafnium oxynitride film, a hafnium oxynitride film, a tantalum nitride film, or the like is formed by a PECVD method at a treatment temperature of 400 ° C or lower, the film may contain hydrogen. In order to form a hafnium oxide film or a hafnium oxynitride film, for example, SiH 4 and N 2 O may be used as the processing gas. In order to form a hafnium oxynitride film, for example, SiH 4 , NH 3 and N 2 O can be used. In order to form a tantalum nitride film, for example, SiH 4 and NH 3 may be used. Further, it is preferable to use a compound including an OH group such as TEOS (chemical formula: Si(OC 2 H 5 ) 4 ) as a source material when formed by a PECVD method.

在此,700℃或更低的加熱溫度下的處理被稱爲低溫處理,因爲該處理在等於或低於玻璃基底的容許溫度限值的溫度上執行。此外,與本實施方式相反,在藉由Smart Cut(註冊商標)形成SOI基底時,進行800℃或更高溫度的熱處理以便於接合單晶矽層和單晶矽晶片,並且需要在比玻璃基底的容許溫度限值高的溫度下的熱處理。因此,700℃或更低的溫度下的處理被稱爲低溫處理。Here, the treatment at a heating temperature of 700 ° C or lower is referred to as low temperature treatment because the treatment is performed at a temperature equal to or lower than the allowable temperature limit of the glass substrate. Further, in contrast to the present embodiment, when the SOI substrate is formed by Smart Cut (registered trademark), heat treatment at 800 ° C or higher is performed in order to bond the single crystal germanium layer and the single crystal germanium wafer, and it is required to be on the glass substrate. Heat treatment at a temperature where the temperature limit is allowed to be high. Therefore, the treatment at a temperature of 700 ° C or lower is referred to as low temperature treatment.

當隨著加熱大大收縮的基底被用作底部基底101時,因爲溫度上升引起的熱收縮在某些情形中是半導體基底100的製造工藝和使用該半導體基底100的半導體裝置的製造工藝中的問題。在這種情形中,該問題的影響可藉由在接合單晶半導體基底111之前加熱底部基底101以預先引起熱收縮來得到抑制。該熱處理可以例如按這種方式進行:640℃的加熱在電阻加熱爐中進行4小時、然後進行以0.2℃/分鐘的速率的冷卻。或者,熱處理可用GRTA裝置以這種方式進行:6分鐘650℃的加熱重複3-5次。注意,如果底部基底101可藉由圖8B的熱處理而熱收縮以分離單晶半導體基底111,則不必在接合之前進行熱處理。When a substrate which is greatly shrunk with heating is used as the base substrate 101, heat shrinkage due to temperature rise is a problem in the manufacturing process of the semiconductor substrate 100 and the manufacturing process of the semiconductor device using the semiconductor substrate 100 in some cases. . In this case, the influence of the problem can be suppressed by heating the underlying substrate 101 before bonding the single crystal semiconductor substrate 111 to cause heat shrinkage in advance. This heat treatment can be carried out, for example, in such a manner that heating at 640 ° C is carried out in a resistance heating furnace for 4 hours, followed by cooling at a rate of 0.2 ° C / minute. Alternatively, the heat treatment can be carried out in this manner using a GRTA apparatus: heating at 650 ° C for 6 minutes is repeated 3-5 times. Note that if the bottom substrate 101 can be thermally contracted by the heat treatment of FIG. 8B to separate the single crystal semiconductor substrate 111, it is not necessary to perform heat treatment before bonding.

在此,由於受損區113處的分離和受損區113的形成,晶體缺陷在與底部基底101緊密接觸的單晶半導體層115中形成。此外,單晶半導體層115的表面的平面性變差。爲了減小晶體缺陷並改進表面的平面性,用雷射光束122照射單晶半導體層115,如圖10A所示。Here, due to the separation at the damaged region 113 and the formation of the damaged region 113, crystal defects are formed in the single crystal semiconductor layer 115 in close contact with the base substrate 101. Further, the planarity of the surface of the single crystal semiconductor layer 115 is deteriorated. In order to reduce crystal defects and improve the planarity of the surface, the single crystal semiconductor layer 115 is irradiated with the laser beam 122 as shown in Fig. 10A.

藉由來自單晶半導體層115一側的雷射光束122照射,單晶半導體層115從其上表面熔化。在熔化之後,單晶半導體層115被冷卻和固化;因此,形成上表面平面性得到改進的單晶半導體層116,如圖10B所示。圖10B的外部視圖爲圖1。The single crystal semiconductor layer 115 is melted from the upper surface thereof by irradiation with the laser beam 122 from the side of the single crystal semiconductor layer 115. After the melting, the single crystal semiconductor layer 115 is cooled and solidified; therefore, the single crystal semiconductor layer 116 whose upper surface planarity is improved is formed as shown in Fig. 10B. The external view of Fig. 10B is Fig. 1.

在該雷射光束照射步驟中,因爲底部基底101的溫度上升藉由使用雷射光束122來抑制,所以像玻璃基底的具有低耐熱性的基底可被用作底部基底101。最好的是,單晶半導體層115藉由激光束122的照射被部分熔化。如果單晶半導體層115被完全熔化,則單晶半導體層115的再結晶伴隨有液相中單晶半導體層115的無序成核過程,且單晶半導體層115的結晶度降低。藉由部分熔化,從未熔化的固體部分進行晶體生長的所謂縱向生長在該單晶半導體層115中進行。由於縱向生長的再結晶,單晶半導體層115的晶體缺陷被減少,且其結晶度被恢復。注意,在圖10A的疊層結構的情形中,單晶半導體層115被完全熔化的狀態指示:從單晶半導體層115的上表面到與接合層114的介面的部分被熔化且爲液相。另一方面,單晶半導體層115被部分熔化的狀態指示其上層被熔化且爲液相,其下層處於固相。In the laser beam irradiation step, since the temperature rise of the bottom substrate 101 is suppressed by using the laser beam 122, a substrate having a low heat resistance like a glass substrate can be used as the base substrate 101. Most preferably, the single crystal semiconductor layer 115 is partially melted by the irradiation of the laser beam 122. If the single crystal semiconductor layer 115 is completely melted, the recrystallization of the single crystal semiconductor layer 115 is accompanied by a disordered nucleation process of the single crystal semiconductor layer 115 in the liquid phase, and the crystallinity of the single crystal semiconductor layer 115 is lowered. The so-called longitudinal growth in which crystal growth is performed from the unmelted solid portion by partial melting is performed in the single crystal semiconductor layer 115. Due to the recrystallization of the longitudinal growth, the crystal defects of the single crystal semiconductor layer 115 are reduced, and the crystallinity thereof is recovered. Note that in the case of the laminated structure of FIG. 10A, the state in which the single crystal semiconductor layer 115 is completely melted indicates that a portion from the upper surface of the single crystal semiconductor layer 115 to the interface with the bonding layer 114 is melted and is in a liquid phase. On the other hand, the state in which the single crystal semiconductor layer 115 is partially melted indicates that the upper layer is melted and is in the liquid phase, and the lower layer is in the solid phase.

作爲雷射光束122的雷射裝置,選擇能夠振盪具有從紫外線區到可見光區的振盪波長的雷射光束的雷射裝置。雷射光束122具有由單晶半導體層115吸收的波長。可考慮雷射光束的趨膚深度等來確定該波長。例如,該波長可以在從250nm到700nm的範圍內。雷射裝置可以是連續波雷射器、偽連續波雷射器、或脈衝雷射器。脈衝雷射器最好用於部分熔化。例如,在脈衝雷射器的情形中,重複率等於或大於1MHz,而脈寬等於或大於10奈米秒且等於或小於500奈米秒。例如,可使用重複率爲10Hz到300Hz、脈寬爲25奈米秒且波長爲308nm的XeCl準分子雷射器。As the laser device of the laser beam 122, a laser device capable of oscillating a laser beam having an oscillation wavelength from the ultraviolet region to the visible region is selected. The laser beam 122 has a wavelength that is absorbed by the single crystal semiconductor layer 115. The wavelength can be determined by considering the skin depth of the laser beam or the like. For example, the wavelength can range from 250 nm to 700 nm. The laser device can be a continuous wave laser, a pseudo continuous wave laser, or a pulsed laser. Pulsed lasers are preferably used for partial melting. For example, in the case of a pulsed laser, the repetition rate is equal to or greater than 1 MHz, and the pulse width is equal to or greater than 10 nanoseconds and equal to or less than 500 nanoseconds. For example, a XeCl excimer laser having a repetition rate of 10 Hz to 300 Hz, a pulse width of 25 nm, and a wavelength of 308 nm can be used.

此外,雷射光束122的能量可考慮雷射光束122的波長、雷射光束122的趨膚深度、單晶半導體基底111的厚度等來確定。雷射光束122的能量可以例如在300mJ/cm2 到800mJ/cm2 的範圍內。例如,在單晶半導體層115的厚度約爲120nm的情形中,脈衝雷射器被用作雷射裝置,且雷射光束122的波長爲308nm,雷射光束122的能量密度可以是600mJ/cm2 到700mJ/cm2 的範圍內。用雷射光束122的照射最好在諸如稀有氣體氣氛或氮氣氣氛的惰性氣氛中或在真空狀態中進行。爲了在惰性氣體氣氛中用雷射光束122進行照射,可在密封室內進行雷射光束1422的照射,同時控制該室內的氣氛。在不使用該室的情形中,藉由將諸如氮氣或稀有氣體的惰性氣體吹到用雷射光束122照射的表面,可實現惰性氣氛中雷射光束122的照射。Further, the energy of the laser beam 122 can be determined in consideration of the wavelength of the laser beam 122, the skin depth of the laser beam 122, the thickness of the single crystal semiconductor substrate 111, and the like. The energy of the laser beam 122 can be, for example, in the range of 300 mJ/cm 2 to 800 mJ/cm 2 . For example, in the case where the thickness of the single crystal semiconductor layer 115 is about 120 nm, a pulse laser is used as the laser device, and the wavelength of the laser beam 122 is 308 nm, and the energy density of the laser beam 122 may be 600 mJ/cm. 2 to 700 mJ/cm 2 . Irradiation with the laser beam 122 is preferably carried out in an inert atmosphere such as a rare gas atmosphere or a nitrogen atmosphere or in a vacuum state. In order to illuminate with the laser beam 122 in an inert gas atmosphere, the laser beam 1422 can be illuminated within the sealed chamber while controlling the atmosphere within the chamber. In the case where the chamber is not used, irradiation of the laser beam 122 in an inert atmosphere can be achieved by blowing an inert gas such as nitrogen or a rare gas onto the surface irradiated with the laser beam 122.

諸如氮氣的惰性氣氛和真空狀態具有比空氣氣氛更高的改進單晶半導體層116的平面性的作用。此外,因爲惰性氣氛和真空狀態具有比空氣氣氛高的抑制生成裂縫和皺紋的作用,所以雷射光束122的適用能量範圍變寬。藉由光學系統,雷射光束122的能量分佈最好被均勻分佈且雷射光束122的橫截面被最好製成線性。因而,可高吞吐量地進行雷射光束122的均勻照射。當雷射光束122的束長比底部基底101的一個邊長時,所有接合到底部基底101的單晶半導體層115可藉由掃描一次來用雷射光束122照射。當雷射光束122的束長比底部基底101的一個邊短時,所有接合到底部基底101的單晶半導體層115可藉由掃描若干次來用雷射光束122照射。An inert atmosphere such as nitrogen and a vacuum state have a higher effect of improving the planarity of the single crystal semiconductor layer 116 than the air atmosphere. Further, since the inert atmosphere and the vacuum state have a function of suppressing generation of cracks and wrinkles higher than the air atmosphere, the applicable energy range of the laser beam 122 is widened. With the optical system, the energy distribution of the laser beam 122 is preferably evenly distributed and the cross section of the laser beam 122 is preferably made linear. Thus, uniform illumination of the laser beam 122 can be performed with high throughput. When the beam length of the laser beam 122 is longer than one side of the base substrate 101, all of the single crystal semiconductor layer 115 bonded to the base substrate 101 can be irradiated with the laser beam 122 by scanning once. When the beam length of the laser beam 122 is shorter than one side of the base substrate 101, all of the single crystal semiconductor layer 115 bonded to the base substrate 101 can be irradiated with the laser beam 122 by scanning several times.

注意,在用雷射光束122照射單晶半導體層115之前,進行去除在該單晶半導體層115的表面上形成的諸如自然氧化膜的氧化膜的處理。該氧化膜要去除是因爲在氧化膜保留在單晶半導體層115的表面上的狀態下即使進行雷射光束122的照射,該單晶半導體層115的表面也不會被充分平面化。去除氧化膜的處理可藉由用氫氟酸溶液處理該單晶半導體層115來執行。用氫氟酸的處理被合乎需要地進行,直到單晶半導體層115的表面展現出親水性。藉由親水性的展現,可確認從單晶半導體層115去除了氧化膜。Note that before the single crystal semiconductor layer 115 is irradiated with the laser beam 122, a process of removing an oxide film such as a natural oxide film formed on the surface of the single crystal semiconductor layer 115 is performed. The oxide film is removed because the surface of the single crystal semiconductor layer 115 is not sufficiently planarized even if the irradiation of the laser beam 122 is performed while the oxide film remains on the surface of the single crystal semiconductor layer 115. The treatment for removing the oxide film can be performed by treating the single crystal semiconductor layer 115 with a hydrofluoric acid solution. The treatment with hydrofluoric acid is desirably performed until the surface of the single crystal semiconductor layer 115 exhibits hydrophilicity. It was confirmed by the hydrophilicity that the oxide film was removed from the single crystal semiconductor layer 115.

圖10A中示出的用雷射光束122的照射步驟可用以下方式進行。首先,用以1:100的比例(=氫氟酸:水)稀釋的氫氟酸溶液處理單晶半導體層115達110秒以使表面上的氧化膜被去除。作爲雷射光束122的雷射裝置,使用XeCl準分子雷射器(波長:308nm,脈寬:25奈米秒,重複率:60Hz)。藉由光學系統,雷射光束122被成形為橫截面為300mm×0.34mm的線形。該單晶半導體層115用雷射光束122以其2.0mm/秒的掃描速度、33μm的掃描間距、以及約10的發射數來照射。用雷射光束122的掃描在將氮氣吹到照射表面的同時進行。因為雷射光束122的束長為300mm,在底部基底101的尺寸為730mm×920mm的情形中,所以雷射光束122的照射區被分成三個區。以此方式,所有接合到底部基底101的單晶半導體層115都可用雷射光束122照射。用雷射光束122照射的單晶半導體層116的表面被平面化,且表面的不平齊性的平均表面粗糙度可等於或大於1nm且等於或小於7nm。此外,不平齊性的均方根粗糙度可等於或大於1nm且等於或小於10nm。此外,不平齊性的最大峰到穀高度可等於或大於5nm且等於或小於250nm。即,用雷射光束122的照射處理可被視為單晶半導體層115的平面化處理。當形成各自具有平坦表面的單晶半導體層116時,在單晶半導體層116上形成的閘極絕緣膜的厚度可薄至約5nm到50nm。因此,可形成在具有經抑制的閘極電壓的同時具有高導通電流的電晶體。The step of irradiating with the laser beam 122 shown in Fig. 10A can be performed in the following manner. First, the single crystal semiconductor layer 115 was treated with a hydrofluoric acid solution diluted in a ratio of 1:100 (= hydrofluoric acid: water) for 110 seconds to remove the oxide film on the surface. As the laser device of the laser beam 122, a XeCl excimer laser (wavelength: 308 nm, pulse width: 25 nm second, repetition rate: 60 Hz) was used. With the optical system, the laser beam 122 is formed into a line shape having a cross section of 300 mm × 0.34 mm. The single crystal semiconductor layer 115 is irradiated with the laser beam 122 at a scanning speed of 2.0 mm/sec, a scanning pitch of 33 μm, and an emission number of about 10. Scanning with the laser beam 122 is performed while blowing nitrogen gas onto the illuminated surface. Since the beam length of the laser beam 122 is 300 mm, in the case where the size of the bottom substrate 101 is 730 mm × 920 mm, the irradiation area of the laser beam 122 is divided into three regions. In this way, all of the single crystal semiconductor layer 115 bonded to the base substrate 101 can be irradiated with the laser beam 122. The surface of the single crystal semiconductor layer 116 irradiated with the laser beam 122 is planarized, and the average surface roughness of the unevenness of the surface may be equal to or greater than 1 nm and equal to or less than 7 nm. Further, the root mean square roughness of the unevenness may be equal to or greater than 1 nm and equal to or less than 10 nm. Further, the maximum peak-to-valley height of the unevenness may be equal to or greater than 5 nm and equal to or less than 250 nm. That is, the irradiation treatment with the laser beam 122 can be regarded as a planarization process of the single crystal semiconductor layer 115. When the single crystal semiconductor layer 116 each having a flat surface is formed, the thickness of the gate insulating film formed on the single crystal semiconductor layer 116 can be as thin as about 5 nm to 50 nm. Therefore, a transistor having a high on-current while having a suppressed gate voltage can be formed.

儘管化學機械拋光(縮寫CMP)是作為平面化處理公知的,但在將母板玻璃基底用作底部基底101的情形中,藉由CMP在單晶半導體層115上進行平面化處理是困難的,因為該母板玻璃基底具有較大面積和畸變。因為用雷射光束122的照射處理在本實施方式中作為平面化處理來進行,所以單晶半導體層115可被平面化,而不使用將破壞母板玻璃基底的施加力的方法、或在超過其容許溫度限值的溫度下加熱母板玻璃基底的方法。在用雷射光束122照射之後,單晶半導體層116最好在等於或高於500℃且等於或低於650℃的溫度下進行熱處理。藉由該熱處理,可消除單晶半導體層116內沒有被雷射光束122的照射恢復的缺陷,且可減輕單晶半導體層116的沒有被雷射光束122的照射恢復的畸變。該熱處理可用快速熱退火(RTA)裝置、電阻加熱爐、或微波加熱裝置執行。作為RTA裝置,可使用氣體快速熱退火(GRTA)裝置或燈快速熱退火(LRTA)裝置。在使用電阻加熱爐的情形中,在500℃溫度下的加熱可進行1小時,然後可在550℃下的加熱可進行4小時。Although chemical mechanical polishing (abbreviation CMP) is well known as a planarization process, in the case where a mother glass substrate is used as the base substrate 101, planarization processing on the single crystal semiconductor layer 115 by CMP is difficult. Because the mother glass substrate has a large area and distortion. Since the irradiation treatment with the laser beam 122 is performed as the planarization process in the present embodiment, the single crystal semiconductor layer 115 can be planarized without using a method of damaging the application force of the mother glass substrate, or exceeding A method of heating a mother glass substrate at a temperature that allows temperature limits. After being irradiated with the laser beam 122, the single crystal semiconductor layer 116 is preferably subjected to heat treatment at a temperature equal to or higher than 500 ° C and equal to or lower than 650 ° C. By this heat treatment, the defect in the single crystal semiconductor layer 116 that is not recovered by the irradiation of the laser beam 122 can be eliminated, and the distortion of the single crystal semiconductor layer 116 that is not recovered by the irradiation of the laser beam 122 can be alleviated. The heat treatment can be performed by a rapid thermal annealing (RTA) device, a resistance heating furnace, or a microwave heating device. As the RTA device, a gas rapid thermal annealing (GRTA) device or a lamp rapid thermal annealing (LRTA) device can be used. In the case of using a resistance heating furnace, heating at a temperature of 500 ° C can be carried out for 1 hour, and then heating at 550 ° C can be carried out for 4 hours.

藉由上述處理,可製造圖1和圖10B中所示的半導體基底100。在本實施方式中,在多個單晶半導體基底111被置於盤10之上的情況下,形成絕緣層112、受損區113、以及接合層114。因此,可集體處理多個單晶半導體基底111;因此,可高產量地形成半導體基底100。注意,絕緣層112、受損區113、以及接合層114的形成也可在不將單晶半導體基底111被置於盤10之上的情況下執行。By the above processing, the semiconductor substrate 100 shown in FIGS. 1 and 10B can be manufactured. In the present embodiment, in the case where a plurality of single crystal semiconductor substrates 111 are placed on the disk 10, the insulating layer 112, the damaged region 113, and the bonding layer 114 are formed. Therefore, the plurality of single crystal semiconductor substrates 111 can be collectively processed; therefore, the semiconductor substrate 100 can be formed with high yield. Note that the formation of the insulating layer 112, the damaged region 113, and the bonding layer 114 can also be performed without placing the single crystal semiconductor substrate 111 on the disk 10.

因為在單晶半導體基底111置於盤10上的情況下,底部基底101被接合到單晶半導體基底111,所以可容易地高吞吐量地將多個單晶半導體基底111接合到底部基底101的期望位置。Since the bottom substrate 101 is bonded to the single crystal semiconductor substrate 111 in the case where the single crystal semiconductor substrate 111 is placed on the disk 10, the plurality of single crystal semiconductor substrates 111 can be easily bonded to the bottom substrate 101 with high throughput. Expected location.

因為從圖7A直到(並包括)圖10B的步驟可在等於或低於700℃的溫度上執行,所以具有容許溫度限值700℃或更低的玻璃基底可被用作底部基底101。因為可使用便宜的玻璃基底,所以可降低半導體基底100的材料成本。此外,因為像母板玻璃基底的大尺寸基底(500mm×500mm或更大、最好600mm×700mm或更大,更為最好700mm×900mm或更大)可被用作底部基底,所以可提供具有包括單晶半導體層的半導體膜的大尺寸基底。Since the steps from FIG. 7A up to (and including) FIG. 10B can be performed at a temperature equal to or lower than 700 ° C, a glass substrate having an allowable temperature limit of 700 ° C or lower can be used as the base substrate 101. Since an inexpensive glass substrate can be used, the material cost of the semiconductor substrate 100 can be reduced. Further, since a large-sized substrate such as a mother glass substrate (500 mm × 500 mm or more, preferably 600 mm × 700 mm or more, more preferably 700 mm × 900 mm or more) can be used as the base substrate, it is available A large-sized substrate having a semiconductor film including a single crystal semiconductor layer.

從圖7A直到(並包括)圖7C的步驟在不將單晶半導體基底111移到另一盤10的情況下進行;然而,在各個步驟中,可將單晶半導體基底111置於該步驟中所使用設備的專用盤10中。例如,可在絕緣層112的形成步驟中使用專用於PECVD裝置的盤10,且在圖7C的步驟中可使用專用於摻雜裝置的盤10。The steps from FIG. 7A up to (and including) FIG. 7C are performed without moving the single crystal semiconductor substrate 111 to the other disk 10; however, in each step, the single crystal semiconductor substrate 111 can be placed in this step. In the dedicated disk 10 of the device used. For example, the disc 10 dedicated to the PECVD apparatus can be used in the forming step of the insulating layer 112, and the disc 10 dedicated to the doping apparatus can be used in the step of FIG. 7C.

或者,在圖7A的絕緣層112的形成步驟之後,其上形成絕緣層112的單晶半導體基底111可與盤10分離,且可對單晶半導體基底111進行諸如超聲波清洗的清洗處理。在清洗處理之後,單晶半導體基底111也可被置於不同的清潔盤10上。Alternatively, after the forming step of the insulating layer 112 of FIG. 7A, the single crystal semiconductor substrate 111 on which the insulating layer 112 is formed may be separated from the disk 10, and the single crystal semiconductor substrate 111 may be subjected to a cleaning process such as ultrasonic cleaning. The single crystal semiconductor substrate 111 may also be placed on a different cleaning disk 10 after the cleaning process.

再或者,在圖7B的受損區113的形成步驟之後,其上各自形成受損區113的單晶半導體基底111可從盤10去除,且可對單晶半導體基底111進行諸如超聲波清洗的清洗處理。在清洗處理之後,單晶半導體基底111也可被置於不同的清潔盤10上。Still further, after the forming step of the damaged region 113 of FIG. 7B, the single crystal semiconductor substrate 111 on which the damaged region 113 is formed may be removed from the disk 10, and the single crystal semiconductor substrate 111 may be subjected to cleaning such as ultrasonic cleaning. deal with. The single crystal semiconductor substrate 111 may also be placed on a different cleaning disk 10 after the cleaning process.

實施方式2Embodiment 2

在實施方式2中,將描述單晶半導體基底的再處理。在此,將參照圖11A到11D描述在圖8B中示出的再處理單晶半導體基底117的方法,其中單晶半導體基底115與單晶半導體基底117分離。In Embodiment 2, the reprocessing of the single crystal semiconductor substrate will be described. Here, a method of reprocessing the single crystal semiconductor substrate 117 shown in FIG. 8B in which the single crystal semiconductor substrate 115 is separated from the single crystal semiconductor substrate 117 will be described with reference to FIGS. 11A to 11D.

在圖8B的步驟之後,如圖11A所示,凸部117a在單晶半導體基底117的周邊形成,且絕緣膜112b、絕緣膜112a和接合層114的不接合到底部基底101的部分被留在凸部117a上。After the step of FIG. 8B, as shown in FIG. 11A, the convex portion 117a is formed at the periphery of the single crystal semiconductor substrate 117, and the portions of the insulating film 112b, the insulating film 112a, and the bonding layer 114 that are not bonded to the bottom substrate 101 are left. On the convex portion 117a.

首先,執行用於去除絕緣膜112b、絕緣膜112a和接合層114的蝕刻處理。在使用氧化矽、氧氮化矽、或氮氧化矽形成這些膜的清洗中,進行使用氫氟酸溶液的濕法蝕刻處理。藉由該蝕刻處理獲得單晶半導體基底117,如圖11B所示。圖11C是沿圖11B的點劃線X-Y取得的橫截面視圖。First, an etching process for removing the insulating film 112b, the insulating film 112a, and the bonding layer 114 is performed. In the cleaning in which these films are formed using cerium oxide, cerium oxynitride or cerium oxynitride, a wet etching treatment using a hydrofluoric acid solution is performed. The single crystal semiconductor substrate 117 is obtained by this etching treatment as shown in Fig. 11B. Fig. 11C is a cross-sectional view taken along the chain line X-Y of Fig. 11B.

接著,對圖11B和圖11C中示出的單晶半導體基底117進行蝕刻處理,以使與單晶半導體基底115分離的凸部117a和分離表面117b得以去除。圖11C中被點劃線包圍的部分指示應當被該蝕刻處理去除的區域。藉由此蝕刻,去除留在單晶半導體基底117上並包含過量氫的區域,像受損區113。作為用於單晶半導體基底117的蝕刻處理,濕法蝕刻處理是最好的,並且作為蝕刻劑,可使用羥化四甲銨(縮寫TMAH)溶液。Next, the single crystal semiconductor substrate 117 shown in FIGS. 11B and 11C is subjected to an etching treatment to remove the convex portion 117a and the separation surface 117b which are separated from the single crystal semiconductor substrate 115. The portion surrounded by the dotted line in Fig. 11C indicates the area that should be removed by the etching process. By this etching, a region remaining on the single crystal semiconductor substrate 117 and containing excess hydrogen, such as the damaged region 113, is removed. As the etching treatment for the single crystal semiconductor substrate 117, a wet etching treatment is preferable, and as an etchant, a tetramethylammonium hydroxide (abbreviated TMAH) solution can be used.

在藉由蝕刻單晶半導體基底117去除圖11C中示出的凸部117a、分離表面117b和受損區113之後,表面被拋光;相應地,形成圖11D所示的具有平坦表面的單晶半導體基底118。該118可被再次用作圖2所示的單晶半導體基底111。After the convex portion 117a, the separation surface 117b, and the damaged region 113 shown in FIG. 11C are removed by etching the single crystal semiconductor substrate 117, the surface is polished; accordingly, a single crystal semiconductor having a flat surface as shown in FIG. 11D is formed. Substrate 118. This 118 can be used again as the single crystal semiconductor substrate 111 shown in FIG. 2.

作為拋光處理,可使用化學機械拋光(縮寫CMP)。為了使單晶半導體基底118具有光滑表面,期望執行約1μm到10μm的拋光。在拋光之後,因為拋光微粒等殘留在單晶半導體基底118的表面上,所以進行用氫氟酸的清洗或RCA清洗。As the polishing treatment, chemical mechanical polishing (abbreviation CMP) can be used. In order to make the single crystal semiconductor substrate 118 have a smooth surface, it is desirable to perform polishing of about 1 μm to 10 μm. After the polishing, since the polishing particles or the like remain on the surface of the single crystal semiconductor substrate 118, cleaning with hydrofluoric acid or RCA cleaning is performed.

藉由再利用單晶半導體基底118,半導體基底100的材料成本可被降低。By reusing the single crystal semiconductor substrate 118, the material cost of the semiconductor substrate 100 can be reduced.

實施方式3Embodiment 3

作為使用半導體基底100的半導體裝置的製造方法的一個示例,將參照圖12A-12D、圖13A-13C和圖14在實施方式3中描述膜電晶體(TFT)的製造方法。藉由組合多個膜電晶體,製造各種半導體裝置。在本實施方式中,使用藉由實施方式1的製造方法製造的半導體基底100。As an example of a manufacturing method of a semiconductor device using the semiconductor substrate 100, a method of manufacturing a film transistor (TFT) will be described in Embodiment 3 with reference to FIGS. 12A to 12D, FIGS. 13A to 13C, and FIG. Various semiconductor devices are fabricated by combining a plurality of film transistors. In the present embodiment, the semiconductor substrate 100 manufactured by the manufacturing method of the first embodiment is used.

如圖12A所示,底部基底101上的單晶半導體層116被藉由蝕刻處理(或圖形化)以具有預期形狀,從而形成半導體膜603和半導體膜604。p溝道電晶體使用半導體膜603形成,而n溝道電晶體使用半導體膜604形成。As shown in FIG. 12A, the single crystal semiconductor layer 116 on the base substrate 101 is subjected to an etching process (or patterning) to have a desired shape, thereby forming a semiconductor film 603 and a semiconductor film 604. The p-channel transistor is formed using the semiconductor film 603, and the n-channel transistor is formed using the semiconductor film 604.

為了控制閥閥值電壓,諸如硼、鋁或鎵的P型雜質元素或諸如磷或砷的n型雜質元素可被添加到半導體膜603和半導體膜604。例如,在添加硼作為賦予P型導電性的雜質元素的情形中,硼可以大於或等於5×1016 cm-3 且小於或等於1×1017 cm-3 的濃度被添加。用於控制閥閥值電壓的雜質元素的添加可在單晶半導體層116或半導體膜603和半導體膜604上進行。或者,用於控制閥值電壓的雜質元素的添加可在單晶半導體基底111上進行。再或者,雜質元素的添加可在單晶半導體基底111上進行以粗略調節閥值電壓,然後雜質元素的添加可進一步在單晶半導體層116或半導體膜603和半導體膜604上進行以供微調閥值電壓。In order to control the valve threshold voltage, a P-type impurity element such as boron, aluminum or gallium or an n-type impurity element such as phosphorus or arsenic may be added to the semiconductor film 603 and the semiconductor film 604. For example, in the case where boron is added as an impurity element imparting P-type conductivity, boron may be added at a concentration greater than or equal to 5 × 10 16 cm -3 and less than or equal to 1 × 10 17 cm -3 . The addition of the impurity element for controlling the valve threshold voltage can be performed on the single crystal semiconductor layer 116 or the semiconductor film 603 and the semiconductor film 604. Alternatively, the addition of an impurity element for controlling the threshold voltage may be performed on the single crystal semiconductor substrate 111. Still alternatively, the addition of the impurity element may be performed on the single crystal semiconductor substrate 111 to roughly adjust the threshold voltage, and then the addition of the impurity element may be further performed on the single crystal semiconductor layer 116 or the semiconductor film 603 and the semiconductor film 604 for the trim valve Value voltage.

取將弱p型單晶矽基底用作單晶半導體基底111的情形作為示例,描述添加這種雜質元素的方法的一個示例。首先,在蝕刻單晶半導體層116之前,硼被添加到整個單晶半導體層116。此硼的添加目標在於調節p溝道電晶體的閥值電壓。將B2 H6 用作摻雜氣,硼以1×1016 /cm3 到1×1017 /cm3 的濃度添加。考慮啟動率等來確定硼的濃度。例如,硼的濃度可以是6×1016 /cm3 。接著,單晶半導體層116被蝕刻以形成半導體膜603和半導體膜604。然後,硼僅被添加到半導體膜604。硼的第二次添加目標在於調節n溝道電晶體的閥值電壓。將B2 H6 用作摻雜氣,硼以1×1016 /cm3 到1×1017 /cm3 的濃度添加。例如,硼的濃度可以是6×1016 /cm3As an example of a case where a weak p-type single crystal germanium substrate is used as the single crystal semiconductor substrate 111, an example of a method of adding such an impurity element will be described. First, boron is added to the entire single crystal semiconductor layer 116 before the single crystal semiconductor layer 116 is etched. The addition of this boron is aimed at adjusting the threshold voltage of the p-channel transistor. B 2 H 6 was used as the doping gas, and boron was added at a concentration of 1 × 10 16 /cm 3 to 1 × 10 17 /cm 3 . The concentration of boron is determined in consideration of the startup rate and the like. For example, the concentration of boron may be 6 × 10 16 /cm 3 . Next, the single crystal semiconductor layer 116 is etched to form a semiconductor film 603 and a semiconductor film 604. Then, boron is added only to the semiconductor film 604. The second addition of boron is aimed at adjusting the threshold voltage of the n-channel transistor. B 2 H 6 was used as the doping gas, and boron was added at a concentration of 1 × 10 16 /cm 3 to 1 × 10 17 /cm 3 . For example, the concentration of boron may be 6 × 10 16 /cm 3 .

注意,在具有適於p溝道電晶體或n溝道電晶體的閥值電壓的傳導類型或電阻的基底可被用作單晶半導體基底111的情形中,用於添加控制閥值電壓的雜質元素的步驟的所需數目可以是1;此時,控制閥值電壓的雜質元素可被添加到半導體膜603和半導體膜604之一。Note that in the case where a substrate having a conductivity type or resistance suitable for a threshold voltage of a p-channel transistor or an n-channel transistor can be used as the single crystal semiconductor substrate 111, an impurity for adding a control threshold voltage is added The required number of steps of the element may be 1; at this time, an impurity element that controls the threshold voltage may be added to one of the semiconductor film 603 and the semiconductor film 604.

如圖12B所示,閘極絕緣膜606被形成以覆蓋半導體膜603和半導體膜604。該閘極絕緣膜606可藉由用高密度電漿處理氧化或氮化半導體膜603和半導體膜604的表面來形成。該高密度電漿處理使用例如諸如He、Ar、Kr或Xe的稀有氣體和氧氣、氧化氮、氨氣、氮氣、氫氣等的混合氣體進行。在該情形中,當電漿的激發藉由引入微波進行時,可生成具有低電子溫度的高密度電漿。半導體膜的表面由藉由這種高密度電漿產生的氧基(可包括OH基)或氮基(可包括NH基)被氧化或氮化,由此形成厚度為1nm到20nm的絕緣膜,最好厚度為5nm到10nm,以便於與半導體膜接觸。厚度為5nm到10nm的絕緣膜被用作閘極絕緣膜606。As shown in FIG. 12B, a gate insulating film 606 is formed to cover the semiconductor film 603 and the semiconductor film 604. The gate insulating film 606 can be formed by oxidizing or nitriding the surfaces of the semiconductor film 603 and the semiconductor film 604 with a high-density plasma. The high-density plasma treatment is carried out using, for example, a rare gas such as He, Ar, Kr or Xe and a mixed gas of oxygen, nitrogen oxide, ammonia gas, nitrogen gas, hydrogen gas or the like. In this case, when the excitation of the plasma is performed by introducing microwaves, a high-density plasma having a low electron temperature can be generated. The surface of the semiconductor film is oxidized or nitrided by an oxy group (which may include an OH group) or a nitrogen group (which may include an NH group) generated by such a high-density plasma, thereby forming an insulating film having a thickness of 1 nm to 20 nm. Preferably, the thickness is from 5 nm to 10 nm to facilitate contact with the semiconductor film. An insulating film having a thickness of 5 nm to 10 nm is used as the gate insulating film 606.

因為藉由高密度電漿處理對半導體膜氧化或氮化是固相反應,所以可大大減小閘極絕緣膜606與半導體膜603和半導體膜604的每一個之間的介面態密度。此外,半導體膜是直接藉由高密度電漿處理被氧化或氮化的,從而可抑制要形成的絕緣膜的厚度的變化。在半導體膜具有結晶度的情形中,藉由高密度電漿處理在固相反應下氧化半導體膜的表面,可防止僅在晶粒間界內的快速氧化;由此,可形成具有良好均勻性和低介面態密度的閘極絕緣膜。出於這些原因,其閘極絕緣膜部分或全部的包括通過高密度電漿處理形成的絕緣膜的電晶體可抑制特性方面的變化。Since the oxidation or nitridation of the semiconductor film by the high-density plasma treatment is a solid phase reaction, the interface state density between the gate insulating film 606 and each of the semiconductor film 603 and the semiconductor film 604 can be greatly reduced. Further, the semiconductor film is directly oxidized or nitrided by high-density plasma treatment, so that variation in thickness of the insulating film to be formed can be suppressed. In the case where the semiconductor film has crystallinity, the surface of the semiconductor film is oxidized by a high-density plasma treatment under a solid phase reaction, and rapid oxidation only in the grain boundary can be prevented; thereby, good uniformity can be formed. And a gate insulating film with a low dielectric density. For these reasons, a part or all of the gate insulating film including the insulating film formed by the high-density plasma treatment can suppress variations in characteristics.

或者,閘極絕緣膜606可藉由熱氧化半導體膜603和半導體膜604來形成。再或者,藉由PECVD法、濺射法等,閘極絕緣膜606可被形成為包括氧化矽、氮氧化矽、氮化矽、二氧化鉿、氧化鋁、或氧化鉭的單層膜或多層膜的疊層。Alternatively, the gate insulating film 606 can be formed by thermally oxidizing the semiconductor film 603 and the semiconductor film 604. Further, the gate insulating film 606 may be formed as a single layer film or a plurality of layers including ruthenium oxide, ruthenium oxynitride, tantalum nitride, hafnium oxide, aluminum oxide, or hafnium oxide by a PECVD method, a sputtering method, or the like. A laminate of films.

在形成含氫的閘極絕緣膜606之後,可在等於或高於350℃且等於或低於450℃的溫度下進行熱處理,從而閘極絕緣膜606內所含的氫可被擴散到半導體膜603和半導體膜604。在此情形中,閘極絕緣膜606可藉由PECVD法在等於或低於350℃的處理溫度下層疊氮化矽或氮氧化矽而形成。藉由向半導體膜603和半導體膜604提供氫,可有效減少半導體膜603和半導體膜604中或閘極絕緣膜與半導體膜603和半導體膜604之間介面上可起俘獲中心作用的缺陷。After the hydrogen-containing gate insulating film 606 is formed, heat treatment may be performed at a temperature equal to or higher than 350 ° C and equal to or lower than 450 ° C, so that hydrogen contained in the gate insulating film 606 may be diffused to the semiconductor film 603 and a semiconductor film 604. In this case, the gate insulating film 606 can be formed by laminating tantalum nitride or hafnium oxynitride at a processing temperature equal to or lower than 350 ° C by a PECVD method. By supplying hydrogen to the semiconductor film 603 and the semiconductor film 604, defects in the semiconductor film 603 and the semiconductor film 604 or in the interface between the gate insulating film and the semiconductor film 603 and the semiconductor film 604 which can function as a trapping center can be effectively reduced.

然後,在如圖12C所示的閘極絕緣膜606上形成導電膜之後,該導電膜被處理(圖形化)成預定形狀,由此在半導體膜603和半導體膜604的每一個上形成電極607。該導電膜可藉由CVD法、濺射法等形成。對於導電膜,可使用鉭(Ta)、鎢(w)、鈦(Ti)、鉬(Mo)、鋁(Al)、銅(Cu)、鉻(Cr)、鈮(Nb)等。或者,也可使用上述金屬作為其主要成分的合金或含上述金屬的化合物。再或者,可使用藉由將賦予傳導類型的諸如磷的雜質元素添加到半導體膜形成的諸如多晶矽的半導體來形成導電膜。Then, after a conductive film is formed on the gate insulating film 606 as shown in FIG. 12C, the conductive film is processed (patterned) into a predetermined shape, thereby forming an electrode 607 on each of the semiconductor film 603 and the semiconductor film 604. . The conductive film can be formed by a CVD method, a sputtering method, or the like. As the conductive film, tantalum (Ta), tungsten (w), titanium (Ti), molybdenum (Mo), aluminum (Al), copper (Cu), chromium (Cr), niobium (Nb), or the like can be used. Alternatively, an alloy of the above metal as its main component or a compound containing the above metal may also be used. Still alternatively, a conductive film can be formed by using a semiconductor such as polysilicon formed by adding an impurity element such as phosphorus imparting a conductivity type to a semiconductor film.

作為兩個導電膜的組合,氮化鉭或鉭(Ta)可被用作第一層,而鎢(W)可被用作第二層。此外,舉出以下組合:氮化鎢和鎢、氮化鉬和鉬、鋁和鉭、鋁和鈦等。因為鎢和氮化鉭具有高耐熱性,所以在形成兩個導電膜之後可進行熱處理以供熱啟動。或者,作為兩個導電膜的組合,可使用例如摻有賦予n型傳導性的雜質的矽和矽化鎳、摻有賦予n型傳導性的雜質的矽和WSix等。As a combination of two conductive films, tantalum nitride or tantalum (Ta) can be used as the first layer, and tungsten (W) can be used as the second layer. Further, the following combinations are exemplified: tungsten nitride and tungsten, molybdenum nitride and molybdenum, aluminum and tantalum, aluminum and titanium, and the like. Since tungsten and tantalum nitride have high heat resistance, heat treatment can be performed for heat initiation after forming two conductive films. Alternatively, as a combination of the two conductive films, for example, ruthenium and nickel telluride doped with an impurity imparting n-type conductivity, ruthenium and WSix doped with an impurity imparting n-type conductivity, or the like can be used.

此外,儘管在本實施方式中每個電極607由單層膜構成,但本實施方式並不僅限於此。電極607可藉由層疊多個導電膜形成。在層疊三個或更多個導電膜的三層結構的情形中,可採用鉬膜、鋁膜和鉬膜的疊層結構。Further, although each electrode 607 is composed of a single layer film in the present embodiment, the embodiment is not limited thereto. The electrode 607 can be formed by laminating a plurality of conductive films. In the case of laminating a three-layer structure of three or more conductive films, a laminated structure of a molybdenum film, an aluminum film, and a molybdenum film may be employed.

作為用於形成電極607的掩模,可使用氧化矽、氮氧化矽等以替代抗蝕劑。儘管在本例中,增加了蝕刻氧化矽、氮氧化矽等的步驟,但蝕刻時掩模的膜厚和寬度的減小比使用抗蝕劑掩模的情形中少;因此,可形成各自具有預期寬度的電極607。或者,電極607可選擇性地在不使用掩模的情況下藉由液滴噴射法形成。As a mask for forming the electrode 607, ruthenium oxide, ruthenium oxynitride or the like may be used instead of the resist. Although in this example, the steps of etching yttrium oxide, yttrium oxynitride, etc. are added, the film thickness and width of the mask at the time of etching are reduced less than in the case of using a resist mask; Electrode 607 of the desired width. Alternatively, the electrode 607 can be selectively formed by a droplet discharge method without using a mask.

注意,液滴噴射法意指其中含預定成分的液滴從細孔中噴射或噴出以形成預定圖案,並包括噴墨法等。Note that the droplet discharge method means that a droplet containing a predetermined component is ejected or ejected from a fine hole to form a predetermined pattern, and includes an inkjet method or the like.

在形成導電膜之後,藉由感應耦合電漿(ICP)蝕刻法蝕刻該導電膜以形成電極607。藉由適當控制蝕刻條件(例如,施加於線圈電極層的電功率的量、施加於基底側電極層的電功率的量、或者基底側的電極溫度),該導電膜可被蝕刻成所需的錐形。此外,該錐形的角度等也可藉由掩模的形狀來控制。注意,作為蝕刻氣體,可按需使用諸如氯氣、氯化硼、氯化矽或四氯化碳的氯基氣體;諸如四氟化碳、氟化硫、氟化氮的氟基氣體;或氧氣。After the conductive film is formed, the conductive film is etched by inductively coupled plasma (ICP) etching to form an electrode 607. The conductive film can be etched into a desired taper by appropriately controlling the etching conditions (for example, the amount of electric power applied to the coil electrode layer, the amount of electric power applied to the base side electrode layer, or the electrode temperature on the substrate side). . Further, the angle of the taper or the like can also be controlled by the shape of the mask. Note that as the etching gas, a chlorine-based gas such as chlorine gas, boron chloride, barium chloride or carbon tetrachloride; a fluorine-based gas such as carbon tetrafluoride, sulfur fluoride, or nitrogen fluoride; or oxygen may be used as needed; .

接著,如圖12D所示,在將電極607用作掩模的情況下,賦予一種傳導類型的雜質元素被添加到半導體膜603和半導體膜604。在本實施方式中,賦予p型傳導性的雜質元素(例如硼)被添加到半導體膜603,而賦予n型傳導性的雜質元素(例如磷或砷)被添加到半導體膜604。在此步驟中,在半導體膜603中形成要成為源極區和汲極區的雜質區域,而在半導體膜604中則形成用作高電阻區的雜質區域。Next, as shown in FIG. 12D, in the case where the electrode 607 is used as a mask, an impurity element imparting one conductivity type is added to the semiconductor film 603 and the semiconductor film 604. In the present embodiment, an impurity element (for example, boron) imparting p-type conductivity is added to the semiconductor film 603, and an impurity element (for example, phosphorus or arsenic) imparting n-type conductivity is added to the semiconductor film 604. In this step, an impurity region to be a source region and a drain region is formed in the semiconductor film 603, and an impurity region serving as a high resistance region is formed in the semiconductor film 604.

注意,當賦予p型傳導性的雜質元素被添加到半導體膜603時,半導體膜604被覆以掩模等以使賦予p型傳導性的雜質元素不被添加到半導體膜604。另一方面,當賦予n型傳導性的雜質元素被添加到半導體膜604時,半導體膜603被覆以掩模等以使賦予n型傳導性的雜質元素不被添加到半導體膜603。或者,在將賦予p型和n型傳導性之一的雜質元素添加到半導體膜603和半導體膜604之後,賦予另一種傳導性的可選擇性地以比先前添加的雜質元素高的濃度被添加到半導體膜603和半導體膜604之一。藉由此雜質元素的添加步驟,p型高濃度雜質區608在半導體膜603中形成,而n型高濃度雜質區609在半導體膜604中形成。半導體膜603和半導體膜604中與電極607重疊的區域是溝道形成區610和溝道形成區611。Note that when an impurity element imparting p-type conductivity is added to the semiconductor film 603, the semiconductor film 604 is covered with a mask or the like so that an impurity element imparting p-type conductivity is not added to the semiconductor film 604. On the other hand, when an impurity element imparting n-type conductivity is added to the semiconductor film 604, the semiconductor film 603 is covered with a mask or the like so that an impurity element imparting n-type conductivity is not added to the semiconductor film 603. Alternatively, after an impurity element imparting one of p-type and n-type conductivity is added to the semiconductor film 603 and the semiconductor film 604, another conductivity is imparted selectively added at a higher concentration than the previously added impurity element. To one of the semiconductor film 603 and the semiconductor film 604. By the addition step of the impurity element, the p-type high concentration impurity region 608 is formed in the semiconductor film 603, and the n-type high concentration impurity region 609 is formed in the semiconductor film 604. A region of the semiconductor film 603 and the semiconductor film 604 that overlaps with the electrode 607 is a channel formation region 610 and a channel formation region 611.

然後,如圖13A所示,在電極607的側面上形成側壁612。例如,側壁612可以這種方式形成:新形成絕緣膜以便於覆蓋閘極絕緣膜606和電極607,且藉由其中蝕刻主要在豎直方向上進行的各向異性蝕刻來部分地蝕刻該新形成的絕緣膜。該新形成的絕緣膜藉由上述各向異性蝕刻而被部分地蝕刻,由此側壁612在電極607的側面上形成。注意,閘極絕緣膜606也藉由此各向異性蝕刻來部分地蝕刻。藉由PECVD法、濺射法等,用於形成側壁612的絕緣膜可被形成為包括諸如有機樹脂的有機材料的膜或矽、氧化矽、或氮氧化矽的膜的單層、或兩層或更多層的疊層。在本實施方式中,絕緣膜藉由PECVD法由厚度為100nm的氧化矽膜形成。此外,作為氧化矽膜的蝕刻氣體,可使用CHF3 和氦氣的混合氣體。要注意,用於形成側壁612的步驟並不限於本文中給出的步驟。Then, as shown in FIG. 13A, a side wall 612 is formed on the side of the electrode 607. For example, the sidewall 612 may be formed in such a manner that a new insulating film is formed to cover the gate insulating film 606 and the electrode 607, and the new formation is partially etched by anisotropic etching in which etching is performed mainly in the vertical direction. Insulating film. The newly formed insulating film is partially etched by the anisotropic etching described above, whereby the sidewall 612 is formed on the side surface of the electrode 607. Note that the gate insulating film 606 is also partially etched by this anisotropic etching. The insulating film for forming the sidewall 612 may be formed as a single layer or a two layer of a film including an organic material such as an organic resin or a film of ruthenium, iridium oxide, or ruthenium oxynitride by a PECVD method, a sputtering method, or the like. Or a stack of more layers. In the present embodiment, the insulating film is formed of a ruthenium oxide film having a thickness of 100 nm by a PECVD method. Further, as the etching gas of the ruthenium oxide film, a mixed gas of CHF 3 and helium gas can be used. It is noted that the steps for forming sidewalls 612 are not limited to the steps presented herein.

如圖13B所示,藉由將電極607和側壁612用作掩模,賦予n型傳導性的雜質元素被添加到半導體膜604。此步驟是用於在半導體膜604中形成用作源極區和汲極區的雜質區域的步驟。在此步驟中,賦予n型傳導性的雜質元素被添加到半導體膜604,同時半導體膜603被覆以掩模等。As shown in FIG. 13B, an impurity element imparting n-type conductivity is added to the semiconductor film 604 by using the electrode 607 and the sidewall 612 as a mask. This step is a step for forming an impurity region serving as a source region and a drain region in the semiconductor film 604. In this step, an impurity element imparting n-type conductivity is added to the semiconductor film 604 while the semiconductor film 603 is covered with a mask or the like.

在上述雜質元素的添加中,電極607和側壁612用作掩模;因此,在半導體膜604中以自對齊方式形成一對n型高濃度雜質區域614。然後,覆蓋半導體膜603的掩模被去除,並進行熱處理以啟動添加到半導體膜603的賦予p型傳導性的雜質元素和添加到半導體膜604的賦予n型傳導性的雜質元素。藉由在圖12A到13B中示出的步驟序列,形成p溝道電晶體617和n溝道電晶體618。In the above addition of the impurity element, the electrode 607 and the sidewall 612 are used as a mask; therefore, a pair of n-type high-concentration impurity regions 614 are formed in the semiconductor film 604 in a self-aligned manner. Then, the mask covering the semiconductor film 603 is removed, and heat treatment is performed to activate the impurity element imparting p-type conductivity added to the semiconductor film 603 and the impurity element imparting n-type conductivity added to the semiconductor film 604. The p-channel transistor 617 and the n-channel transistor 618 are formed by the sequence of steps shown in FIGS. 12A to 13B.

為了減小源極和汲極的電阻,可藉由矽化半導體膜603中的高濃度雜質區域608和半導體膜604中的高濃度雜質區域614形成矽化層。該矽化藉由將金屬放置成與半導體膜603和半導體膜604相接觸並藉由熱處理引起金屬和半導體膜中矽之間的反應來進行;以此方式,產生矽化物化合物。作為金屬,鈷或鎳是最好的,或者可使用以下:鈦(Ti)、鎢(W)、鉬(Mo)、鋯(Zr)、鉿(Hf)、鉭(Ta)、釩(V)、釹(Nd)、鉻(Cr)、鉑(Pt)、鈀(Pd)等。在半導體膜603和半導體膜604較薄的情形中,在該區域中矽化反應可進行到半導體膜603和半導體膜604的底部。作為用於形成矽化物的熱處理,可使用電阻加熱爐、RTA裝置、微波加熱裝置或雷射照射裝置。In order to reduce the resistance of the source and the drain, the deuterated layer may be formed by decomposing the high-concentration impurity region 608 in the semiconductor film 603 and the high-concentration impurity region 614 in the semiconductor film 604. This deuteration is performed by placing a metal in contact with the semiconductor film 603 and the semiconductor film 604 and causing a reaction between the metal and the germanium in the semiconductor film by heat treatment; in this manner, a telluride compound is produced. As the metal, cobalt or nickel is the best, or the following may be used: titanium (Ti), tungsten (W), molybdenum (Mo), zirconium (Zr), hafnium (Hf), tantalum (Ta), vanadium (V) , niobium (Nd), chromium (Cr), platinum (Pt), palladium (Pd), and the like. In the case where the semiconductor film 603 and the semiconductor film 604 are thin, the deuteration reaction can proceed to the bottom of the semiconductor film 603 and the semiconductor film 604 in this region. As the heat treatment for forming the telluride, a resistance heating furnace, an RTA apparatus, a microwave heating apparatus, or a laser irradiation apparatus can be used.

接著,如圖13C所示,形成絕緣膜619以覆蓋電晶體617和電晶體618。作為絕緣膜619,形成含氫的絕緣膜。在此實施方式中,厚度約為600nm的氮氧化矽膜藉由將甲矽烷、氨和N2 O用作源氣體的PECVD法形成。絕緣膜619被製成含氫,因為氫可從絕緣膜619擴散以使半導體膜603和半導體膜604中的懸空鍵可被終止。絕緣膜619的形成可防止諸如鹼金屬和鹼土金屬的雜質進入電晶體617和電晶體618。具體地,將氮化矽、氮氧化矽、氮化鋁、氧化鋁、氧化矽等用作絕緣膜619是合乎需要的。Next, as shown in FIG. 13C, an insulating film 619 is formed to cover the transistor 617 and the transistor 618. As the insulating film 619, an insulating film containing hydrogen is formed. In this embodiment, a hafnium oxynitride film having a thickness of about 600 nm is formed by a PECVD method using methanthan, ammonia, and N 2 O as a source gas. The insulating film 619 is made to contain hydrogen because hydrogen can be diffused from the insulating film 619 to terminate the dangling bonds in the semiconductor film 603 and the semiconductor film 604. The formation of the insulating film 619 prevents impurities such as alkali metals and alkaline earth metals from entering the transistor 617 and the transistor 618. Specifically, it is desirable to use tantalum nitride, hafnium oxynitride, aluminum nitride, aluminum oxide, cerium oxide or the like as the insulating film 619.

接著,在絕緣膜619上形成絕緣膜620以便於覆蓋電晶體617和電晶體618。諸如聚酰亞胺、丙烯酸纖維、苯並環丁烯、聚酰胺或環氧樹脂的具有耐熱性的有機材料可被用作絕緣膜620。除了這種有機材料外,還可能使用低介電常數材料(低k材料)、矽氧烷樹脂、氧化矽、氮化矽、氮氧化矽、PSG(磷矽酸鹽玻璃)、BPSG(硼磷酸鹽玻璃)、氧化鋁等。矽氧烷基樹脂可包括氟、烷基、芳基中的至少一個作為取代基以及氫。或者,絕緣膜620可藉由疊層由這些材料形成的多個絕緣膜來構成。該絕緣膜620可藉由CMP法等將其表面弄平。Next, an insulating film 620 is formed on the insulating film 619 to cover the transistor 617 and the transistor 618. An organic material having heat resistance such as polyimide, acrylic fiber, benzocyclobutene, polyamide or epoxy resin can be used as the insulating film 620. In addition to this organic material, it is also possible to use a low dielectric constant material (low-k material), a decane resin, cerium oxide, cerium nitride, cerium oxynitride, PSG (phosphorus silicate glass), BPSG (boric acid). Salt glass), alumina, etc. The decyloxyalkyl resin may include at least one of fluorine, an alkyl group, and an aryl group as a substituent and hydrogen. Alternatively, the insulating film 620 may be formed by laminating a plurality of insulating films formed of these materials. The insulating film 620 can be flattened by a CMP method or the like.

注意,該矽氧烷基樹脂對應於將矽氧烷基材料用作原材料形成的包括Si-O-Si鍵的樹脂。矽氧烷基樹脂可包括氟、烷基、芳基中的至少一個作為取代基以及氫。Note that the decyloxyalkyl resin corresponds to a resin including a Si-O-Si bond formed by using a fluorenylalkyl material as a raw material. The decyloxyalkyl resin may include at least one of fluorine, an alkyl group, and an aryl group as a substituent and hydrogen.

為了形成絕緣膜620,可取決於絕緣膜620的材料使用以下方法:CVD法、濺射法、SOG法、旋塗法、浸塗法、噴塗法、液滴噴射法(例如噴墨法、絲網印刷法、或膠印法)、刮刀法、輥塗法、幕簾式塗布法、刮刀塗布法等等。In order to form the insulating film 620, the following methods may be used depending on the material of the insulating film 620: CVD method, sputtering method, SOG method, spin coating method, dip coating method, spray coating method, droplet discharge method (for example, inkjet method, silk) Screen printing method, or offset printing method), doctor blade method, roll coating method, curtain coating method, blade coating method, and the like.

接著,在氮氣氣氛中約400℃到450℃(例如410℃)之下進行1小時的熱處理,以使氫從絕緣膜619擴散,且半導體膜603和半導體膜604中的懸空鍵用氫終止。因為單晶半導體層116具有比藉由結晶非晶矽膜形成的多晶矽膜低得多的缺陷密度,所以此用氫的終止處理可在短時間內進行。Next, heat treatment is performed for 1 hour under a nitrogen atmosphere at about 400 ° C to 450 ° C (for example, 410 ° C) to diffuse hydrogen from the insulating film 619, and the dangling bonds in the semiconductor film 603 and the semiconductor film 604 are terminated with hydrogen. Since the single crystal semiconductor layer 116 has a much lower defect density than the polycrystalline germanium film formed by the crystalline amorphous germanium film, this termination treatment with hydrogen can be performed in a short time.

接著,如圖14中所示,在絕緣膜619和絕緣膜620中形成接觸孔以使得半導體膜603和半導體膜604被部分暴露。接觸孔的形成可藉由乾法蝕刻使用CHF3 和He的混合氣體來進行;然而,本發明並不局限於此。然後,形成導電膜621和導電膜622以分別藉由接觸孔與半導體膜603和半導體膜604接觸。導電膜621被連接到p溝道電晶體617的高濃度雜質區域608。導電膜622被連接到p溝道電晶體618的高濃度雜質區域614。Next, as shown in FIG. 14, contact holes are formed in the insulating film 619 and the insulating film 620 to partially expose the semiconductor film 603 and the semiconductor film 604. The formation of the contact holes can be performed by dry etching using a mixed gas of CHF 3 and He; however, the present invention is not limited thereto. Then, the conductive film 621 and the conductive film 622 are formed to be in contact with the semiconductor film 603 and the semiconductor film 604 through the contact holes, respectively. The conductive film 621 is connected to the high concentration impurity region 608 of the p-channel transistor 617. The conductive film 622 is connected to the high concentration impurity region 614 of the p-channel transistor 618.

導電膜621和導電膜622可藉由CVD法、濺射法等形成。具體地,以下可被用作導電膜621和導電膜622:鋁(Al)、鎢(W)、鈦(Ti)、鉭(Ta)、鉬(Mo)、鎳(Ni)、鉑(Pt)、銅(Cu)、金(Au)、銀(Ag)、錳(Mn)、釹(Nd)、碳(C)、矽(Si)等。或者,也可使用含上述金屬作為其主要成分的合金或含上述金屬的化合物。導電膜621和導電膜622可由使用上述金屬形成的膜的單層或多個層構成。The conductive film 621 and the conductive film 622 can be formed by a CVD method, a sputtering method, or the like. Specifically, the following can be used as the conductive film 621 and the conductive film 622: aluminum (Al), tungsten (W), titanium (Ti), tantalum (Ta), molybdenum (Mo), nickel (Ni), platinum (Pt) Copper (Cu), gold (Au), silver (Ag), manganese (Mn), niobium (Nd), carbon (C), antimony (Si), and the like. Alternatively, an alloy containing the above metal as its main component or a compound containing the above metal may also be used. The conductive film 621 and the conductive film 622 may be composed of a single layer or a plurality of layers of a film formed using the above metal.

作為含鋁作為其主要成分的合金的示例,舉出含鋁作為其主要成分並且也含鎳的合金。此外,也可舉出含鋁作為其主要成分且含鎳以及碳和矽之一或兩者的合金。因為鋁和鋁矽合金具有低電阻值並且便宜,所以鋁和鋁矽合金適合作為形成導電膜621和導電膜622的材料。具體地,當藉由蝕刻處理鋁矽(Al-si)膜的形狀時,可比使用鋁膜的情形更好地防止在用於形成蝕刻掩模的抗蝕劑烘焙時生成小丘。替代矽(Si),可將Cu以約0.5%混入鋁膜。As an example of an alloy containing aluminum as its main component, an alloy containing aluminum as its main component and also containing nickel is exemplified. Further, an alloy containing aluminum as its main component and containing nickel and one or both of carbon and niobium may also be mentioned. Since aluminum and aluminum bismuth alloys have low resistance values and are inexpensive, aluminum and aluminum bismuth alloys are suitable as materials for forming the conductive film 621 and the conductive film 622. In particular, when the shape of the aluminum-bismuth (Al-Si) film is treated by etching, hillocks can be prevented from being formed at the time of baking of the resist for forming an etching mask, compared to the case of using an aluminum film. Instead of bismuth (Si), Cu may be mixed into the aluminum film at about 0.5%.

例如,包括阻擋膜、鋁矽(Al-si)膜和阻擋膜的疊層結構或包括阻擋膜、鋁矽(Al-si)膜、氮化鈦膜和阻擋膜的疊層結構可被用作導電膜621和導電膜622。注意,阻擋膜指使用鈦、氮化鈦、鉬、或氮化鉬形成的膜。當阻擋層被形成為將鋁矽(Al-si)膜夾在中間時,可更有效地防止生成鋁或鋁矽合金的小丘。此外,當使用作為高可還原元素的鈦形成阻擋膜時,即使在半導體膜603和半導體膜604上形成了薄氧化膜,該氧化膜也可藉由阻擋膜中所含的鈦還原,由此可獲得導電膜621和622與半導體膜603和604之間的最好接觸。此外,疊層多個阻擋膜也是可能的。在該情形中,例如,從最下層起疊層鈦、氮化鈦、鋁矽合金、鈦、和氮化鈦的5層結構可被用作導電膜621和622。For example, a laminate structure including a barrier film, an aluminum-iridium (Al-Si) film and a barrier film or a laminate structure including a barrier film, an aluminum-iridium (Al-si) film, a titanium nitride film, and a barrier film can be used as The conductive film 621 and the conductive film 622. Note that the barrier film refers to a film formed using titanium, titanium nitride, molybdenum, or molybdenum nitride. When the barrier layer is formed to sandwich the aluminum-bismuth (Al-Si) film, the formation of hillocks of aluminum or aluminum-bismuth alloy can be more effectively prevented. Further, when a barrier film is formed using titanium as a highly reducible element, even if a thin oxide film is formed on the semiconductor film 603 and the semiconductor film 604, the oxide film can be reduced by the titanium contained in the barrier film, thereby The best contact between the conductive films 621 and 622 and the semiconductor films 603 and 604 can be obtained. Further, it is also possible to laminate a plurality of barrier films. In this case, for example, a five-layer structure in which titanium, titanium nitride, aluminum-niobium alloy, titanium, and titanium nitride are laminated from the lowermost layer can be used as the conductive films 621 and 622.

對於導電膜621和622,可使用藉由使用WF6 氣體和SiH4 氣體的化學氣相沈積法形成的矽化鎢。或者,藉由WF6 的氫還原形成的鎢可被用作導電膜621和622。For the conductive films 621 and 622, tungsten germanium formed by a chemical vapor deposition method using WF 6 gas and SiH 4 gas can be used. Alternatively, tungsten formed by hydrogen reduction of WF 6 can be used as the conductive films 621 and 622.

在圖14中,示出p溝道電晶體617和n溝道電晶體618的俯視圖和沿該俯視圖的線A-B取得的橫截面視圖。注意,在圖14的俯視圖中略去了導電膜621、導電膜622、絕緣膜619和絕緣膜620。In FIG. 14, a top view of the p-channel transistor 617 and the n-channel transistor 618 and a cross-sectional view taken along line A-B of the top view are shown. Note that the conductive film 621, the conductive film 622, the insulating film 619, and the insulating film 620 are omitted in the plan view of FIG.

儘管在本實施方式中描述了n溝道電晶體617和p溝道電晶體618各自具有用作閘極的一個電極607的情況,但本發明並不限於此結構。本發明中製造的電晶體可具有多閘結構,其中包括多個用作閘極的電極並且彼此電連接。Although the case where the n-channel transistor 617 and the p-channel transistor 618 each have one electrode 607 serving as a gate is described in the present embodiment, the present invention is not limited to this structure. The transistor fabricated in the present invention may have a multi-gate structure including a plurality of electrodes serving as gates and electrically connected to each other.

此外,本發明中製造的半導體裝置中包括的電晶體可具有閘極平面結構。Further, the transistor included in the semiconductor device manufactured in the present invention may have a gate plane structure.

注意,因為本發明的設置有半導體膜的基底中所包括的半導體層是單晶半導體基底的切分層,所以取向不變化。因此,可使使用半導體基底製造的多個電晶體的諸如閥值電壓和遷移率的電特性的變化較小。此外,因為基本上不存在晶粒間界,所以可抑制因晶粒間界引起的泄漏電流,以及實現半導體裝置的節能。因此,可製造高可靠性的半導體裝置。Note that since the semiconductor layer included in the substrate provided with the semiconductor film of the present invention is a dicing layer of the single crystal semiconductor substrate, the orientation does not change. Therefore, variations in electrical characteristics such as threshold voltage and mobility of a plurality of transistors fabricated using a semiconductor substrate can be made small. Further, since there is substantially no grain boundary, leakage current due to grain boundaries can be suppressed, and energy saving of the semiconductor device can be realized. Therefore, a highly reliable semiconductor device can be manufactured.

在製造由藉由雷射結晶獲得的多晶半導體膜製造電晶體的情形中,有必要考慮雷射的掃描方向來決定電晶體的半導體膜的佈局,以便於獲得高遷移率。然而,對於本發明的設置有半導體膜的基底而言不存在這種需要,並且在設計半導體裝置時幾乎沒有限制。In the case of manufacturing a transistor made of a polycrystalline semiconductor film obtained by laser crystallization, it is necessary to consider the scanning direction of the laser to determine the layout of the semiconductor film of the transistor in order to obtain high mobility. However, there is no such need for the substrate provided with the semiconductor film of the present invention, and there is almost no limitation in designing the semiconductor device.

實施方式4Embodiment 4

在實施方式3中,TFT的製造方法被描述為半導體裝置的製造方法的一個示例。藉由在設置有半導體膜的基底上形成諸如電容器、電阻器等的各種半導體元件連同TFT,可製造高添加值的半導體裝置。在本實施方式中,參照附圖描述半導體裝置的特定量施方式。In Embodiment 3, a method of manufacturing a TFT is described as an example of a method of manufacturing a semiconductor device. A high added value semiconductor device can be manufactured by forming various semiconductor elements such as capacitors, resistors, and the like together with a TFT on a substrate provided with a semiconductor film. In the present embodiment, a specific amount of the semiconductor device is described with reference to the drawings.

首先,作為半導體裝置的一個示例,將描述微處理器。圖15是示出微處理器200的結構示例的框圖。First, as an example of a semiconductor device, a microprocessor will be described. FIG. 15 is a block diagram showing a structural example of the microprocessor 200.

該微處理器200包括算術邏輯單元(ALU)201、ALU控制器202、指令解碼器203、中斷控制器204、定時控制器205、暫存器206、暫存器控制器207、匯流排界面(匯流排I/F)208、唯讀記憶體(ROM)209、以及記憶體介面210。The microprocessor 200 includes an arithmetic logic unit (ALU) 201, an ALU controller 202, an instruction decoder 203, an interrupt controller 204, a timing controller 205, a register 206, a register controller 207, and a bus interface ( Bus I/F) 208, read only memory (ROM) 209, and memory interface 210.

經由匯流排界面208向微處理器200輸入的指令被輸入到指令解碼器203並解碼,然後被輸入到ALU控制器202、中斷控制器204、暫存器控制器207和定時控制器205。ALU控制器202、中斷控制器204、暫存器控制器207和定時控制器205基於所解碼的指令執行各種控制。The instructions input to the microprocessor 200 via the bus interface 208 are input to the instruction decoder 203 and decoded, and then input to the ALU controller 202, the interrupt controller 204, the register controller 207, and the timing controller 205. The ALU controller 202, the interrupt controller 204, the scratchpad controller 207, and the timing controller 205 perform various controls based on the decoded instructions.

ALU控制器202產生用於控制ALU 201的運算的信號。中斷控制器204是在微處理器200的程式執行期間處理來自外部輸入/的輸出設備或週邊電路的中斷請求的電路,並且中斷控制器204確定中斷請求的優先順序或遮罩狀態,並處理該中斷請求。暫存器控制器207產生暫存器206的位址並取決於微處理器200的狀態執行對暫存器206的讀寫。定時控制器205產生控制ALU 201、ALU控制器202、指令解碼器203、中斷控制器204、暫存器控制器207的運行的定時的信號。例如,定時控制器205設置有基於基準時鐘信號CLK1產生內部時鐘信號CLK2的內部時鐘發生器。如圖15所示,內部時鐘信號CLK2被輸入到另一電路。The ALU controller 202 generates signals for controlling the operation of the ALU 201. The interrupt controller 204 is a circuit that processes an interrupt request from an external input/output device or peripheral circuit during execution of the program of the microprocessor 200, and the interrupt controller 204 determines the priority order or mask state of the interrupt request, and processes the Interrupt the request. The scratchpad controller 207 generates the address of the scratchpad 206 and performs read and write to the scratchpad 206 depending on the state of the microprocessor 200. The timing controller 205 generates a signal that controls the timing of the operation of the ALU 201, the ALU controller 202, the instruction decoder 203, the interrupt controller 204, and the scratchpad controller 207. For example, the timing controller 205 is provided with an internal clock generator that generates an internal clock signal CLK2 based on the reference clock signal CLK1. As shown in FIG. 15, the internal clock signal CLK2 is input to another circuit.

接著,描述設置有在不接觸的情況下執行資料的收發的功能和算術功能的半導體裝置的一個示例。圖16是示出這種半導體裝置的結構示例的框圖。圖16所示的半導體裝置211用作算術處理單元,它藉由無線通信與外部設備收發信號來運行。Next, an example of a semiconductor device provided with a function of performing transceiving of data and an arithmetic function without contact may be described. Fig. 16 is a block diagram showing a structural example of such a semiconductor device. The semiconductor device 211 shown in Fig. 16 is used as an arithmetic processing unit which operates by transmitting and receiving signals with an external device by wireless communication.

如圖16所示,半導體裝置211包括類比電路部分212和數位電路部分213。該類比電路部分212包括:具有諧振電容器的諧振電路214、整流電路215、恆壓電路216、重設電路217、振盪電路218、解調電路219和調變電路220。此外,數位電路部分213包括RF介面221、控制暫存器222、時鐘控制器223、介面224、中央處理單元(CPU)225、隨機存取記憶體(RAM)226以及唯讀記憶體(ROM)227。As shown in FIG. 16, the semiconductor device 211 includes an analog circuit portion 212 and a digital circuit portion 213. The analog circuit portion 212 includes a resonance circuit 214 having a resonance capacitor, a rectifier circuit 215, a constant voltage circuit 216, a reset circuit 217, an oscillation circuit 218, a demodulation circuit 219, and a modulation circuit 220. In addition, the digital circuit portion 213 includes an RF interface 221, a control register 222, a clock controller 223, an interface 224, a central processing unit (CPU) 225, a random access memory (RAM) 226, and a read-only memory (ROM). 227.

半導體裝置211的運行的概要如下。感應電動勢使用由天線228接收的信號在諧振電路214中產生。該感應電動勢藉由整流電路215並對電容器229充電。該電容器229最好是諸如陶瓷電容器或電偶層電容器的電容器。電容器229未必需要與包括在半導體裝置211中的基底集成,並且它可作為不同元件被裝到半導體裝置211。The outline of the operation of the semiconductor device 211 is as follows. The induced electromotive force is generated in the resonant circuit 214 using the signal received by the antenna 228. The induced electromotive force is supplied to the capacitor 229 by the rectifying circuit 215. The capacitor 229 is preferably a capacitor such as a ceramic capacitor or a galvanic layer capacitor. The capacitor 229 does not necessarily need to be integrated with the substrate included in the semiconductor device 211, and it can be mounted to the semiconductor device 211 as a different component.

重設電路217產生重設並初始化數位電路部分213的信號。例如,產生在電源電壓上升後遲滯上升的信號作為重設信號。取決於在恆壓電路216中產生的控制信號,振盪電路218改變時鐘信號的頻率和占空比。解調電路219是解調接收信號的電路,而調變電路220是調變要傳送資料的電路。The reset circuit 217 generates a signal for resetting and initializing the digital circuit portion 213. For example, a signal that rises hysteresis after the power supply voltage rises is generated as a reset signal. The oscillation circuit 218 changes the frequency and duty ratio of the clock signal depending on the control signal generated in the constant voltage circuit 216. The demodulation circuit 219 is a circuit that demodulates the received signal, and the modulation circuit 220 is a circuit that modulates the data to be transmitted.

例如,解調電路219使用低通濾波器形成,並基於幅值的變化二元化經調幅(ASK)的接收信號。因為調變電路220改變經調幅(ASK)傳送信號的幅值並傳送資料,所以該調變電路220藉由改變諧振電路214的諧振點來改變通信信號的幅值。For example, the demodulation circuit 219 is formed using a low pass filter and binarizes the amplitude modulated (ASK) received signal based on the change in amplitude. Since the modulation circuit 220 changes the amplitude of the amplitude modulated (ASK) transmission signal and transmits the data, the modulation circuit 220 changes the amplitude of the communication signal by changing the resonance point of the resonance circuit 214.

取決於電源電壓或CPU 225中的電流消耗,時鐘控制器223生成用於改變時鐘信號的頻率和占空比的控制信號。在電源管理電路230中執行電源電壓的監視。Depending on the supply voltage or current consumption in the CPU 225, the clock controller 223 generates a control signal for varying the frequency and duty cycle of the clock signal. Monitoring of the power supply voltage is performed in the power management circuit 230.

從天線228輸入到半導體裝置211的信號在解調電路219中解調,然後在RF介面221中被分成控制命令、資料等。該控制命令被儲存在控制暫存器222中。在控制命令中,包括用於讀取儲存在ROM 227中的資料、將資料寫入RAM 226、在CPU 225中執行算術運算等的指令。The signal input from the antenna 228 to the semiconductor device 211 is demodulated in the demodulation circuit 219, and then divided into control commands, data, and the like in the RF interface 221. This control command is stored in control register 222. The control commands include instructions for reading data stored in the ROM 227, writing data to the RAM 226, performing arithmetic operations in the CPU 225, and the like.

CPU 225經由介面224訪問ROM 227、RAM 226和控制暫存器222。介面224具有基於CPU 225所請求的位址產生與ROM 227、RAM 226和控制暫存器222的任一個相對應的存取信號的功能。The CPU 225 accesses the ROM 227, the RAM 226, and the control register 222 via the interface 224. The interface 224 has a function of generating an access signal corresponding to any one of the ROM 227, the RAM 226, and the control register 222 based on the address requested by the CPU 225.

作為CPU 225的算術方法,可採用其中作業系統(OS)被儲存在ROM 227中且程式在開始運行時讀取並執行的方法。或者,可採用其中專用電路被設置為算術電路而算術進程使用硬體來執行的方法。在使用硬體和軟體兩者的方法中,算術進程的一部分在專用算術電路中執行,然後該算術進程的其餘部分使用CPU 225中的程式來執行。As the arithmetic method of the CPU 225, a method in which the operating system (OS) is stored in the ROM 227 and the program is read and executed at the start of operation can be employed. Alternatively, a method may be employed in which a dedicated circuit is set as an arithmetic circuit and an arithmetic process is executed using hardware. In a method using both hardware and software, a part of the arithmetic process is executed in a dedicated arithmetic circuit, and then the rest of the arithmetic process is executed using a program in the CPU 225.

接著,參照圖17、圖18A和18B以及圖19A和19B描述顯示裝置,作為半導體裝置的結構示例。Next, a display device will be described as a structural example of a semiconductor device with reference to FIGS. 17, 18A and 18B, and FIGS. 19A and 19B.

圖17是示出根據實施方式1中製造方法製造的半導體裝置100的主要部分的示圖。從單個半導體基底100,可製造各自包括在顯示裝置中的多個顯示面板。在圖17中,示出用於從一個單晶半導體層116製造一個顯示裝置的電路配置的一個示例。對於每個單晶半導體層116,形成顯示面板形成區300。在顯示裝置中,包括掃描線驅動器電路、信號線驅動器電路、以及圖素部分。因此,每個顯示面板形成區300具有其中形成它們的多個區域(掃描線驅動器電路形成區301、信號線驅動器電路形成區302、以及圖素形成區303)。17 is a diagram showing a main part of a semiconductor device 100 manufactured according to the manufacturing method in Embodiment 1. From a single semiconductor substrate 100, a plurality of display panels each included in a display device can be fabricated. In Fig. 17, an example of a circuit configuration for manufacturing a display device from one single crystal semiconductor layer 116 is shown. For each of the single crystal semiconductor layers 116, a display panel forming region 300 is formed. In the display device, a scan line driver circuit, a signal line driver circuit, and a pixel portion are included. Therefore, each of the display panel forming regions 300 has a plurality of regions (scanning line driver circuit forming region 301, signal line driver circuit forming region 302, and pixel forming region 303) in which they are formed.

圖18A和18B是示出液晶顯示設備的結構示例的示圖。圖18A是液晶顯示設備的圖素的平面視圖,而圖18B是沿圖18A的剖面線J-K的橫截面視圖;在圖18A中,半導體層311是從單晶半導體層116形成的層,並形成圖素的TFT 325。該圖素包括:半導體層311、與半導體層311交叉的掃描線322、與掃描線322交叉的信號線323、圖素電極324、以及電連接到圖素電極324和半導體層311的電極328。18A and 18B are diagrams showing a structural example of a liquid crystal display device. 18A is a plan view of a pixel of the liquid crystal display device, and FIG. 18B is a cross-sectional view along the line JK of FIG. 18A; in FIG. 18A, the semiconductor layer 311 is a layer formed from the single crystal semiconductor layer 116, and is formed. Pixel's TFT 325. The pixel includes a semiconductor layer 311, a scanning line 322 crossing the semiconductor layer 311, a signal line 323 crossing the scanning line 322, a pixel electrode 324, and an electrode 328 electrically connected to the pixel electrode 324 and the semiconductor layer 311.

如圖18B所示,在基底310上疊層接合層114、包括絕緣膜112b和絕緣膜112a的絕緣層112、以及半導體層311。基底310是分隔開的底部基底101。半導體層311是藉由蝕刻單元分離單晶半導體層116形成的層。在該半導體層311中,形成溝道形成區312和n型雜質區313。TFT 325的閘電極被包括在掃描線322中,而源電極和汲電極之一被包括在信號線323中。As shown in FIG. 18B, a bonding layer 114, an insulating layer 112 including an insulating film 112b and an insulating film 112a, and a semiconductor layer 311 are laminated on the substrate 310. The substrate 310 is a separated bottom substrate 101. The semiconductor layer 311 is a layer formed by separating the single crystal semiconductor layer 116 by an etching unit. In the semiconductor layer 311, a channel formation region 312 and an n-type impurity region 313 are formed. The gate electrode of the TFT 325 is included in the scan line 322, and one of the source electrode and the drain electrode is included in the signal line 323.

在層間絕緣膜327之上,設置有信號線323、圖素電極324和電極328。在層間絕緣膜327之上形成圓柱隔片329,並且取向膜330被形成以覆蓋信號線323、圖素電極324、電極328以及圓柱隔片329。在相對基底332之上,形成相對電極333和覆蓋該相對電極的取向膜330。圓柱隔片329被形成為保持基底310與相對基底332之間的間隔。在由圓柱隔片329形成的間隔中,形成液晶層335。在信號線323與具有雜質區313的電極328的連接部分上,因為存在由於形成接觸孔而在層間絕緣膜327中形成的臺階,所以這些連接部分中液晶層335的液晶的取向容易變得無序。因此,圓柱隔片329在這些臺階部分形成以防止液晶的取向無序。Above the interlayer insulating film 327, a signal line 323, a pixel electrode 324, and an electrode 328 are provided. A cylindrical spacer 329 is formed over the interlayer insulating film 327, and an alignment film 330 is formed to cover the signal line 323, the pixel electrode 324, the electrode 328, and the cylindrical spacer 329. On the opposite substrate 332, an opposite electrode 333 and an alignment film 330 covering the opposite electrode are formed. A cylindrical spacer 329 is formed to maintain a space between the substrate 310 and the opposite substrate 332. In the space formed by the cylindrical spacer 329, a liquid crystal layer 335 is formed. On the connection portion of the signal line 323 and the electrode 328 having the impurity region 313, since there are steps formed in the interlayer insulating film 327 due to the formation of the contact hole, the orientation of the liquid crystal of the liquid crystal layer 335 in these connection portions is liable to become unnecessary. sequence. Therefore, the cylindrical spacer 329 is formed at these step portions to prevent the orientation disorder of the liquid crystal.

接著,將描述場致發光顯示設備(下文中稱為“EL顯示設備”)。圖19A和19B是用於描述根據實施方式2的方法製造的EL顯示設備的示圖。圖19A是EL顯示設備的圖素的平面視圖,而圖19B是圖素的橫截面視圖。如圖19A所示,圖素包括由TFT製成的選擇電晶體401、顯示控制電晶體402、掃描線405、信號線406、電源線407、以及圖素電極408。每個圖素都設置有發光元件,它具有其中所形成的包含場致發光材料的層(EL層)被夾在一對電極之間的結構。發光元件的電極之一是圖素電極408。Next, an electroluminescence display device (hereinafter referred to as "EL display device") will be described. 19A and 19B are diagrams for describing an EL display device manufactured according to the method of Embodiment 2. 19A is a plan view of a pixel of an EL display device, and FIG. 19B is a cross-sectional view of the pixel. As shown in FIG. 19A, the pixel includes a selection transistor 401 made of a TFT, a display control transistor 402, a scanning line 405, a signal line 406, a power supply line 407, and a pixel electrode 408. Each of the pixels is provided with a light-emitting element having a structure in which a layer (EL layer) containing an electroluminescent material formed is sandwiched between a pair of electrodes. One of the electrodes of the light-emitting element is a pixel electrode 408.

選擇電晶體401是n溝道TFT,並包括由單晶半導體層116製成的半導體層403。在選擇電晶體401中,閘電極被包括在掃描線405中,源電極和汲電極之一被包括在信號線406中,而源電極和汲電極中的另一個被形成為電極411。在顯示控制電晶體402中,閘電極412被電連接到電極411,且源電極和汲電極之一被形成為電連接到圖素電極408的電極413,並且源電極和汲電極中的另一個被包括在電源線407。The selection transistor 401 is an n-channel TFT and includes a semiconductor layer 403 made of a single crystal semiconductor layer 116. In the selection transistor 401, a gate electrode is included in the scanning line 405, one of the source electrode and the germanium electrode is included in the signal line 406, and the other of the source electrode and the germanium electrode is formed as the electrode 411. In the display control transistor 402, the gate electrode 412 is electrically connected to the electrode 411, and one of the source electrode and the germanium electrode is formed to be electrically connected to the electrode 413 of the pixel electrode 408, and the other of the source electrode and the germanium electrode It is included in the power line 407.

顯示電晶體402是p溝道TFT,並包括由單晶半導體層116製成的半導體層404。如圖19B所示,在半導體層404中,形成溝道形成區451和P型雜質區452。層間絕緣膜427被形成為覆蓋顯示控制電晶體402的閘電極412。在層間絕緣膜427上,形成信號線406、電源線407、電極411和413等。此外,在層間絕緣膜427之上,形成電連接到電極413的圖素電極408。圖素電極408的周邊部分被絕緣分隔層428包圍。EL層429在電極408上形成,並且相對電極430在EL層429上形成。相對基底431被設置為加固板,並且相對基底431用樹脂層432固定於基底400。基底400是分隔開的底部基底101。The display transistor 402 is a p-channel TFT and includes a semiconductor layer 404 made of a single crystal semiconductor layer 116. As shown in FIG. 19B, in the semiconductor layer 404, a channel formation region 451 and a P-type impurity region 452 are formed. The interlayer insulating film 427 is formed to cover the gate electrode 412 of the display control transistor 402. On the interlayer insulating film 427, a signal line 406, a power source line 407, electrodes 411 and 413, and the like are formed. Further, over the interlayer insulating film 427, a pixel electrode 408 electrically connected to the electrode 413 is formed. The peripheral portion of the pixel electrode 408 is surrounded by an insulating spacer layer 428. The EL layer 429 is formed on the electrode 408, and the opposite electrode 430 is formed on the EL layer 429. The opposite substrate 431 is provided as a reinforcing plate, and is fixed to the substrate 400 with a resin layer 432 with respect to the substrate 431. The substrate 400 is a separated bottom substrate 101.

注意,在圖17的半導體基底100中,參照圖15或圖16描述的半導體裝置可在顯示面板形成區300中形成。即,可在顯示設備中設置電腦功能。此外,可製造能無接觸地輸入/輸出日期的顯示設備。Note that in the semiconductor substrate 100 of FIG. 17, the semiconductor device described with reference to FIG. 15 or FIG. 16 may be formed in the display panel forming region 300. That is, the computer function can be set in the display device. In addition, a display device capable of input/output date without contact can be manufactured.

因此,各種電氣設備可使用半導體基底100製造。電氣設備包括:諸如攝像機和數位相機的相機;導航系統、聲音重現系統(車載音頻系統、音頻元件等)、電腦、遊戲機;行動資訊終端(行動電腦、行動電話、行動遊戲機、電子書籍等)、諸如設置有記錄媒體的圖像再現設備(具體為數位多功能盤(DVD))的顯示圖像資料的顯示設備等。Therefore, various electrical devices can be fabricated using the semiconductor substrate 100. Electrical equipment includes: cameras such as cameras and digital cameras; navigation systems, sound reproduction systems (car audio systems, audio components, etc.), computers, game consoles; mobile information terminals (mobile computers, mobile phones, mobile games, e-books) And the like, such as a display device that displays image data of an image reproducing device (specifically, a digital versatile disk (DVD)) provided with a recording medium.

參照圖20A到20C,描述電氣設備的特定實施方式。圖20是示出行動電話901的外部視圖。該行動電話901具有其中包括顯示部分902、操作開關903等的結構。藉由將圖18A和18B中所示的液晶顯示設備或圖19A和19B中所示的EL顯示設備應用於顯示部分902,顯示部分902可具有優越圖像質量,且幾乎沒有顯示不均勻度。A specific embodiment of an electrical device is described with reference to Figures 20A through 20C. FIG. 20 is an external view showing the mobile phone 901. The mobile phone 901 has a structure in which a display portion 902, an operation switch 903, and the like are included. By applying the liquid crystal display device shown in FIGS. 18A and 18B or the EL display device shown in FIGS. 19A and 19B to the display portion 902, the display portion 902 can have superior image quality with almost no display unevenness.

圖20B是示出數位播放器911的結構示例的外部視圖。該數位播放器911包括顯示部分912、運算部分913、耳機914等。或者,可使用頭戴耳機或無線耳機來代替耳機914。藉由將圖18A和18B中所示的液晶顯示設備或圖19A和19B中所示的EL顯示設備應用於顯示部分912,即使在螢幕尺寸為約0.3英寸到2英寸的情形中,都可顯示高精度的圖像和大量的文本資訊。FIG. 20B is an external view showing a structural example of the digital player 911. The digital player 911 includes a display portion 912, an operation portion 913, a headphone 914, and the like. Alternatively, a headset or a wireless headset can be used instead of the headset 914. By applying the liquid crystal display device shown in Figs. 18A and 18B or the EL display device shown in Figs. 19A and 19B to the display portion 912, it can be displayed even in the case where the screen size is about 0.3 inch to 2 inches. High-precision images and a lot of text information.

圖20C是電子書籍921的外部視圖。該電子書籍921包括顯示部分922和操作開關923。數據機可被結合到該電子書籍921中,或者圖16中的半導體裝置可被結合成使該電子書籍921具有資訊可憑藉它無線收發的結構。藉由將圖18A和18B中所示的液晶顯示設備或圖19A和19B中所示的EL顯示設備應用於顯示部分922,可進行高圖像質量的顯示。FIG. 20C is an external view of the electronic book 921. The electronic book 921 includes a display portion 922 and an operation switch 923. The data machine can be incorporated into the electronic book 921, or the semiconductor device of FIG. 16 can be combined such that the electronic book 921 has a structure by which information can be wirelessly transmitted and received. By applying the liquid crystal display device shown in Figs. 18A and 18B or the EL display device shown in Figs. 19A and 19B to the display portion 922, display of high image quality can be performed.

實施方式5Embodiment 5

在本實施方式中,描述用於製造設置有半導體膜的基底的盤。圖3中的盤10具有多個凹部11,每一個凹部用於存儲一個單晶半導體基底。或者,設置有半導體膜的基底可藉由將多個單晶半導體基底置入盤的一個凹部來製造。In the present embodiment, a disk for manufacturing a substrate provided with a semiconductor film is described. The disk 10 of Figure 3 has a plurality of recesses 11, each for storing a single crystal semiconductor substrate. Alternatively, the substrate provided with the semiconductor film can be fabricated by placing a plurality of single crystal semiconductor substrates into one recess of the disk.

圖21中示出了具有這種結構的盤的一個示例。盤20是由與盤10材料相似的材料形成的類似板的構件。形成用於固定單晶半導體基底111的凹部21。該凹部21要具有其中多個單晶半導體基底111可被排列成在它們間沒有間隔的形狀。在例如圖21的盤20上,示出在單晶半導體基底111的3×3排列被示為一個塊的情形中的凹部11。An example of a disk having such a structure is shown in FIG. The disk 20 is a plate-like member formed of a material similar to the material of the disk 10. A recess 21 for fixing the single crystal semiconductor substrate 111 is formed. The recess 21 has a shape in which a plurality of single crystal semiconductor substrates 111 can be arranged without a space therebetween. On the disk 20 of, for example, Fig. 21, the concave portion 11 in the case where the 3 × 3 array of the single crystal semiconductor substrate 111 is shown as one block is shown.

本申請基於2007年9月21日提交給日本專利局的序列號為2007-245898的日本專利申請,該申請的全部內容藉由引用結合於此。The present application is based on Japanese Patent Application Serial No. 2007-245898, filed on

10...盤10. . . plate

11...凹部11. . . Concave

20...盤20. . . plate

21...凹部twenty one. . . Concave

100...基底100. . . Base

101...底部基底101. . . Bottom base

102...絕緣層102. . . Insulation

111...單晶半導體基底111. . . Single crystal semiconductor substrate

112...絕緣層112. . . Insulation

112a...絕緣膜112a. . . Insulating film

112b...絕緣膜112b. . . Insulating film

113...受損區113. . . Damaged area

114...接合層114. . . Bonding layer

115...單晶半導體層115. . . Single crystal semiconductor layer

116...單晶半導體層116. . . Single crystal semiconductor layer

117...單晶半導體基底117. . . Single crystal semiconductor substrate

117a...凸部117a. . . Convex

117b...分離表面117b. . . Separation surface

118...單晶半導體基底118. . . Single crystal semiconductor substrate

121...離子束121. . . Ion beam

122...雷射光束122. . . Laser beam

200...微處理器200. . . microprocessor

201...算術邏輯單元201. . . Arithmetic logic unit

202...ALU控制器202. . . ALU controller

203...指令解碼器203. . . Instruction decoder

204...中斷控制器204. . . Interrupt controller

205...定時控制器205. . . Timing controller

206...暫存器206. . . Register

207...暫存器控制器207. . . Register controller

208...匯流排界面208. . . Bus interface

209...唯讀記憶體209. . . Read only memory

210...記憶體介面210. . . Memory interface

211...半導體裝置211. . . Semiconductor device

212...類比電路部分212. . . Analog circuit part

213...數位電路部分213. . . Digital circuit part

214...諧振電路214. . . Resonant circuit

215...整流電路215. . . Rectifier circuit

216...恆壓電路216. . . Constant voltage circuit

217...重設電路217. . . Reset circuit

218...振盪電路218. . . Oscillation circuit

219...解調電路219. . . Demodulation circuit

220...調變電路220. . . Modulation circuit

221...RF介面221. . . RF interface

222...控制暫存器222. . . Control register

223...時鐘控制器223. . . Clock controller

224...介面224. . . interface

225...中央處理單元225. . . Central processing unit

226...隨機存取記憶體226. . . Random access memory

227...唯讀記憶體227. . . Read only memory

228...天線228. . . antenna

229...電容器229. . . Capacitor

230...電源管理電路230. . . Power management circuit

300...顯示面板形成區300. . . Display panel forming area

301...掃描線驅動器電路形成區301. . . Scan line driver circuit forming region

302...信號線驅動器電路形成區302. . . Signal line driver circuit forming region

303...圖素形成區303. . . Pixel forming region

310...基底310. . . Base

311...半導體層311. . . Semiconductor layer

312...溝道形成區312. . . Channel formation region

313...n型雜質區313. . . N-type impurity region

322...掃描線322. . . Scanning line

323...信號線323. . . Signal line

324...圖素電極324. . . Photoelectrode

325...TFT325. . . TFT

327...層間絕緣膜327. . . Interlayer insulating film

328...電極328. . . electrode

329...圓柱隔片329. . . Cylindrical septum

330...取向膜330. . . Oriented film

332...相對基底332. . . Relative base

333...相對電極333. . . Relative electrode

335...液晶層335. . . Liquid crystal layer

400...基底400. . . Base

401...選擇電晶體401. . . Select transistor

402...顯示控制電晶體402. . . Display control transistor

403...半導體層403. . . Semiconductor layer

404...半導體層404. . . Semiconductor layer

405...掃描線405. . . Scanning line

406...信號線406. . . Signal line

407...電源線407. . . power cable

408...圖素電極408. . . Photoelectrode

411...電極411. . . electrode

412...閘電極412. . . Gate electrode

413...電極413. . . electrode

427...層間絕緣膜427. . . Interlayer insulating film

428...絕緣分隔層428. . . Insulating partition

429...EL層429. . . EL layer

430...相對電極430. . . Relative electrode

430...相對基底430. . . Relative base

432...樹脂層432. . . Resin layer

451...溝道形成區451. . . Channel formation region

452...P型雜質區452. . . P-type impurity region

603...半導體膜603. . . Semiconductor film

604...半導體膜604. . . Semiconductor film

606...閘極絕緣膜606. . . Gate insulating film

607...電極607. . . electrode

608...p型高濃度雜質區608. . . P-type high concentration impurity region

609...n型高濃度雜質區609. . . N-type high concentration impurity region

610...溝道形成區610. . . Channel formation region

611...溝道形成區611. . . Channel formation region

612...側壁612. . . Side wall

614...n型高濃度雜質區域614. . . N-type high concentration impurity region

617...p溝道電晶體617. . . P-channel transistor

618...n溝道電晶體618. . . N-channel transistor

619...絕緣膜619. . . Insulating film

620...絕緣膜620. . . Insulating film

621...導電膜621. . . Conductive film

622...導電膜622. . . Conductive film

901...行動電話901. . . mobile phone

902...顯示部分902. . . Display section

903...操作開關903. . . Operation switch

911...數位播放器911. . . Digital player

912...顯示部分912. . . Display section

913...運算部分913. . . Operation part

914...耳機914. . . headset

921...電子書籍921. . . E-book

922...顯示部分922. . . Display section

923...操作開關923. . . Operation switch

在附圖中:In the drawing:

圖1是示出設置有半導體膜的基底的結構的一個示例的外部視圖;1 is an external view showing one example of a structure of a substrate provided with a semiconductor film;

圖2是示出單晶半導體膜基底的結構的一個示例的外部視圖;2 is an external view showing one example of a structure of a single crystal semiconductor film substrate;

圖3是示出盤的結構的一個示例的外部視圖;3 is an external view showing one example of a structure of a disk;

圖4是示出設置在盤上的多個單晶半導體基底的外部視圖;4 is an external view showing a plurality of single crystal semiconductor substrates disposed on a disk;

圖5A和5B各自是示出盤的結構示例的俯視圖;5A and 5B are each a plan view showing a structural example of a disk;

圖6A和6B各自是示出盤的結構示例的俯視圖;6A and 6B are each a plan view showing a structural example of a disk;

圖7A-7D是示出設置有半導體膜的基底的製造方法的橫截面視圖;7A-7D are cross-sectional views showing a method of fabricating a substrate provided with a semiconductor film;

圖8A和8B是示出設置有半導體膜的基底的製造方法的橫截面視圖;8A and 8B are cross-sectional views showing a method of manufacturing a substrate provided with a semiconductor film;

圖9是示出設置有半導體膜的基底的製造方法的橫截面視圖;9 is a cross-sectional view showing a method of manufacturing a substrate provided with a semiconductor film;

圖10A和10B是示出設置有半導體膜的基底的製造方法的橫截面視圖;10A and 10B are cross-sectional views showing a method of manufacturing a substrate provided with a semiconductor film;

圖11A-11D是說明單晶半導體基底的再加工處理的示圖;11A-11D are diagrams illustrating a reworking process of a single crystal semiconductor substrate;

圖12A-12D是說明半導體裝置的製造方法的橫截面視圖;12A-12D are cross-sectional views illustrating a method of fabricating a semiconductor device;

圖13A-13C是說明半導體裝置的製造方法的橫截面視圖;13A-13C are cross-sectional views illustrating a method of fabricating a semiconductor device;

圖14是一半導體裝置的橫截面視圖和俯視圖;Figure 14 is a cross-sectional view and a plan view of a semiconductor device;

圖15是示出微處理器的結構的一個示例的框圖;Figure 15 is a block diagram showing one example of the structure of a microprocessor;

圖16是示出半導體裝置的結構的一個示例的框圖;16 is a block diagram showing one example of a structure of a semiconductor device;

圖17是示出設置有半導體膜的基底的主要部分的立體圖;Figure 17 is a perspective view showing a main portion of a substrate provided with a semiconductor film;

圖18A是液晶顯示設備的圖素的平面視圖,而圖18B是沿圖18A的剖面線J-K截取的橫截面視圖;18A is a plan view of a pixel of the liquid crystal display device, and FIG. 18B is a cross-sectional view taken along a line J-K of FIG. 18A;

圖19A是場致發光顯示設備的圖素的平面視圖,而圖19B是圖19A的橫截面視圖;Figure 19A is a plan view of a pixel of the electroluminescence display device, and Figure 19B is a cross-sectional view of Figure 19A;

圖20A-20C分別是行動電話、數位播放器、以及電子書的立體圖;以及20A-20C are perspective views of a mobile phone, a digital player, and an e-book, respectively;

圖21是示出盤的結構的一個示例的立體圖。21 is a perspective view showing one example of the structure of a disk.

10...盤10. . . plate

101...底部基底101. . . Bottom base

111...單晶半導體基底111. . . Single crystal semiconductor substrate

112...絕緣層112. . . Insulation

112a...絕緣膜112a. . . Insulating film

112b...絕緣膜112b. . . Insulating film

113...受損區113. . . Damaged area

114...接合層114. . . Bonding layer

115...單晶半導體層115. . . Single crystal semiconductor layer

117...單晶半導體基底117. . . Single crystal semiconductor substrate

Claims (25)

一種設置有半導體膜的基底的製造方法,包括:製備底部基底和多個單晶半導體基底,所述單晶半導體基底包括在每一個所述單晶半導體基底中的預期深度處形成的受損區域、和在每一個所述單晶半導體基底的上表面上形成的接合層;在盤上佈置所述多個單晶半導體基底;使置於所述盤上的所述多個單晶半導體基底與所述底部基底緊密接觸,其間夾有所述接合層,以接合所述接合層的一個表面和所述底部基底的一個表面,從而使所述底部基底和所述多個單晶半導體基底彼此接合在一起;以及藉由加熱置於所述盤上的所述多個單晶半導體基底在所述受損區域中生成裂縫,從而使與所述單晶半導體基底分開的多個單晶半導體層與所述底部基底緊密接觸。 A method of fabricating a substrate provided with a semiconductor film, comprising: preparing a bottom substrate and a plurality of single crystal semiconductor substrates, the single crystal semiconductor substrate including a damaged region formed at a desired depth in each of the single crystal semiconductor substrates And a bonding layer formed on an upper surface of each of said single crystal semiconductor substrates; arranging said plurality of single crystal semiconductor substrates on a disk; and said plurality of single crystal semiconductor substrates disposed on said disk The bottom substrate is in close contact with the bonding layer interposed therebetween to bond one surface of the bonding layer and one surface of the bottom substrate, thereby bonding the bottom substrate and the plurality of single crystal semiconductor substrates to each other And generating a crack in the damaged region by heating the plurality of single crystal semiconductor substrates placed on the disk, thereby separating a plurality of single crystal semiconductor layers separated from the single crystal semiconductor substrate The bottom substrate is in intimate contact. 如申請專利範圍第1項之設置有半導體膜的基底的製造方法,其中所述接合層在與所述單晶半導體基底相接觸地形成的絕緣層上形成。 A method of manufacturing a substrate provided with a semiconductor film according to the first aspect of the invention, wherein the bonding layer is formed on an insulating layer formed in contact with the single crystal semiconductor substrate. 如申請專利範圍第1項之設置有半導體膜的基底的製造方法,其中使用雷射光束照射與所述底部基底緊密接觸的所述多個單晶半導體層。 A method of manufacturing a substrate provided with a semiconductor film according to the first aspect of the invention, wherein the plurality of single crystal semiconductor layers in close contact with the bottom substrate are irradiated with a laser beam. 如申請專利範圍第1項之設置有半導體膜的基底的製造方法,其中所述底部基底是玻璃基底。 A method of manufacturing a substrate provided with a semiconductor film according to the first aspect of the invention, wherein the bottom substrate is a glass substrate. 一種設置有半導體膜的基底的製造方法,包括:製備底部基底和多個單晶半導體基底,所述單晶半導 體基底包括在每一個所述單晶半導體基底中的預期深度處形成的受損區域、和在每一個所述單晶半導體基底的上表面上形成的絕緣層、以及在所述絕緣層上形成的接合層;在第一盤上佈置所述多個單晶半導體基底;使置於所述第一盤上的所述多個單晶半導體基底與所述底部基底緊密接觸,其間夾有所述接合層,以接合所述接合層的一個表面和所述底部基底的一個表面,從而使所述底部基底和所述多個單晶半導體基底彼此接合在一起;以及藉由加熱所述多個單晶半導體基底在受損區域中生成裂縫,從而使與所述單晶半導體基底分開的多個單晶半導體層與所述底部基底緊密接觸,其中所述絕緣層的形成步驟包括在所述單晶半導體基底上形成單層或兩個或更多個層,同時所述多個單晶半導體基底置於第二盤上,其中所述受損區域的形成步驟包括藉由激發源氣體生成電漿和用所述電漿中所包括的離子種類照射所述單晶半導體基底來在所述單晶半導體基底中形成所述受損區域,同時所述多個單晶半導體基底置於第三盤上;其中所述接合層的形成步驟包括在所述單晶半導體基底上形成所述接合層,其間夾有所述絕緣層,同時所述絕緣層和其中形成有所述受損區域的每一個所述多個單晶半導體基底置於第四盤上;其中相同或不同盤被用作所述第二盤、所述第三盤和 所述第四盤;以及其中相同或不同盤被用作所述第一盤和所述第四盤。 A method of fabricating a substrate provided with a semiconductor film, comprising: preparing a bottom substrate and a plurality of single crystal semiconductor substrates, the single crystal semiconductor The bulk substrate includes a damaged region formed at a desired depth in each of the single crystal semiconductor substrates, and an insulating layer formed on an upper surface of each of the single crystal semiconductor substrates, and formed on the insulating layer a bonding layer; arranging the plurality of single crystal semiconductor substrates on a first disk; bringing the plurality of single crystal semiconductor substrates placed on the first disk into close contact with the bottom substrate with the a bonding layer to bond one surface of the bonding layer and one surface of the bottom substrate such that the bottom substrate and the plurality of single crystal semiconductor substrates are bonded to each other; and by heating the plurality of sheets The crystalline semiconductor substrate generates a crack in the damaged region such that a plurality of single crystal semiconductor layers separated from the single crystal semiconductor substrate are in close contact with the bottom substrate, wherein the step of forming the insulating layer includes the single crystal Forming a single layer or two or more layers on the semiconductor substrate while the plurality of single crystal semiconductor substrates are placed on the second disk, wherein the step of forming the damaged region includes exciting Gas generating plasma and irradiating the single crystal semiconductor substrate with an ion species included in the plasma to form the damaged region in the single crystal semiconductor substrate while the plurality of single crystal semiconductor substrates are placed a third disk; wherein the forming step of the bonding layer comprises forming the bonding layer on the single crystal semiconductor substrate with the insulating layer interposed therebetween, and the insulating layer and the damaged region formed therein Each of the plurality of single crystal semiconductor substrates is placed on a fourth disk; wherein the same or different disks are used as the second disk, the third disk, and The fourth disk; and wherein the same or different disks are used as the first disk and the fourth disk. 如申請專利範圍第5項之設置有半導體膜的基底的製造方法,其中使用雷射光束照射與所述底部基底緊密接觸的所述多個單晶半導體層。 A method of manufacturing a substrate provided with a semiconductor film according to the fifth aspect of the invention, wherein the plurality of single crystal semiconductor layers in close contact with the base substrate are irradiated with a laser beam. 如申請專利範圍第5項之設置有半導體膜的基底的製造方法,其中所述底部基底是玻璃基底。 A method of manufacturing a substrate provided with a semiconductor film according to the fifth aspect of the invention, wherein the bottom substrate is a glass substrate. 一種設置有半導體膜的基底的製造方法,包括:製備底部基底和多個單晶半導體基底,所述單晶半導體基底包括在每一個所述單晶半導體基底中的預期深度處形成的受損區域、和在每一個所述單晶半導體基底的上表面上形成的絕緣層、以及在所述絕緣層上形成的接合層;在第一盤上佈置所述多個單晶半導體基底;使置於所述第一盤上的所述多個單晶半導體基底與所述底部基底緊密接觸,其間夾有所述接合層,以接合所述接合層的一個表面和所述底部基底的一個表面,從而使所述底部基底和所述多個單晶半導體基底彼此接合在一起;以及藉由加熱所述多個單晶半導體基底在所述受損區域中生成裂縫,從而使與所述單晶半導體基底分開的多個單晶半導體層與所述底部基底緊密接觸,其中所述絕緣層的形成步驟包括在所述多個單晶半導體基底上形成單層或兩個或更多個層,同時所述多個單晶半導體基底置於第二盤上, 其中所述接合層的形成步驟包括在所述多個單晶半導體基底上形成所述接合層,其間夾有所述絕緣層,同時其中形成有所述絕緣層的所述多個單晶半導體基底置於第三盤上;其中所述受損區域的形成步驟包括藉由激發源氣體生成電漿和用所述電漿中所包括的離子種類照射所述多個單晶半導體基底來在所述多個單晶半導體基底中形成所述受損區域,同時其上形成有所述絕緣層和所述接合層的所述多個單晶半導體基底置於第三盤上;其中相同或不同盤被用作所述第二盤、所述第三盤;以及其中相同或不同盤被用作所述第一盤。 A method of fabricating a substrate provided with a semiconductor film, comprising: preparing a bottom substrate and a plurality of single crystal semiconductor substrates, the single crystal semiconductor substrate including a damaged region formed at a desired depth in each of the single crystal semiconductor substrates And an insulating layer formed on an upper surface of each of the single crystal semiconductor substrates, and a bonding layer formed on the insulating layer; the plurality of single crystal semiconductor substrates are disposed on the first disk; The plurality of single crystal semiconductor substrates on the first disk are in close contact with the bottom substrate with the bonding layer interposed therebetween to bond one surface of the bonding layer and one surface of the bottom substrate, thereby Bonding the bottom substrate and the plurality of single crystal semiconductor substrates to each other; and generating a crack in the damaged region by heating the plurality of single crystal semiconductor substrates, thereby causing the single crystal semiconductor substrate a plurality of separate single crystal semiconductor layers in close contact with the bottom substrate, wherein the step of forming the insulating layer includes forming a single layer on the plurality of single crystal semiconductor substrates Two or more layers, while the plurality of single crystal semiconductor substrate is placed on the second disc, Wherein the forming step of the bonding layer includes forming the bonding layer on the plurality of single crystal semiconductor substrates with the insulating layer interposed therebetween, and simultaneously forming the plurality of single crystal semiconductor substrates in which the insulating layer is formed Placed on the third disk; wherein the forming of the damaged region includes generating plasma by exciting the source gas and illuminating the plurality of single crystal semiconductor substrates with ion species included in the plasma Forming the damaged region in a plurality of single crystal semiconductor substrates while the plurality of single crystal semiconductor substrates on which the insulating layer and the bonding layer are formed are placed on a third disk; wherein the same or different disks are Used as the second disk, the third disk; and wherein the same or different disks are used as the first disk. 如申請專利範圍第8項之設置有半導體膜的基底的製造方法,其中使用雷射光束照射與所述底部基底緊密接觸的所述多個單晶半導體層。 A method of manufacturing a substrate provided with a semiconductor film according to the eighth aspect of the invention, wherein the plurality of single crystal semiconductor layers in close contact with the base substrate are irradiated with a laser beam. 如申請專利範圍第8項之設置有半導體膜的基底的製造方法,其中所述底部基底是玻璃基底。 A method of manufacturing a substrate provided with a semiconductor film according to the eighth aspect of the invention, wherein the bottom substrate is a glass substrate. 一種設置有半導體膜的基底的製造方法,包括:製備底部基底和多個單晶半導體基底,所述單晶半導體基底包括在每一個所述單晶半導體基底中的預期深度處形成的受損區域、和在每一個所述單晶半導體基底的上表面上形成的絕緣層、以及在所述絕緣層上形成的接合層;在第一盤上佈置所述多個單晶半導體基底;使置於所述第一盤上的所述多個單晶半導體基底與所 述底部基底緊密接觸,其間夾有所述接合層,以接合所述接合層的一個表面和所述底部基底的一個表面,從而使所述底部基底和所述多個單晶半導體基底彼此接合在一起;以及藉由加熱所述多個單晶半導體基底在所述受損區域中生成裂縫,從而使與所述單晶半導體基底分開的多個單晶半導體層與所述底部基底緊密接觸,其中所述受損區域的形成步驟包括藉由激發源氣體生成電漿和用所述電漿中所包括的離子種類摻雜所述單晶半導體基底來在所述多個單晶半導體基底中形成所述受損區域,同時所述多個單晶半導體基底置於第二盤上;其中所述絕緣層的形成步驟包括在所述多個單晶半導體基底上形成單層或兩個或更多個層,同時其中形成有所述受損區域的每一個所述多個單晶半導體基底置於第三盤上,其中所述接合層的形成步驟包括在所述多個單晶半導體基底上形成所述接合層,其間夾有所述絕緣層,同時其中形成有所述受損區域和所述絕緣層的每一個所述多個單晶半導體基底置於第四盤上;其中相同或不同盤被用作所述第二盤、所述第三盤和所述第四盤;以及其中相同或不同盤被用作所述第一盤和所述第四盤。 A method of fabricating a substrate provided with a semiconductor film, comprising: preparing a bottom substrate and a plurality of single crystal semiconductor substrates, the single crystal semiconductor substrate including a damaged region formed at a desired depth in each of the single crystal semiconductor substrates And an insulating layer formed on an upper surface of each of the single crystal semiconductor substrates, and a bonding layer formed on the insulating layer; the plurality of single crystal semiconductor substrates are disposed on the first disk; The plurality of single crystal semiconductor substrates on the first disk The bottom substrate is in intimate contact with the bonding layer interposed therebetween to bond one surface of the bonding layer and one surface of the bottom substrate, thereby bonding the bottom substrate and the plurality of single crystal semiconductor substrates to each other And generating a crack in the damaged region by heating the plurality of single crystal semiconductor substrates, thereby bringing a plurality of single crystal semiconductor layers separated from the single crystal semiconductor substrate into close contact with the bottom substrate, wherein The step of forming the damaged region includes forming a plasma in the excitation source gas and doping the single crystal semiconductor substrate with an ion species included in the plasma to form the plurality of single crystal semiconductor substrates The damaged region while the plurality of single crystal semiconductor substrates are placed on the second disk; wherein the forming of the insulating layer comprises forming a single layer or two or more on the plurality of single crystal semiconductor substrates a layer, wherein each of the plurality of single crystal semiconductor substrates in which the damaged region is formed is placed on a third disk, wherein the step of forming the bonding layer includes the plurality of single crystals Forming the bonding layer on the bulk substrate with the insulating layer interposed therebetween, and each of the plurality of single crystal semiconductor substrates in which the damaged region and the insulating layer are formed are placed on the fourth disk; The same or different discs are used as the second disc, the third disc, and the fourth disc; and wherein the same or different discs are used as the first disc and the fourth disc. 如申請專利範圍第11項之設置有半導體膜的基底的製造方法,其中使用雷射光束照射與所述底部基底緊 密接觸的所述多個單晶半導體層。 A method of manufacturing a substrate provided with a semiconductor film according to claim 11, wherein the laser beam is irradiated with the bottom substrate The plurality of single crystal semiconductor layers in close contact. 如申請專利範圍第11項之設置有半導體膜的基底的製造方法,其中所述底部基底是玻璃基底。 A method of manufacturing a substrate provided with a semiconductor film according to the invention of claim 11, wherein the bottom substrate is a glass substrate. 一種設置有半導體膜的基底的製造方法,包括:製備底部基底和多個單晶半導體基底,所述單晶半導體基底包括在每一個所述單晶半導體基底中的預期深度處形成的受損區域、和在每一個所述單晶半導體基底的上表面上形成的絕緣層、以及在所述絕緣層上形成的接合層;在第一盤上佈置所述多個單晶半導體基底;使置於所述第一盤上的所述多個單晶半導體基底與所述底部基底緊密接觸,其間夾有所述接合層,以接合所述接合層的一個表面和所述底部基底的一個表面,從而使所述底部基底和所述多個單晶半導體基底彼此接合在一起;以及藉由加熱所述多個單晶半導體基底在所述受損區域中生成裂縫,且所述多個單晶半導體基底置於所述第一盤上,從而使與所述單晶半導體基底分開的多個第一單晶半導體層與所述底部基底緊密接觸,其中所述絕緣層的形成步驟包括藉由在含氟化物氣體或氟氣的反應室提供置於第二盤上的所述多個單晶半導體基底,將處理氣體引入所述反應室,藉由激發所述處理氣體生成電漿,以及引發所述電漿內包括的活性種類的化學反應來在所述多個單晶半導體基底上形成單層或兩個或更多個層,以及 其中相同或不同盤被用作所述第一盤和所述第二盤。 A method of fabricating a substrate provided with a semiconductor film, comprising: preparing a bottom substrate and a plurality of single crystal semiconductor substrates, the single crystal semiconductor substrate including a damaged region formed at a desired depth in each of the single crystal semiconductor substrates And an insulating layer formed on an upper surface of each of the single crystal semiconductor substrates, and a bonding layer formed on the insulating layer; the plurality of single crystal semiconductor substrates are disposed on the first disk; The plurality of single crystal semiconductor substrates on the first disk are in close contact with the bottom substrate with the bonding layer interposed therebetween to bond one surface of the bonding layer and one surface of the bottom substrate, thereby Bonding the bottom substrate and the plurality of single crystal semiconductor substrates to each other; and generating cracks in the damaged region by heating the plurality of single crystal semiconductor substrates, and the plurality of single crystal semiconductor substrates And disposed on the first disk such that a plurality of first single crystal semiconductor layers separated from the single crystal semiconductor substrate are in close contact with the bottom substrate, wherein the insulating layer The step of introducing a process gas into the reaction chamber by introducing the plurality of single crystal semiconductor substrates placed on the second disk in a reaction chamber containing a fluoride gas or a fluorine gas, by exciting the process gas to generate a plasma, and a chemical reaction that initiates an active species included in the plasma to form a single layer or two or more layers on the plurality of single crystal semiconductor substrates, and Where the same or different discs are used as the first disc and the second disc. 如申請專利範圍第14項之設置有半導體膜的基底的製造方法,其中使用雷射光束照射與所述底部基底緊密接觸的所述多個單晶半導體層。 A method of manufacturing a substrate provided with a semiconductor film according to claim 14, wherein the plurality of single crystal semiconductor layers in close contact with the base substrate are irradiated with a laser beam. 如申請專利範圍第14項之設置有半導體膜的基底的製造方法,其中所述底部基底是玻璃基底。 A method of manufacturing a substrate provided with a semiconductor film according to claim 14, wherein the bottom substrate is a glass substrate. 一種設置有半導體膜的基底的製造方法,包括:製備底部基底和多個單晶半導體基底,所述單晶半導體基底包括在每一個所述單晶半導體基底中的預期深度處形成的受損區域、和在每一個所述單晶半導體基底的上表面上形成的絕緣層、以及在所述絕緣層上形成的接合層;在第一盤上佈置所述多個單晶半導體基底;使置於所述第一盤上的所述多個單晶半導體基底與所述底部基底緊密接觸,其間夾有所述接合層,以接合所述接合層的一個表面和所述底部基底的一個表面,從而使所述底部基底和所述多個單晶半導體基底彼此接合在一起;以及藉由加熱所述多個單晶半導體基底在所述受損區域中生成裂縫,從而使與所述單晶半導體基底分開的多個第一單晶半導體層與所述底部基底緊密接觸,其中所述絕緣層的形成步驟包括藉由在含氟化物氣體或氟氣的反應室提供置於第二盤上的所述多個單晶半導體基底,將處理氣體引入所述反應室,藉由激發所述處理氣體生成電漿,以及引發所述電漿內包括的活性種類的化學 反應來在所述多個單晶半導體基底上形成單層或兩個或更多個層,以及其中所述受損區域的形成步驟包括藉由激發源氣體生成電漿和用所述電漿中所包括的離子種類照射所述單晶半導體基底來在所述多個單晶半導體基底中形成所述受損區域,同時其上形成有所述絕緣層的所述多個單晶半導體基底置於第三盤上;其中所述接合層的形成步驟包括在所述多個單晶半導體基底上形成所述接合層,其間夾有所述絕緣層,且其中形成有所述受損區域和所述絕緣層的每一個所述多個單晶半導體基底置於第四盤上;其中相同或不同盤被用作所述第二盤、所述第三盤和所述第四盤;以及其中相同或不同盤被用作所述第一盤和所述第四盤。 A method of fabricating a substrate provided with a semiconductor film, comprising: preparing a bottom substrate and a plurality of single crystal semiconductor substrates, the single crystal semiconductor substrate including a damaged region formed at a desired depth in each of the single crystal semiconductor substrates And an insulating layer formed on an upper surface of each of the single crystal semiconductor substrates, and a bonding layer formed on the insulating layer; the plurality of single crystal semiconductor substrates are disposed on the first disk; The plurality of single crystal semiconductor substrates on the first disk are in close contact with the bottom substrate with the bonding layer interposed therebetween to bond one surface of the bonding layer and one surface of the bottom substrate, thereby Bonding the bottom substrate and the plurality of single crystal semiconductor substrates to each other; and generating a crack in the damaged region by heating the plurality of single crystal semiconductor substrates, thereby causing the single crystal semiconductor substrate a plurality of separate first single crystal semiconductor layers in close contact with the bottom substrate, wherein the step of forming the insulating layer comprises reacting by a fluoride gas or fluorine gas Providing a plurality of single crystal semiconductor substrate is placed on the second disc, the process gas is introduced into the reaction chamber, the processing gas to generate excited by plasma, and the plasma initiated within chemically active species include Reacting to form a single layer or two or more layers on the plurality of single crystal semiconductor substrates, and wherein the step of forming the damaged region comprises generating a plasma by exciting a source gas and using the plasma The included ion species illuminate the single crystal semiconductor substrate to form the damaged region in the plurality of single crystal semiconductor substrates while the plurality of single crystal semiconductor substrates on which the insulating layer is formed are placed a third disk; wherein the forming step of the bonding layer comprises forming the bonding layer on the plurality of single crystal semiconductor substrates with the insulating layer interposed therebetween, and wherein the damaged region and the Each of the plurality of single crystal semiconductor substrates of the insulating layer is placed on a fourth disk; wherein the same or different disks are used as the second disk, the third disk, and the fourth disk; and wherein the same or Different disks are used as the first disk and the fourth disk. 如申請專利範圍第17項之設置有半導體膜的基底的製造方法,其中使用雷射光束照射與所述底部基底緊密接觸的所述多個單晶半導體層。 A method of manufacturing a substrate provided with a semiconductor film according to claim 17, wherein the plurality of single crystal semiconductor layers in close contact with the base substrate are irradiated with a laser beam. 如申請專利範圍第17項之設置有半導體膜的基底的製造方法,其中所述底部基底是玻璃基底。 A method of manufacturing a substrate provided with a semiconductor film according to claim 17, wherein the bottom substrate is a glass substrate. 一種設置有半導體膜的基底的製造方法,包括:製備底部基底和多個單晶半導體基底,所述單晶半導體基底包括在每一個所述單晶半導體基底中的預期深度處形成的受損區域、和在每一個所述單晶半導體基底的上表面上形成的絕緣層、以及在所述絕緣層上形成的接合層; 在第一盤上佈置所述多個單晶半導體基底;使置於所述第一盤上的所述多個單晶半導體基底與所述底部基底緊密接觸,其間夾有所述接合層,以接合所述接合層的一個表面和所述底部基底的一個表面,從而使所述底部基底和所述多個單晶半導體基底彼此接合在一起;以及藉由加熱所述多個單晶半導體基底在所述受損區域中生成裂縫,從而使與所述單晶半導體基底分開的多個第一單晶半導體層與所述底部基底緊密接觸,其中所述絕緣層的形成步驟包括藉由在含氟化物氣體或氟氣的反應室提供置於第二盤上的所述多個單晶半導體基底,將處理氣體引入所述反應室,藉由激發所述處理氣體生成電漿,以及引發所述電漿內包括的活性種類的化學反應來在所述多個單晶半導體基底上形成單層或兩個或多個層,以及其中所述接合層的形成步驟包括在所述多個單晶半導體基底上形成所述接合層,其間夾有所述絕緣層,同時其上形成有所述絕緣層的所述多個單晶半導體基底置於第三盤上;其中所述受損區域的形成步驟包括藉由激發源氣體生成電漿和用所述電漿中所包括的離子種類照射所述單晶半導體基底來在所述多個單晶半導體基底中形成所述受損區域,同時其上形成有所述絕緣層和所述接合層的所述多個單晶半導體基底置於第三盤上; 其中相同或不同盤被用作所述第二盤、所述第三盤;以及其中相同或不同盤被用作所述第一盤。 A method of fabricating a substrate provided with a semiconductor film, comprising: preparing a bottom substrate and a plurality of single crystal semiconductor substrates, the single crystal semiconductor substrate including a damaged region formed at a desired depth in each of the single crystal semiconductor substrates And an insulating layer formed on an upper surface of each of the single crystal semiconductor substrates, and a bonding layer formed on the insulating layer; Arranging the plurality of single crystal semiconductor substrates on a first disk; bringing the plurality of single crystal semiconductor substrates placed on the first disk into close contact with the bottom substrate with the bonding layer interposed therebetween Bonding one surface of the bonding layer and one surface of the bottom substrate such that the bottom substrate and the plurality of single crystal semiconductor substrates are bonded to each other; and by heating the plurality of single crystal semiconductor substrates Forming a crack in the damaged region such that a plurality of first single crystal semiconductor layers separated from the single crystal semiconductor substrate are in close contact with the bottom substrate, wherein the step of forming the insulating layer includes a reaction chamber of a compound gas or a fluorine gas, the plurality of single crystal semiconductor substrates placed on the second tray, a process gas introduced into the reaction chamber, a plasma generated by exciting the processing gas, and the electricity being induced a chemical reaction of an active species included in the slurry to form a single layer or two or more layers on the plurality of single crystal semiconductor substrates, and wherein the forming step of the bonding layer is included in the plurality of sheets Forming the bonding layer on the semiconductor substrate with the insulating layer interposed therebetween, while the plurality of single crystal semiconductor substrates on which the insulating layer is formed are placed on the third disk; wherein the damaged region is formed The step includes forming the damaged region in the plurality of single crystal semiconductor substrates by generating a plasma by exciting a source gas and irradiating the single crystal semiconductor substrate with an ion species included in the plasma, and simultaneously thereon The plurality of single crystal semiconductor substrates formed with the insulating layer and the bonding layer are placed on a third disk; Where the same or different discs are used as the second disc, the third disc; and wherein the same or different discs are used as the first disc. 如申請專利範圍第20項之設置有半導體膜的基底的製造方法,其中使用雷射光束照射與所述底部基底緊密接觸的所述多個單晶半導體層。 A method of manufacturing a substrate provided with a semiconductor film according to claim 20, wherein the plurality of single crystal semiconductor layers in close contact with the base substrate are irradiated with a laser beam. 如申請專利範圍第20項之設置有半導體膜的基底的製造方法,其中所述底部基底是玻璃基底。 A method of manufacturing a substrate provided with a semiconductor film according to claim 20, wherein the bottom substrate is a glass substrate. 一種設置有半導體膜的基底的製造方法,包括:製備底部基底和多個單晶半導體基底,所述單晶半導體基底包括在每一個所述單晶半導體基底中的預期深度處形成的受損區域、和在每一個所述單晶半導體基底的上表面上形成的絕緣層、以及在所述絕緣層上形成的接合層;在第一盤上佈置所述多個單晶半導體基底;使置於所述第一盤上的所述多個單晶半導體基底與所述底部基底緊密接觸,其間夾有所述接合層,以接合所述接合層的一個表面和所述底部基底的一個表面,從而使所述底部基底和所述多個單晶半導體基底彼此接合在一起;以及藉由加熱所述多個單晶半導體基底在所述受損區域中生成裂縫,從而使與所述單晶半導體基底分開的多個第一單晶半導體層與所述底部基底緊密接觸,其中所述受損區域的形成步驟包括藉由激發源氣體生成電漿和用所述電漿中所包括的離子種類照射所述單晶半 導體基底來在所述多個單晶半導體基底中形成所述受損區域,同時所述多個單晶半導體基底置於第二盤上,其中所述絕緣層的形成步驟包括藉由在含氟化物氣體或氟氣的反應室提供其每一個都形成有所述受損區域並置於第三盤上的所述多個單晶半導體基底,將處理氣體引入所述反應室,藉由激發所述處理氣體生成電漿,以及引發所述電漿內包括的活性種類的化學反應來在所述多個單晶半導體基底上形成單層或兩個或更多個層,其中所述接合層的形成步驟包括在所述多個單晶半導體基底上形成所述接合層,其間夾有所述絕緣層,同時其中形成有所述受損區域和所述絕緣層的每一個所述多個單晶半導體基底置於第四盤上;其中相同或不同盤被用作所述第二盤、所述第三盤和所述第四盤;以及其中相同或不同盤被用作所述第一盤和所述第四盤。 A method of fabricating a substrate provided with a semiconductor film, comprising: preparing a bottom substrate and a plurality of single crystal semiconductor substrates, the single crystal semiconductor substrate including a damaged region formed at a desired depth in each of the single crystal semiconductor substrates And an insulating layer formed on an upper surface of each of the single crystal semiconductor substrates, and a bonding layer formed on the insulating layer; the plurality of single crystal semiconductor substrates are disposed on the first disk; The plurality of single crystal semiconductor substrates on the first disk are in close contact with the bottom substrate with the bonding layer interposed therebetween to bond one surface of the bonding layer and one surface of the bottom substrate, thereby Bonding the bottom substrate and the plurality of single crystal semiconductor substrates to each other; and generating a crack in the damaged region by heating the plurality of single crystal semiconductor substrates, thereby causing the single crystal semiconductor substrate Separate plurality of first single crystal semiconductor layers are in close contact with the bottom substrate, wherein the step of forming the damaged region comprises generating a plasma and a source by exciting the source gas Irradiating the crystal ionic species included in the plasma half a conductor substrate to form the damaged region in the plurality of single crystal semiconductor substrates while the plurality of single crystal semiconductor substrates are placed on the second disk, wherein the step of forming the insulating layer includes a reaction chamber of a compound gas or a fluorine gas, the plurality of single crystal semiconductor substrates each having the damaged region formed thereon and placed on a third disk, introducing a processing gas into the reaction chamber, by exciting the Processing a gas to generate a plasma, and initiating a chemical reaction of an active species included in the plasma to form a single layer or two or more layers on the plurality of single crystal semiconductor substrates, wherein the formation of the bonding layer The method includes forming the bonding layer on the plurality of single crystal semiconductor substrates with the insulating layer interposed therebetween, and each of the plurality of single crystal semiconductors in which the damaged region and the insulating layer are formed a substrate placed on the fourth disk; wherein the same or different disks are used as the second disk, the third disk, and the fourth disk; and wherein the same or different disks are used as the first disk and Said the fourth set. 如申請專利範圍第23項之設置有半導體膜的基底的製造方法,其中使用雷射光束照射與所述底部基底緊密接觸的所述多個單晶半導體層。 A method of manufacturing a substrate provided with a semiconductor film according to claim 23, wherein the plurality of single crystal semiconductor layers in close contact with the base substrate are irradiated with a laser beam. 如申請專利範圍第23項之設置有半導體膜的基底的製造方法,其中所述底部基底是玻璃基底。 A method of manufacturing a substrate provided with a semiconductor film according to claim 23, wherein the bottom substrate is a glass substrate.
TW97135885A 2007-09-21 2008-09-18 Substrate provided with semiconductor films and manufacturing method thereof TWI470682B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007245898 2007-09-21
JP2007245904 2007-09-21

Publications (2)

Publication Number Publication Date
TW200937508A TW200937508A (en) 2009-09-01
TWI470682B true TWI470682B (en) 2015-01-21

Family

ID=40470734

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97135885A TWI470682B (en) 2007-09-21 2008-09-18 Substrate provided with semiconductor films and manufacturing method thereof

Country Status (4)

Country Link
US (1) US8822305B2 (en)
JP (1) JP5452900B2 (en)
CN (1) CN101393859B (en)
TW (1) TWI470682B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWD209041S (en) 2019-10-25 2020-12-21 日商昭和電工材料股份有限公司 Film for manufacturing semiconductor device
TWD209200S (en) 2019-10-25 2021-01-01 日商昭和電工材料股份有限公司 Film for manufacturing semiconductor device
TWD209201S (en) 2019-10-25 2021-01-01 日商昭和電工材料股份有限公司 Film for manufacturing semiconductor device
TWD209199S (en) 2019-10-25 2021-01-01 日商昭和電工材料股份有限公司 Film for manufacturing semiconductor device

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4884180B2 (en) * 2006-11-21 2012-02-29 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP5498670B2 (en) * 2007-07-13 2014-05-21 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor substrate
TWI437696B (en) * 2007-09-21 2014-05-11 Semiconductor Energy Lab Semiconductor device and method for manufacturing the same
JP2009094488A (en) * 2007-09-21 2009-04-30 Semiconductor Energy Lab Co Ltd Method of manufacturing substrate provided with semiconductor film
JP5250228B2 (en) * 2007-09-21 2013-07-31 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
TWI493609B (en) * 2007-10-23 2015-07-21 Semiconductor Energy Lab Method for manufacturing semiconductor substrate, display panel, and display device
KR101651206B1 (en) * 2009-05-26 2016-08-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Manufacturing method of soi substrate
JP5760392B2 (en) * 2009-11-04 2015-08-12 ボンドテック株式会社 Bonding method, bonding system, and semiconductor device
JP5789798B2 (en) * 2010-05-28 2015-10-07 ボンドテック株式会社 Joining method and joining system
CN102593260A (en) * 2012-03-13 2012-07-18 常州比太科技有限公司 Method for forming silicon nitride film by using excitation of plasma
JP6245791B2 (en) * 2012-03-27 2017-12-13 日亜化学工業株式会社 Vertical nitride semiconductor device and manufacturing method thereof
JP2014209091A (en) * 2013-03-25 2014-11-06 ローム株式会社 Semiconductor device
CN108417523B (en) * 2018-04-16 2020-08-04 歌尔股份有限公司 L ED substrate peeling method
CN114424325A (en) * 2019-08-07 2022-04-29 应用材料公司 Modified stacking for 3D NAND
US11443987B2 (en) * 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
CN112887895B (en) * 2021-01-26 2022-06-07 苏州工业园区纳米产业技术研究院有限公司 Process method for adjusting pull-in voltage of MEMS microphone
TWI782703B (en) * 2021-09-13 2022-11-01 錼創顯示科技股份有限公司 Light-emitting diode structure and manufacturing method thereof
CN113782654A (en) * 2021-09-13 2021-12-10 錼创显示科技股份有限公司 Light emitting diode structure and manufacturing method thereof
JP2024064422A (en) * 2022-10-28 2024-05-14 沖電気工業株式会社 Method for manufacturing a semiconductor device, a semiconductor layer support structure, and a semiconductor substrate
JP2024064494A (en) * 2022-10-28 2024-05-14 沖電気工業株式会社 Method for manufacturing a semiconductor device, a semiconductor layer support structure, and a semiconductor substrate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050277296A1 (en) * 2004-06-10 2005-12-15 Adetutu Olubunmi O Method to reduce impurity elements during semiconductor film deposition
US7087134B2 (en) * 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
US20060255341A1 (en) * 2005-04-21 2006-11-16 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
US20060280591A1 (en) * 2005-05-27 2006-12-14 Danville Automation Holdings Llc Funnel plate
US20070026638A1 (en) * 2005-07-27 2007-02-01 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
TW200818321A (en) * 2006-05-31 2008-04-16 Corning Inc Semiconductor on insulator structure made using radiation annealing

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0547726A (en) * 1991-08-20 1993-02-26 Fujitsu Ltd Manufacture of semiconductor device
FR2681472B1 (en) * 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US6245161B1 (en) * 1997-05-12 2001-06-12 Silicon Genesis Corporation Economical silicon-on-silicon hybrid wafer assembly
US6534380B1 (en) * 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JP3324469B2 (en) * 1997-09-26 2002-09-17 信越半導体株式会社 Method for producing SOI wafer and SOI wafer produced by this method
JP3501642B2 (en) * 1997-12-26 2004-03-02 キヤノン株式会社 Substrate processing method
JPH11330474A (en) * 1998-05-13 1999-11-30 Toshiba Corp Liquid crystal display and its manufacture
JP2000012864A (en) * 1998-06-22 2000-01-14 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor device
JP2000077287A (en) * 1998-08-26 2000-03-14 Nissin Electric Co Ltd Manufacture of crystal thin-film substrate
US6287941B1 (en) * 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6653209B1 (en) * 1999-09-30 2003-11-25 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
US6583440B2 (en) * 2000-11-30 2003-06-24 Seiko Epson Corporation Soi substrate, element substrate, semiconductor device, electro-optical apparatus, electronic equipment, method of manufacturing the soi substrate, method of manufacturing the element substrate, and method of manufacturing the electro-optical apparatus
US7253032B2 (en) * 2001-04-20 2007-08-07 Semiconductor Energy Laboratory Co., Ltd. Method of flattening a crystallized semiconductor film surface by using a plate
JP4439789B2 (en) * 2001-04-20 2010-03-24 株式会社半導体エネルギー研究所 Laser irradiation apparatus and method for manufacturing semiconductor device
FR2835097B1 (en) * 2002-01-23 2005-10-14 OPTIMIZED METHOD FOR DEFERRING A THIN LAYER OF SILICON CARBIDE ON A RECEPTACLE SUBSTRATE
JP4182323B2 (en) 2002-02-27 2008-11-19 ソニー株式会社 Composite substrate, substrate manufacturing method
JP2003282885A (en) * 2002-03-26 2003-10-03 Sharp Corp Semiconductor device and its fabricating method
US7119365B2 (en) * 2002-03-26 2006-10-10 Sharp Kabushiki Kaisha Semiconductor device and manufacturing method thereof, SOI substrate and display device using the same, and manufacturing method of the SOI substrate
JP4091338B2 (en) 2002-05-17 2008-05-28 株式会社日立ハイテクインスツルメンツ Semiconductor chip transfer device
KR100511656B1 (en) * 2002-08-10 2005-09-07 주식회사 실트론 Method of fabricating nano SOI wafer and nano SOI wafer fabricated by the same
US6818529B2 (en) * 2002-09-12 2004-11-16 Applied Materials, Inc. Apparatus and method for forming a silicon film across the surface of a glass substrate
TWI301641B (en) * 2002-09-19 2008-10-01 Ind Tech Res Inst
TW200415726A (en) * 2002-12-05 2004-08-16 Adv Lcd Tech Dev Ct Co Ltd Plasma processing apparatus and plasma processing method
US6759277B1 (en) * 2003-02-27 2004-07-06 Sharp Laboratories Of America, Inc. Crystalline silicon die array and method for assembling crystalline silicon sheets onto substrates
US7109092B2 (en) * 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
FR2857030B1 (en) * 2003-07-01 2006-10-27 Saint Gobain PROCESS FOR TITANIUM OXIDE DEPOSITION BY PLASMA SOURCE
US7253040B2 (en) * 2003-08-05 2007-08-07 Sharp Kabushiki Kaisha Fabrication method of semiconductor device
JP4759919B2 (en) * 2004-01-16 2011-08-31 セイコーエプソン株式会社 Manufacturing method of electro-optical device
JP4407384B2 (en) * 2004-05-28 2010-02-03 株式会社Sumco Manufacturing method of SOI substrate
JP4744820B2 (en) * 2004-07-12 2011-08-10 シャープ株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
JP4934966B2 (en) * 2005-02-04 2012-05-23 株式会社Sumco Manufacturing method of SOI substrate
WO2007014320A2 (en) 2005-07-27 2007-02-01 Silicon Genesis Corporation Method and structure for fabricating multiple tile regions onto a plate using a controlled cleaving process
US7691730B2 (en) * 2005-11-22 2010-04-06 Corning Incorporated Large area semiconductor on glass insulator
WO2007118121A2 (en) * 2006-04-05 2007-10-18 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US20070281440A1 (en) * 2006-05-31 2007-12-06 Jeffrey Scott Cites Producing SOI structure using ion shower
US7579654B2 (en) 2006-05-31 2009-08-25 Corning Incorporated Semiconductor on insulator structure made using radiation annealing
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US8124499B2 (en) 2006-11-06 2012-02-28 Silicon Genesis Corporation Method and structure for thick layer transfer using a linear accelerator
WO2008123116A1 (en) * 2007-03-26 2008-10-16 Semiconductor Energy Laboratory Co., Ltd. Soi substrate and method for manufacturing soi substrate
WO2008123117A1 (en) * 2007-03-26 2008-10-16 Semiconductor Energy Laboratory Co., Ltd. Soi substrate and method for manufacturing soi substrate
SG178762A1 (en) * 2007-04-13 2012-03-29 Semiconductor Energy Lab Display device, method for manufacturing display device, and soi substrate
SG182214A1 (en) * 2007-06-20 2012-07-30 Semiconductor Energy Lab Method of manufacturing semiconductor device
JP5460984B2 (en) * 2007-08-17 2014-04-02 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2009094488A (en) * 2007-09-21 2009-04-30 Semiconductor Energy Lab Co Ltd Method of manufacturing substrate provided with semiconductor film
JP5250228B2 (en) * 2007-09-21 2013-07-31 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
TWI437696B (en) * 2007-09-21 2014-05-11 Semiconductor Energy Lab Semiconductor device and method for manufacturing the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7087134B2 (en) * 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
US20050277296A1 (en) * 2004-06-10 2005-12-15 Adetutu Olubunmi O Method to reduce impurity elements during semiconductor film deposition
US20060255341A1 (en) * 2005-04-21 2006-11-16 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
US20060280591A1 (en) * 2005-05-27 2006-12-14 Danville Automation Holdings Llc Funnel plate
US20070026638A1 (en) * 2005-07-27 2007-02-01 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
TW200818321A (en) * 2006-05-31 2008-04-16 Corning Inc Semiconductor on insulator structure made using radiation annealing

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWD209041S (en) 2019-10-25 2020-12-21 日商昭和電工材料股份有限公司 Film for manufacturing semiconductor device
TWD209200S (en) 2019-10-25 2021-01-01 日商昭和電工材料股份有限公司 Film for manufacturing semiconductor device
TWD209201S (en) 2019-10-25 2021-01-01 日商昭和電工材料股份有限公司 Film for manufacturing semiconductor device
TWD209199S (en) 2019-10-25 2021-01-01 日商昭和電工材料股份有限公司 Film for manufacturing semiconductor device

Also Published As

Publication number Publication date
US8822305B2 (en) 2014-09-02
TW200937508A (en) 2009-09-01
CN101393859B (en) 2013-10-30
US20090079025A1 (en) 2009-03-26
CN101393859A (en) 2009-03-25
JP2009094487A (en) 2009-04-30
JP5452900B2 (en) 2014-03-26

Similar Documents

Publication Publication Date Title
TWI470682B (en) Substrate provided with semiconductor films and manufacturing method thereof
TWI437696B (en) Semiconductor device and method for manufacturing the same
KR101496737B1 (en) Manufacturing method of substrate provided with semiconductor films
JP5383143B2 (en) Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
JP5404064B2 (en) Laser processing apparatus and semiconductor substrate manufacturing method
TWI538111B (en) Method for manufacturing soi substrate
JP5548351B2 (en) Method for manufacturing semiconductor device
JP5619474B2 (en) Method for manufacturing SOI substrate
US8318587B2 (en) Method for manufacturing semiconductor device
JP5713603B2 (en) Method for manufacturing SOI substrate
JP2010050444A (en) Method of manufacturing soi substrate
US8053332B2 (en) Method for manufacturing SOI substrate and semiconductor device
KR20100036208A (en) A method for manufacturing a semiconductor device
KR20090079170A (en) Semiconductor substrate manufacturing apparatus

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees