TWI470461B - 修改佈局檔的方法、資料處理系統、及其製造物品與積體電路 - Google Patents

修改佈局檔的方法、資料處理系統、及其製造物品與積體電路 Download PDF

Info

Publication number
TWI470461B
TWI470461B TW99142368A TW99142368A TWI470461B TW I470461 B TWI470461 B TW I470461B TW 99142368 A TW99142368 A TW 99142368A TW 99142368 A TW99142368 A TW 99142368A TW I470461 B TWI470461 B TW I470461B
Authority
TW
Taiwan
Prior art keywords
shape
components
component
layout file
library
Prior art date
Application number
TW99142368A
Other languages
English (en)
Other versions
TW201142638A (en
Inventor
Qiang Chen
Sridhar Tirumala
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of TW201142638A publication Critical patent/TW201142638A/zh
Application granted granted Critical
Publication of TWI470461B publication Critical patent/TWI470461B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

修改佈局檔的方法、資料處理系統、及其製造物品與積體電路
本發明關係於電子設計自動化(EDA),及有關於設計以效能最佳化的積體電路的單元的佈局變化之實施法。
積體電路設計係為電子設計自動化所支援。一EDA支援設計的方法係根據使用電腦系統作為電路單元的網路連線表(netlist)之積體電路的定義。同時,一元件庫係被提供,其包含若干元件,其可以被選擇用以在網路連線表中之電路單元的實體實施。因為在元件庫中之各個元件係為製造力及其他因素所預品管,所以元件庫具有用於電路單元的有限數量的選擇。為了實現該網路連線表,該等元件係由該元件庫選擇,並置放於佈局空間中,及連線係在元件間被定義。元件的選擇、元件的置放及在元件間定義連線可以稱為置放與繞線。該結果為佈局檔,其指明元件的單元的形狀與位置,及予以在晶圓廠作為積體電路的元件之連線的形狀與位置。
已經顯示出例如電晶體閘極長度增加之小佈局變化可以用以最佳化積體電路的效能、洩漏功率等等。(見,Clark等人之“在深次微米設計中之管理備用及主動模式洩漏功率”In Proc.ISLPED(2004年八月9-11日於加州新埠)274-279頁)。
在元件庫中提供足夠選擇並不實用以提供用於這些目的之小佈局變化。同時,使用這些小佈局變化所完成的最佳化經常可以在置放與繞線後只為佈局檔的分析可量得。然而,用以實施電路之現代次波長製造技術,經歷顯著光學鄰近作用,該作用係絕對需要修正。因此,為了使置放與繞線後被想要地實施在積體電路後為分析所指明的佈局變化,電路設計者經常需要存取OPC配方好幾次。見,為Gupta等人所領證之美國專利第7,441,211號。
同時,也有很廣大範圍的形狀修正可以根據佈局檔的分析而應用,以最佳化效能。例如,驅動電流及備用洩漏電流分佈與電晶體的寬度顯著改變。(見,E.Augendre等人於ESSDERC,2002的“在90nmCMOS及以下中控制STI相關寄生導通”)。因此,有可能作出不一致閘極形狀改變,例如,完成顯著洩漏降低,同時,只略微犧牲驅動電流。然而,SPICE電路模擬器只接收矩形閘極形狀,以喚醒對應裝置模型。不一致形狀再次改變,將需要在OPC配方之可注意客戶改變。
對OPC配方之存取需求造成對此技術的創新的實際上的擋路,因為OPC配方為特定晶圓廠處理,並通常為晶圓廠所保護為祕密資訊。允許對專有OPC配方的存取所需之在晶圓廠與設計者間之互動將使得這些類型之小佈局變化不實用。這防止了IC最佳化實現這些小佈局修改的全部優點,例如,在減少洩漏功率。
因此,在實施設計最佳化的小佈局變化,以實現實際EDA工具仍有問題。
知道光學鄰近作用與特定晶圓廠製程OPC技術的技術設計介面係被提供用於在置放與繞線後電路最佳化的小佈局變化的實施。此介面可以藉由使用予以用於製造之OPC配方,定義主要佈局修改參數及對想要電路參數執行佈局修改的特定技術,設計無關校正加以建立。EDA法係被實施,用以修改佈局檔,其指明在置放及繞線後包含多數元件的積體電路設計,使得佈局檔指明該等元件之單元的形狀,多數元件的置放及在元件間之連線。該方法包含儲存用於至少部份元件之形狀修改的元件庫,在電路實施所用之設計元件庫中。形狀修改的元件庫包含形狀修改的特定製程校正之結果,其表示藉由施加形狀修改至元件所造成之電路參數的調整。一旦元件庫建立時,設計程序被執行,其中佈局檔係被分析以識別用於電路參數調整的一元件。被校正以完成想要調整的形狀修正係由該元件庫選出。形狀修改係被應用至在佈局檔中之識別元件,以產生修改之佈局檔。修改佈局檔可以用於晶片產出(tape-out),並隨後用以製造改良積體電路。
形狀修正的元件庫可以藉由在設計元件庫中指明用於元件一組形狀修改而產生,該設計元件庫係適用以小佈局變化,以改良電路效能。光學鄰近修正係被應用至該組形狀修正,以產生用於該等元件的修正佈局。修正佈局係被分析以決定該組形狀修正對該電路參數的影響。該組形狀修正係根據校正結果索引並儲存於資料結構中,適合作為元件庫的例如查看表或資料庫。使用之OPC配方係為特定晶圓廠製程並可以可靠地預測形狀修改對該等元件之實體實施之影響。然而,OPC程序可以在元件庫建立時,事先使用專有OPC配方良好執行,並且,並不需為電路設計者可取用。相反地,電路設計者依賴設計規則相符的元件庫,OPC得知修改具有對想要電路參數已知的影響。
元件可以在佈局中識別,用以使用EDA分析工具調整想要電路參數。例如,佈局檔可以被處理,用以抽出寄生電容與電阻。這些寄生參數可以與來自設計元件庫的時序特徵一起執行時序分析並識別在設計中具有時序鬆弛(timing slack)的電路路徑。沿著具有由分析考量抽出寄生參數所決定之具有可用時序鬆弛的電路路徑之元件可以被選擇,用以修改例如洩漏電流降低之電路參數。特定形狀修改可以被以一方式選擇,以確保在電路路徑上之時序鬆弛可以在變化後保持為正。形狀修改可以被侷限於佈局中之元件的邊界內並符合在元件的單元間之設計規則間隔。因此,由元件庫施加形狀修正至識別的元件並不需要被識別的元件或鄰近於被識別元件之多數元件於置放上之變化。因此,吾人想要在可以為設計者所使用之元件庫中之形狀修正可以被加入設計中,而不必進一步時序分析,且不改變置放或繞線結構。
因此,形狀修改的元件庫係被提供用於元件的單元,該等元件可以使用指明積體電路設計的佈局檔,該積體電路設計包含元件的單元之形狀、元件的置放、元件間之連線。元件庫包含顯示元件的電路參數之調整的資料,該調整係由將形狀修改施加至佈局檔中之元件造成。調整係藉由在形狀修改與元件的組合上執行特定晶圓廠製程之光學鄰近修正而加以校正。在元件庫中之形狀修改係被侷限於落在元件的邊界內並符合在元件之單元間之設計規則間隔,使得施加來自元件庫的形狀修改至元件並不需要改變該元件的置放。
一種資料處理系統被描述,其適用以執行上述之EDA程序。
描述了一種製造物品,其包含機器可讀取資料儲存媒體,其儲存可以為例如上述資料處理系統所執行之指令。
一種為上述EDA程序所製造之積體電路單元係被描述。
本發明之其他態樣與優點可以由其下之附圖、詳細說明與申請專利範圍加以了解。
本發明之實施例的詳細說明將參考圖1至10加以提供。
圖1為例示積體電路設計流程的簡化代表圖。在此有關的所有流程圖,可以了解的是,圖1的很多步驟可以被組合,並列執行或以不同順序執行,而不會影響所完成的功能。在部份情形下,如果作出某些其他改變,步驟的重新排列將完成相同結果,及在其他情形下,則只有某些條件滿足下才會完成相同的結果。此等重新配置的可能性將為讀者所了解。
在高階時,圖1的程序以產品想法開始(方塊100)並被實現於EDA(電子設計自動化)軟體設計程序中(方塊110)。當設計終了時,發生製造程序(方塊150)及封裝與組裝程序(方塊160),最後,造成完成積體電路晶片(方塊170)。
EDA軟體設計程序(方塊110)係由若干步驟111-130構成,為簡明起見以線性方式顯示。在實際積體電路設計程序中,特定設計可能需要回到若干步驟,直到某些測試通過為止。類似地,在任何實際設計程序中,這些步驟可能以不同順序及組合發生。因此,此說明係被提供以本文及一般解釋,而不是特定積體電路特定或推薦之設計流程。
現將提供EDA軟體設計程序(方塊110)的單元步驟的簡要說明。
系統設計(方塊111):設計者描述他們所要實施之功能性,他們可以執行若則(what-if)計劃,以精煉功能性、檢查成本等。在此階段中,可能發生硬體-軟體架構。可以用於此步驟中之來自Synopsys公司之例示EDA軟體產品包含Model Architect,Saber,System Studio及DesignWare產品。
邏輯設計及功能性驗證(方塊114):在此階段,用於系統中之模組的高階描述語言(HDL)碼,例如VHDL或Verilog碼係被寫入及設計被檢查功能性正確度。更明確地說,設計被檢查以確保其回應於特定輸入刺激產生正確輸出。用以此步驟中之例如來自Synopsy公司的EDA軟體產品包含VCS、VERA、DesignWare,Magellan,Formality,ESP及LEDA產品。
合成及測試用設計(方塊116):於此,VHDL/Verilog被轉譯為網路連線表。網路連線表可以被最佳化以用於目標技術。另外,設計及測試之實施以允許完工晶片發生檢查。可以用於此步驟的來自Synopsys公司的例示EDA軟體產品包含Design Compiler,Physical Compiler,Test Compiler,Power Complier,FPGA Compiler,TetraMAX及DesignWare產品。
網路連線表驗證(方塊118):在此步驟,網路連線表係被檢查用以符合時序侷限及用以對應於VHDL/Verilog來源碼。可以用於此步驟之來自Synopsys公司的例示EDA軟體產品包括Formality,PrimeTime及VCS產品。
設計規劃(方塊120):於此,用於該晶片的整個平面佈置係被建構及分析用於時序及頂階繞線。可以用於此步驟之來自Synopsys公司的例示EDA軟體產品包括Astro及IC Compiler產品。
實體操作(方塊122):在此步驟中發生置放(電路單元的定位)及繞線(電路單元的連接)。可以用於此步驟之來自Synopsys公司的例示EDA軟體產品包括AstroRail,PrimeTime,及Star RC/XT產品。
分析及抽出(方塊124):在此步驟中,電路功能係在電晶體層次驗證,此隨後允許what-if精鍊。可以用於此階段之來自Synopsys公司的例示EDA軟體產品包括AstroRail,PrimeRail,Primetime,及Star RC/XT產品。來由置放與繞線電路設計之寄生抽出可以被補充以來自設計元件庫的時序資訊,以產生最終時序值,其可以用以驗證及用以識別具有可用鬆弛的電路路徑。
實體驗證(方塊126):在此階段中,各種檢查功能被執行以確保用於製造、電氣事項、微影事項、及電路的正確性。可以用於此階段之來自Synopsys公司的例示EDA軟體產品包括Hercules產品。
隨著實體驗證階段、或於分析及抽出階段中之程序,符合幾何修改的設計規則可以被加入以改良設計的電氣特性,例如有效閘極長度改良,以降低洩漏電流,其並不需要回到置放與繞線程序。在此階段中加入幾何修改的程序係被更詳細描述如下。這些程序可以被積集或用於組合如同IC Compiler之產品,其提供置放與繞線功能,或PrimeTime,其提供後置放與繞線分析工具,這兩者係可以由Synopsys公司所購得。
晶片產出(方塊127):此階段提供用於生產的“產出”資料及用以生產完成晶片的微影用途的光罩。可以用於此階段之來自Synopsys公司的例示EDA軟體產品包括CATS(R)系列的產品。
解析度加強(方塊128):此階段涉及佈局幾何操作,以改良該設計的可製造性。根據使用於此所述之多核心處理系統執行之卷積演算法之空中影像模擬可以用於設計中之此階段,及其他階段。可以用於此階段之來自Synopsys公司的例示EDA軟體產品包括Proteus/Progen,ProteusAF及PSMGen產品。
光罩準備(方塊130):此階段包括光罩資料準備及光罩本身的寫入。可以用於此階段之來自Synopsys公司的例示EDA軟體產品包括CATS(R)系列的產品。
於此所述之卷積技術實施例可以在一或更多上述階段期間使用。
圖2為適用於與該技術實施例一起使用之電腦系統210的簡化方塊圖。電腦系統210典型包含處理器214,其可以與若干週邊裝置經由匯流次系統212加以通訊。
週邊裝置可以包含:儲存次系統224,其包含記憶體次系統226與檔案儲存次系統228;使用者介面輸入裝置222;使用者介面輸出裝置220;及網路介面次系統216。輸入及輸出裝置允許使用者與電腦系統210互動。網路介面次系統216提供介面至外側網路,包含至通訊網路218的介面,並經由通訊網路218耦接至其他電腦系統中之對應介面裝置。通訊網路218可以包含很多互連電腦系統及通訊鏈路。這些通訊鏈路可以為有線鏈路、光學鏈路、無線鏈路、或任何其他用以資訊通訊的機制。雖然在一實施例中,通訊網路218係為網際網路,但在其他實施例中,通訊網路218可以為任何適當電腦網路。
使用者介面輸入裝置222可以包含:鍵盤;指示裝置,例如滑鼠、軌跡球、觸控墊、或圖形平板;掃描器;觸控面板被整合入顯示器;語音輸入裝置,例如語音辨識系統、麥克風及其他類型之輸入裝置。通常,用語“輸入裝置”的使用係想要包括所有可能類型之裝置及方式,以輸入資訊至電腦系統210或通訊網路218。使用者介面輸入裝置222可以用以辨識評估點、選擇用以對評估點操作的核心或其他功能,及用以供給其他輸入資料。
使用者介面輸出裝置220可以包含顯示次系統、印表機、傳真機或例如音訊輸出裝置的非視覺顯示器。顯示次系統可以包含陰極射線管(CRT)、平板面板裝置,例如液晶顯示器(LCD)、投影裝置、或一些用以建立可見影像的其他機制。顯示次系統也可以例如經由音訊輸出裝置提供非視覺顯示器。通常,使用用語“輸出裝置”係想要包括所有可能類型之裝置與方法,以自電腦系統210輸出資訊給使用者或給另一機器或電腦系統。使用者介面輸出裝置220可以被用以提供於此所述之操作的結果的圖形顯示。
儲存次系統224儲存基本程式指令及資料建構,其提供於此所述之部份或所有EDA工具的功能性,例如自Synopsys公司購得之IC Compiler Suite及PrimeTime Suite,包括佈局檔、形狀修改元件庫、及用以執行以下程序的指令程式,以在電路最佳化的置放與繞線後,施加小佈局變化。
記憶體次系統226典型包括若干記憶體,其包含主隨機存取記憶體(RAM)230,用以在程式執行期間儲存指令及資料;及唯讀記憶體(ROM)232,其中儲存有固定指令。檔案儲存次系統228提供用於程式及資料檔的永久儲存,並可以包含硬碟機、軟碟機與相關可移除媒體,例如CD-ROM機、光碟機、或可移除媒體匣。實施某些實施例之功能性的資料庫與模組可以為檔案儲存次系統228所儲存。取決於所佈署之架構,多核心處理器的共享記憶體可以與儲存次系統分開或為其一部份。
匯流排次系統212提供用以使得電腦系統210的各種單元與次系統如想要地彼此通訊的機制。雖然匯流排次系統212係被示意地顯示為單一匯流排,但匯流排次系統的替代實施例可以使用多重匯流排。
圖2A顯示包含電腦可讀取媒體240的製作物品,其可以與檔案儲存次系統228,及/或網路介面次系統216相關的媒體。電腦可讀取媒體240可以為硬碟、軟碟、CD-ROM、光學媒體、可移除媒體匣、磁帶機、快閃記憶體或其他資料儲存媒體,其上儲存有用以分配及/或保存的電腦可執行之指令。電腦可讀取媒體240儲存資料結構及可執行檔案280,其包含佈局檔、形狀修改元件庫、及用以執行下述之程序的指令程式,以在電路最佳化的置放與繞線後施加小佈局變化。
描繪於圖2中之電腦系統210的說明只是作為例示可能實施例的目的。很多其他電腦系統210的架構也有可能具有較圖2所描繪電腦系統更多或更少的元件。在一些實施例中,電腦系統210包括以分散架構或以伺服器形式配置的若干站台,各個站台可以具有如圖2中所示之單元。
電腦系統210包括資源,例如在以上所述之商業可購得之IC Compiler及PrimeTime。此資源產生佈局檔,其中置放及繞線有選擇用於網路連線表的實體實施的元件。定義置放與繞線設計的檔案係被建立,包括在該等元件的多數層的微影光罩佈局及用於這些元件的連線結構中,例如有關於反射該等元件的時序及該等元件的單元的形狀與置放。置放與繞線設計可以進一步使用由例如PrimeTime可得之工具加以分析,以抽出由該置放與繞線設計造成之寄生電容與電阻,這些係完全不為在置放與繞線檔可得之時序資訊所特徵化。可以用以抽出程序之由Synopsys公司所分割的primetime suite的一工具部份已知為Star RC XT。在工業中所用於抽出程序的類似工具包括Mentor Graphics公司之Calibre xRC及Cadence Design System公司的Assura Parasitic Extraction。抽出後,可以執行進一步分析,其中,在設計中之電路路徑的時序可以被驗證。
作為時序分析的一部份,在設計中之電路路徑可以被識別哪個有時序鬆弛。具有時間鬆弛的電路路徑其特徵為傳遞於該電路路徑上之信號符合時序規格上有已知為鬆弛的空白時間。只要為此等修改所引入的延遲並未消耗所有可用時序鬆弛,則此等電路路徑可以使用工具加以安全地最佳化,該工具影響在該電路路徑上之元件的操作速度。於此所述之不一致閘極長度係為一類型的修改,其可以降低洩漏電流同時對延遲具有可接受衝擊。
在此階段可以被最佳化的一電路參數為用於該積體電路的洩漏功率。元件可以藉由調整閘極長度加以修改,或者,在此階段最佳化此參數加以修改。電腦系統可以利用在抽出後的時序分析,以識別具有足夠鬆弛的電路路徑,以允許電路參數的修改。一程序然後可以沿著所識別電路路徑,以識別沿著該路徑之適用以修改的元件。同時,有可能識別沿著用於修改的較佳候選的該等路徑的元件,例如藉由參考可用時序鬆弛,並識別在該路徑上的特定元件的該時序鬆弛內所可以降低之洩漏功率量。該等元件可以被識別為可以具有想要衝擊於該設計上者。
電腦系統210可以在此階段提供介面支援修改。首先,藉由定義用於該設計檔案中之元件的主要佈局修改參數及執行用於該等元件的特定技術設計獨立校正,而產生形狀修改的元件庫。藉由校正,可能修改係被處理,以建立有效閘極長度的調整量(或其他佈局參數),及透過該元件建立對時序延遲的衝擊。
在於此所述之用以降低在置放與繞線後的電路設計的洩漏電流之系統中,設計者可以選擇用於特定修改類型的佈局參數,其界定一OPC-致能所得閘極形狀,用於一致(在主動區上固定不變)及不一致(在主動區上變化)閘極長度修改。適用於閘極長度調整的形狀修改類型的四個代表參數係例示於圖3至圖5。
圖3至5顯示一場效電晶體FET佈局,其可以構成在元件庫中之簡單元件或較大元件的一部份。FET佈局包括閘極300,疊於主動區301上,該主動區301內接觸係放置於區域302及303中。閘極300為矩形形狀,其具有標稱閘極長度,其被定義為在主動區301之相對側間之電流路徑的閘極下的長度,其中,接觸區302及303係置放於相對側上。閘極的寬度(正交於電流路徑)係為其上置放有閘極300的主動區的寬度Wo 所建立。有效閘極寬度可以藉由在閘極300的相對側上,如圖3所示之加入標記304a及304b、在閘極300的一側上,如圖4所示之加入標記305、及在閘極的相對側上的如圖5所示之加入標記306a及306b加以調整。標記特徵在於對在閘極300與標記的組合形狀受到OPC配方後,主動區301中的閘極300的寬度進行衝擊。例如,不同於具有尖角,組合至閘極300的標記304a及304b或標記306a及306b將造成在主動區的兩邊緣之閘極長度大於主動區中間的閘極長度。標記305將造成具有彎曲側之非對稱閘極。此組標記可以例如特徵於參數Ex 、S、Ey 、Wo 及M,其中Ex 為在閘極長度尺寸中之標記的長度,S為標記與主動區301的邊緣偏移(正或負)、Ey 為標記寬度、Wo 為主動區的寬度及M表示標記的對稱性,即,其是否在主動區的一側或兩側。
為晶圓廠所提供之特定程序OPC配方然後被施加至修改閘極形狀,以建立將會在矽上取得之特定晶圓廠程序輪廓。為晶圓廠所生效的輪廓至電氣分析工具,例如來自Synopsys公司之SeisMOS CX係用以處理閘極輪廓,以抽出電氣等效電晶體尺寸(寬度及長度)。此程序係被重覆以涵蓋此標記類型的N及P電晶體兩者的變數Ex 、S、Ey 、Wo 及M的變化空間。
因此,校正表可以表1所示之類型建立,該表可以用作為形狀修改元件庫。在此例子中,該表可以被組織,使得對於示於圖3至圖5的特定每一電晶體,具有N型或P型主動區、閘極寬度Wo 、在置放與繞線檔中之標稱閘極長度、必要標記尺寸(Ex 、S、Ey 及M)係特定於對應閘極長度調整(想要LG 改變)。因此,一旦特定晶圓廠程序校正被完成,則校正表可以被用以識別想要閘極形狀變化的必要標記尺寸(Ex 、S、Ey 及M),以完成特定電晶體之想要設計閘極長度LG 變化。
取決於實施法,標記可以被以一元件一元件為基礎地定義用於在佈局中所用之更複雜元件,例如NAND閘極、NOR閘極、緩衝器、反相器等等。
圖6顯示具有兩電晶體元件400的簡化例子的積體電路單元。元件400在佈局檔中具有標稱或實際邊界450,佈局檔在圖中被矩形輪廓所啟發表示,並可以為設計規則檢查及置放與繞線工具所使用。在元件400中,第一閘極401及第二閘極402越過主動區403。第一列之接觸411-413係被放置在主動區403的閘極401的左側。第二列之接觸414-416係放置於主動區403的閘極401及402之間。第三列之接點417-419係放置於主動區403的閘極402的右側。各個閘極401及402的閘極長度LG 在此例子中係相等。對於各閘極,其上延伸有閘極401及402的主動區的寬度Wo 也相等。標記405a及405b係被放置於閘極401的相對端上。同樣地,標記406a及406b係被放置於閘極402的相對端上。在此例子中,標記尺寸係被參數S、Ex 及Ey 所界定,其中S定義與主動區的偏移,Ex 定義在閘極長度尺寸中之標記的長度、及Ey 定義標記延伸離開閘極寬度尺寸中之主動區的寬度。如所示,設計規則指明在標記間之最小間隔,以滿足製造程序的要求。
在此例子中,在標記間之間隔需要大於或等於約70nm。這是為設計規則的例子,該規則侷限可以在置放與繞線後可用之標記類型,使得標記並不需要在設計流程返回至置放與繞線程序。同時,在閘極寬度的任意調整應不違反有關於接觸與閘極結構邊緣間之間隔的設計規則。因此,如平行於閘極邊緣的虛線(例如虛線408)所示之簡單地延伸閘極寬度在部份元件中可能不是可行選項。同時,其也可能只對有限量的閘極長度調整可行,隨後,可利用造成不一致閘極長度特徵的標記,如果它們在接觸與閘極的所得彎曲邊緣間有額外活動空間。因此,為了完成如圖6所示之元件中之洩漏電流的降低,一系列之標記可以根據參數S、Ex 、Ey 及LG 定義。例如,標記元件庫可以具有標記可用之資料,具有參數S等於10nm、20nm及30nm;參數Ex 等於40nm、50nm、及60nm;參數Ey 等於40nm、60nm及80nm;及LG 等於來自30nm的元件庫之標稱值,或者調整寬度,其並不違反有關於該元件的餘額的最大34nm的設計規則。
在替代系統中,不是指明在元件庫中之尺寸上的分立形狀修改,元件庫可以指明標記的尺寸範圍與可以用以預測在範圍內尺寸變化的結果之校正因素。因此,在標記中之一或更多尺寸中之分立修改,及在標記的一或更多尺寸上之指明範圍內的連續修改之一或兩者可以在元件庫中加以定義,其係適用於特定元件。同時,於此之例子顯示矩形標記對中於閘極結構。也可以使用偏心標記及具有不是矩形形狀的標記。
示於圖3至圖5中之標記造成不一致閘極長度調整。在一些設計中,對於在主動區間之一致長度調整,也可有用以提供標記,其簡單使閘極形狀尺寸大於閘極長度尺寸。
在此例示中,形狀修改被限制於用於閘極結構的標記。在替代例中,元件的其他單元可以被修改,例如適用於該元件庫之主動區的輪廓等可被修改為被最佳化之電路參數、製程及其他因素。可用之修改不應延伸超出該元件,使得該元件的邊界改變,因為其被定義為被利用之設計規則的目的。以此方式,形狀修改的衝擊可以被侷限於被修改的元件,並不被傳遞入相鄰元件。形狀修改被侷限以落入在佈局中之該等元件的標稱或實際邊界(例如邊界450)內,並符合於該等元件的單元間之設計規則間隔,使得應用來自元件庫的形狀修改至指定元件並不需要改變識別元件或鄰近識別元件的元件的變化。
假定在選定參數中之想要變化量,例如洩漏電流量,及該元件的調整可用之鬆弛,該標記的尺寸可以參考查看表,或者,可用標記的其他元件庫資料結構加以決定。標記可施加至置放與繞線設計,而不需要置放與繞線的進一步疊代。同時,標記可以可靠地施加,因為它們已經使用特定晶圓廠程序OPC配方校正用於電路參數的想要調整,例如閘極長度調整、洩漏電流降低或其他等效或類似電路參數。
圖7顯示使用如於圖6所示之標記完成的閘極結構的佈局,其中以微米為單位之水平軸置於閘極長度尺寸上,及以微米為單位之垂直軸置於閘極寬度尺寸上。佈局的線700對應於主動區的邊緣。佈局的線701顯示由該標記造成之不一致輪廓。不一致輪廓包含為虛線三角形所代的區702。該三角形的長邊“Intr”顯示來自主動區的邊緣的閘極寬度調整的侵入主動區,直到回復標稱閘極寬度為止。三角形的短邊B顯示由於標記超出標稱寬度的結果之閘極寬度的延伸。
在一些技術中,使用不一致閘極長度結構造成在洩漏電流中之顯著降低,同時,對電晶體的驅動強度或速度有很小之衝擊。因此,其可以較佳使用標記,這造成在某些應用,例如洩漏電流降低之元件中之形狀結構的不一致修改。
圖8顯示開發形狀修改元件庫的程序。在此程序中,輸入包含例如可以由晶圓廠取得以用以製造裝置之特定製程OPC配方(800),及一組測試閘極/作動輪廓幾何(801)。光學鄰近修正程序係使用OPC配方加以應用,以產生所得佈局形狀(802)。結果,提供知道閘極/作動輪廓的OPC(803)。然後,使用例如由Synopsys公司取得之SeisMOS CX或由晶圓廠所生效的等效產品的工具,以執行輪廓至電氣分析(804)。輪廓至電氣分析提供由於應用分析尺寸的標記產生之有關在元件中之電晶體的有效閘極長度的資訊。有效閘極長度可以例如參考元件的洩漏電流定義,其中,由於此所述之標記的應用造成之不一致閘極長度的元件具有有效閘極長度X,其具有相同於具有一致閘極長度X的等效元件的洩漏電流特徵。
輪廓至電氣分析的結果係被安排於用於該元件的校正表中(805)。該程序被重複於所有作為調整的候選者的元件中,並組合於形狀修改的元件庫(806)中,其係被儲存作為機器可讀取檔807,可以在設計流程中使用。
圖9為於此所述之形狀修改程序的簡化流程圖。此流程圖開始於在置放與繞線後的佈局檔的輸入(900)。此佈局檔可以具有階層結構,其中選擇以實施之元件的多數層之形狀與位置及用以連接這些元件的連線結構係被指明用於待製造的積體電路結構。在置放與繞線後,佈局檔係被提出用於最佳化分析(901)。最佳化分析將包含寄生電容與電阻的抽出及使用抽出參數的設計之時序效能與用於該佈局檔的元件的元件庫的資訊的重新計算。由於最佳化分析的結果,電氣參數係被提供用於該設計,包含洩漏電流、時序鬆弛等等(902)。使用來自最佳化分析的資訊,各元件係被指明哪些為用於此所述之形狀修改的候選者,及特定形狀修改可以被選擇以完成特定電路參數的改良(903)。例如,該程序可以識別具有鬆弛的所有電路路徑,及在形狀修改元件庫中具有輸入項的此等電路路徑上的元件可以被識別為用於修改的適當候選者。該程序然後可以簡單地最佳化所有此等元件,或執行進一步濾波,以降低予以施加之修改數量。
給定予以修改的元件之識別,參考形狀修改的OPC校正元件庫,以決定用於各個選擇元件的形狀修改(904)。選擇標記然後加至有關於該識別元件的佈局檔,以產生修改的佈局檔(905)。選擇標記可以例如加至佈局檔作為在圖形資料系統II(GDSII)格式檔的標記層。或者,選擇標記可以被用以以其他方式修改佈局檔。修改的佈局檔現在準備“登出”此程序,並可以用於晶片產出。
製造程序以晶片產出進行(906),而不需置放與繞線的進一步疊代。由於晶片產出的結果,晶片產出檔係使用例如GDSII或開放工藝系統互換標準(OASIS)的佈局格式語言產生,這些語言係適用以傳輸至製造者。特定程序OPC使用該晶片產出檔加以執行,其中,特定程序OPC匹配用於產生形狀修改的校正表(907)。在光學鄰近修正後,製造出予以用於製造程序中之光罩(908)。最後,積體電路係利用光罩製造(909)。
圖10為用於最佳化分析及識別用於形狀修改的元件的程序流程,如同可以在圖9中之步驟901至903中所用者。在此流程中,程序開始於置放與繞線工具(851)。置放與繞線工具的輸出為佈局檔,寄生參數可以由該處抽出及以一方式執行時序分析,該方式係較由用於置放與繞線佈局檔之元件庫取得者更準確(852)。再者,具有時序鬆弛的電路路徑係被識別(853)。在此流程中,識別在電路路徑中可以被改良之電路參數(854),例如電流洩漏。各個電路路徑的電路參數值係被評估並計算(855)。再者,在各個電路路徑中之元件係根據予以調整的電路參數的評估值、可以為電路修改所衝擊之可用時序鬆弛、及改良選擇電路參數的修改的功效加以選擇。
雖然本發明係參考上述較佳實施例及例子加以揭示,但可以了解的是,這些例子只作例示用,並不作限定使用。可以知道各種修改與組合將迅速為熟習於本技藝者所了解發生,這些修改與組合係在本發明之精神及隨附之申請專利範圍內。
210...電腦系統
212...匯流排次系統
214...處理器
216...網路介面次系統
218...通訊網路
220...使用者介面輸出裝置
222...使用者介面輸入裝置
224...儲存次系統
226...記憶體次系統
228...檔案儲存次系統
230...隨機存取記憶體
232...唯讀記憶體
240...電腦可讀取媒體
280...可執行檔案
300...閘極
301...主動區
302...接觸區
303...接觸區
304a...標記
304b...標記
305...標記
306a...標記
306b...標記
400...元件
401...第一閘極
402...第二閘極
403...主動區
405a...標記
405b...標記
406a...標記
406b...標記
408...閘極寬度
411-419...接觸
450...邊界
圖1為例示積體電路設計流程的簡化代表圖,其中如使用如於此所述之在置放與繞線操作後,利用形狀修改。
圖2為適用於此所述之操作的資料處理系統的簡化方塊圖。
圖2A例示製造物品,其包含電腦可讀取媒體,其中可以儲存及分佈有於此所述之佈局檔、形狀修改元件庫、晶圓產出檔及其他如於此所述之電腦軟體資源。
圖3-5顯示在置放與繞線後的基本場效電晶體的佈局的代表形狀修改。
圖6顯示一積體電路單元,其包含在置放與繞線後的包含兩場效電晶體的更複雜元件的佈局的代表形狀修改。
圖7為一圖表,顯示在閘極結構上的示於圖6的形狀修改的效應,例如藉由施加OPC配方所決定之閘極結構。
圖8為用以建立予以用於如上所述之程序中之形狀修改的元件庫的程序的簡化流程圖。
圖9為於此所述之在置放與繞線後利用形狀修改的積體電路設計程序的簡化流程圖。
圖10為在置放與繞線後分析佈局檔的程序之簡化流程圖,以選擇使用如此所述之形狀修改之調整元件。
900...(在置放與繞線後)佈局檔
901...最佳化分析
902...電氣參數(例如洩漏電流,時序鬆弛)
903...識別元件及用於元件之修改
904...在OPC校正元件庫中決定形狀修改
905...將標記加入至佈局檔
906...(例如GDSII或OASIS檔)晶片產出
907...特定程序OPC
908...製造光罩
909...製造積體電路

Claims (26)

  1. 一種修改佈局檔的方法,該佈局檔指明包括多數元件的積體電路設計,該佈局檔指明:該等元件的單元的形狀、該多數元件的置放、及該等元件間之連線,該方法包含:儲存元件的單元的形狀修改的元件庫,於可以為電腦系統所取用的機器可讀取資料儲存媒體中,該元件庫包括指示由於對該等單元所應用所述形狀修改所引起的所述元件的電路參數的調整的資料,該等調整係由施加該等形狀修改至該等元件所造成;識別用於該電路參數的調整的該佈局檔中之元件,該識別元件具有多數電晶體;由形狀修改的元件庫選擇用於該識別元件的形狀修改;及電腦系統,使用該選擇形狀修改,施加該形狀修改至在該佈局檔中之該識別元件,以產生修改佈局檔。
  2. 如申請專利範圍第1項所述之方法,包括:藉由指明可以被用於該多數元件的一組元件的一組形狀修改,而產生該元件庫,在該組元件中的至少一該等元件具有多數電晶體;對該組形狀修改執行光學鄰近修正(OPC),以產生用於該組元件的修正佈局;分析該修正佈局,以決定該組形狀修改對該組元件的該電路參數的影響;及 藉由對該電路參數的影響,索引用於該組元件的該組形狀修改。
  3. 如申請專利範圍第2項所述之方法,其中該執行OPC包括根據該佈局檔,施加用於積體電路的預指定製造程序中所用的OPC配方。
  4. 如申請專利範圍第1項所述之方法,其中該電路參數為在該識別元件中之第一電晶體的有效閘極長度的函數,及形狀修改的該元件庫包括對有效閘極長度造成變化的形狀修改。
  5. 如申請專利範圍第4項所述之方法,其中在該識別元件中之該第一電晶體具有主動區及在該主動區之上的矩形閘極形狀,及該元件庫包含用於該第一電晶體的一組形狀修改,其包括該矩形閘極形狀的矩形標記具有:一寬度,其在閘極長度尺寸中具有大於該矩形閘極形狀之寬度;離開該主動區邊緣的偏移;及正交於該閘極長度尺寸的高度。
  6. 如申請專利範圍第4項所述之方法,其中在該識別元件中之該第一電晶體具有主動區及在該主動區之上的矩形閘極形狀,及該元件庫包括一組用於該第一電晶體的形狀修改,其包括該矩形閘極形狀的第一與第二矩形標記在該主動區的相對側上,各個該第一及第二矩形標記具有一寬度大於在該閘極長度尺寸中之該矩形閘極形狀的寬度、離開該主動區邊緣的偏移;及正交於該閘極長度尺寸的高度。
  7. 如申請專利範圍第1項所述之方法,其中該識別元件包括第一電晶體,其具有:主動區、在該主動區之上的閘極形狀、及至少一接觸,放置於該主動區中鄰近該閘極形狀,及其中用於該識別元件的在該元件庫中之該形狀修改在該修改形狀中之該閘極與該至少一置於接觸間維持設計規則間隔。
  8. 如申請專利範圍第1項所述之方法,包括分析為該佈局檔所指明之電路包括:識別在該佈局檔中具有時序鬆弛的電路路徑;選擇在該電路路徑中之元件,其可以被修改以降低在該電路路徑中之洩漏電流;及指明用於該選擇元件中之電晶體的閘極長度調整,以降低洩漏電流,其中該識別元件係為該等選擇元件之一。
  9. 如申請專利範圍第1項所述之方法,包括晶片產出該修改佈局檔,而不必修改該識別元件的置放。
  10. 如申請專利範圍第1項所述之方法,其中在該多數元件中之至少一該等元件包括FET電晶體,及該元件庫包括用於該FET電晶體閘極的形狀修改,其造成在該通道的該寬度之不一致閘極長度。
  11. 如申請專利範圍第1項所述之方法,其中該等形狀修改係被侷限落在該佈局中之該等元件的邊界內並符合在該等元件的單元間之設計規則間隔,使得應用來自該元件庫的形狀修改至該識別元件並不需要改變該識別元件或鄰近該識別元件的元件的置放。
  12. 如申請專利範圍第1項所述之方法,包括使用該修改佈局檔製造積體電路。
  13. 一種資料處理系統,適用以在佈局上執行核心的卷積,該系統包含;處理器及儲存佈局檔、形狀修改的元件庫、及可為該處理器執行之指令的記憶體;該佈局檔,指明積體電路設計,其包括多數元件,該佈局檔指明該等元件的單元的形狀、該多數元件的置放、及在該等元件間之連線;用於元件的單元的形狀修改的該元件庫,該元件庫包括資料,其指明由於對該單元施加形狀修改所引起的該等元件的電路參數的調整;該等指令包括邏輯,用以:識別用於該電路參數的調整的該佈局檔中的元件;由形狀修改的元件庫,選擇用於該識別元件的形狀修改;及使用該選擇形狀修改,以施加該形狀修改至該佈局檔中之該識別元件,以產生修改的佈局檔,其中該識別的元件具有多數電晶體。
  14. 如申請專利範圍第13項所述之系統,其中該元件庫係藉由:指明可以用於該多數元件的一組元件之一組形狀修改,在該組元件中之至少一該等元件具有多數電晶體;對該組形狀修改執行光學鄰近修正(OPC),以產生用於該組元件的修正佈局;分析該修正佈局,以決定該組形狀修改對該組元件的 該電路參數的影響;及依據對該電路參數的影響,索引用於該組元件的該組形狀修改。
  15. 如申請專利範圍第14項所述之系統,其中該執行OPC包括根據該佈局檔,應用用於在預指明製造程序之OPC配方。
  16. 如申請專利範圍第13項所述之系統,其中該電路參數為在該識別元件中之第一電晶體的有效閘極長度的函數,及該元件庫的形狀修改包括在有效閘極長度造成改變之形狀修改。
  17. 如申請專利範圍第16項所述之系統,其中在該識別元件中之該第一電晶體具有主動區及在該主動區之上的矩形閘極形狀,及該元件庫包括用於該第一電晶體的一組形狀修改,其包括該矩形閘極形狀的矩形標記,其具有:寬度大於在閘極長度尺寸之該矩形閘極形狀的寬度;離開該主動區邊緣的偏移;及正交於該閘極長度尺寸的高度。
  18. 如申請專利範圍第16項所述之系統,其中在該識別元件中之該第一電晶體具有主動區及在該主動區之上的矩形閘極形狀,及該元件庫包括用於該第一電晶體的一組形狀修改,其包括該矩形閘極形狀在該主動區的相對側上的第一及第二矩形標記,各個該第一及第二矩形標記具有:寬度,其大於在該閘極長度尺寸中之該矩形閘極形狀的寬度;離開該主動區邊緣的偏移;及正交於該閘極長度尺寸的高度。
  19. 如申請專利範圍第13項所述之系統,其中該識別元件包括第一電晶體,其具有:主動區、在該主動區之上的閘極形狀、及至少一接觸,放置於該主動區中鄰近該閘極形狀,及其中用於識別元件的在該元件庫中之該形狀修改在該修改形狀中之該閘極與該至少一置放接觸間維持設計規則間隔。
  20. 如申請專利範圍第13項所述之系統,該等指令包括邏輯,用以分析為該佈局檔所指明之電路,包括用以:識別在該佈局檔中具有時序鬆弛的電路路徑;選擇在該電路路徑中之元件,其可以被修改以降低在該電路路徑中之洩漏電流;及指明用於該選擇元件中之電晶體的閘極長度調整,以降低洩漏電流,其中該識別元件係為該等選擇元件之一。
  21. 如申請專利範圍第13項所述之系統,其中在該多數元件中之至少一該等元件包括FET電晶體,及該元件庫包括用於該FET電晶體閘極的形狀修改,其造成在該通道的該寬度之不一致閘極長度。
  22. 如申請專利範圍第13項所述之系統,其中該等形狀修改係被侷限落在該佈局中之該等元件的邊界內並符合在該等元件的單元間之設計規則間隔,使得應用來自該元件庫的形狀修改至該識別元件並不需要改變該識別元件的置放或鄰近該識別元件的元件的置放。
  23. 一種製造物品,包含:非暫態機器可讀取資料儲存媒體,儲存佈局檔、形狀 修改的元件庫、及可以為該處理器所執行之指令;該佈局檔指明包括多數元件的積體電路設計,該多數元件包含具有多數電晶體的至少一元件,該佈局檔指明該等元件的單元的形狀、該多數元件的置放、及在該等元件間之連線;該用於元件的單元的形狀修改元件庫,該元件庫包括具有多數電晶體的至少一元件,該元件庫包含指示由於施加該形狀修改至該等元件所造成之該等元件的電路參數調整的資料;該等指令包括邏輯用以:在該佈局檔中識別用於該電路參數的調整的元件;由形狀修改的元件庫,選擇用於該識別元件的形狀修改;及使用該選擇形狀修改,以施加該形狀修改至在該佈局檔中之該識別元件,以產生修改佈局檔,該識別元件具有多數電晶體。
  24. 一種製造物品,包含:非暫態機器可讀取資料儲存媒體,儲存修改佈局檔之方法所建立的修改佈局檔,該佈局檔指明包括多數元件的積體電路設計,該佈局檔指明該等元件的單元的形狀、該等多數元件的置放、及該等元件間之連線,該方法包含:儲存用於元件的單元的形狀修改的元件庫,該元件庫包含具有多數電晶體的至少一元件,該元件庫包含指示該等元件的電路參數的調整的資料,該等調整係由施加該形狀修改至該等元件所造成;識別用於該電路參數的調整的該佈局檔案中之元件, 該識別的元件具有多數電晶體;由形狀修改的該元件庫,選擇用於該識別的元件的形狀修改;及使用該選擇形狀修改,以施加該形狀修改至在該佈局檔中之該識別元件,以產生修改之佈局檔。
  25. 一種積體電路,包含:使用修改佈局檔之方法所建立的修改佈局檔製造的積體電路單元,該佈局檔指明包括多數元件的積體電路設計,該佈局檔指明該等元件的單元的形狀、該等多數元件的置放、及該等元件間之連線,該方法包含:儲存用於元件的單元的形狀修改的元件庫,該元件庫包括具有多數電晶體的至少一元件,該元件庫包括指示該等元件的電路參數的調整的資料,該調整係由施加該形狀修改至該等元件造成;電腦系統,識別用於該電路參數的調整的該佈局檔中之元件,該識別元件具有多數電晶體;由形狀修改的元件庫,選擇用於該識別元件的形狀修改的電腦系統;及使用該選擇形狀修改,以施加該形狀修改至在該佈局檔中之該識別元件,以產生該修改佈局檔。
  26. 一種製造物品,包含:非暫態機器可讀取資料儲存媒體,儲存用於元件的單元之形狀修改的元件庫,該等元件包含具有多數電晶體的至少一第一元件,該等元件能用於佈局檔中指明包括該等 元件的單元的形狀的積體電路設計、該等元件的置放、及該等元件間之連線,該元件庫包括指示由施加該形狀修改至佈局檔中之該等元件造成之包含該第一元件的該等元件的電路參數之調整的資料,該調整係藉由對該等形狀修改與該等元件的組合,執行特定晶圓廠程序的光學鄰近修正而加以校正,該形狀修改係被侷限落於該等元件的邊界內並符合該等元件的單元間之設計規則間隔,使得應用來自該元件庫的形狀修改至元件並不需要改變該元件的置放。
TW99142368A 2009-12-11 2010-12-06 修改佈局檔的方法、資料處理系統、及其製造物品與積體電路 TWI470461B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/636,627 US8543958B2 (en) 2009-12-11 2009-12-11 Optical proximity correction aware integrated circuit design optimization

Publications (2)

Publication Number Publication Date
TW201142638A TW201142638A (en) 2011-12-01
TWI470461B true TWI470461B (zh) 2015-01-21

Family

ID=44142000

Family Applications (1)

Application Number Title Priority Date Filing Date
TW99142368A TWI470461B (zh) 2009-12-11 2010-12-06 修改佈局檔的方法、資料處理系統、及其製造物品與積體電路

Country Status (4)

Country Link
US (1) US8543958B2 (zh)
CN (1) CN102652316B (zh)
TW (1) TWI470461B (zh)
WO (1) WO2011072038A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019046055A1 (en) * 2017-08-30 2019-03-07 aPriori, Inc. MODIFICATION SYSTEM OF MANUFACTURING DESIGN
US10741539B2 (en) 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
US11704472B2 (en) 2017-08-30 2023-07-18 Taiwan Semiconductor Manufacutring Co., Ltd. Standard cells and variations thereof within a standard cell library

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8281274B1 (en) 2010-01-08 2012-10-02 Altera Corporation Method and apparatus for performing efficient incremental compilation
US8539272B1 (en) * 2010-07-08 2013-09-17 Qualcomm Incorporated Reducing leakage current during low power mode
US20120117519A1 (en) * 2010-11-03 2012-05-10 Texas Instruments Incorporated Method of transistor matching
US8438505B2 (en) * 2011-01-21 2013-05-07 Taiwan Semicondcutor Manufacturing Company, Ltd. Method for improving accuracy of parasitics extraction considering sub-wavelength lithography effects
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
FR2985854B1 (fr) * 2012-01-18 2014-01-17 St Microelectronics Rousset Procede de fabrication d'un circuit integre dans une technologie reduite par rapport a une technologie native, et circuit integre correspondant
US8849440B2 (en) * 2012-05-31 2014-09-30 International Business Machines Corporation Manufacturing control based on a final design structure incorporating both layout and client-specific manufacturing information
US8627247B1 (en) 2012-07-11 2014-01-07 International Business Machines Corporation Systems and methods for fixing pin mismatch in layout migration
CN102855360A (zh) * 2012-09-11 2013-01-02 中国科学院微电子研究所 一种纳米工艺金属层版图的优化设计方法
KR102004852B1 (ko) * 2012-11-15 2019-07-29 삼성전자 주식회사 컴퓨팅 시스템을 이용한 반도체 패키지 디자인 시스템 및 방법, 상기 시스템을 포함하는 반도체 패키지 제조 장치, 상기 방법으로 디자인된 반도체 패키지
US20140167815A1 (en) * 2012-12-18 2014-06-19 Broadcom Corporation Area reconfigurable cells of a standard cell library
US8924896B2 (en) * 2013-01-31 2014-12-30 Globalfoundries Inc. Automated design layout pattern correction based on context-aware patterns
US9158878B2 (en) * 2013-08-23 2015-10-13 Kabushiki Kaisha Toshiba Method and apparatus for generating circuit layout using design model and specification
US9734268B2 (en) * 2015-08-12 2017-08-15 International Business Machines Corporation Slack redistribution for additional power recovery
US10572615B2 (en) * 2017-04-28 2020-02-25 Synopsys, Inc. Placement and routing of cells using cell-level layout-dependent stress effects
US11183576B2 (en) * 2019-02-13 2021-11-23 Micron Technology, Inc. Gate electrode layout with expanded portions over active and isolation regions

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW548562B (en) * 2002-01-16 2003-08-21 Springsoft Inc Method and system for drawing layout of process testing components
US20090083688A1 (en) * 2007-09-25 2009-03-26 Synopsys, Inc. Method and apparatus for generating a layout for a transistor

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2940444B2 (ja) 1995-08-10 1999-08-25 ヤマハ株式会社 半導体集積回路のシミュレーション装置およびシミュレーション方法
US6453452B1 (en) 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6230304B1 (en) 1997-12-24 2001-05-08 Magma Design Automation, Inc. Method of designing a constraint-driven integrated circuit layout
US6430733B1 (en) 1999-04-22 2002-08-06 International Business Machines Corporation Contextual based groundrule compensation method of mask data set generation
JP3886695B2 (ja) 2000-03-28 2007-02-28 株式会社東芝 露光パターンデータ生成方法、露光パターンデータ生成装置、半導体装置の製造方法、及びフォトマスクの製造方法
US7062418B2 (en) 2000-06-27 2006-06-13 Fluidigm Corporation Computer aided design method and system for developing a microfluidic system
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
JP4104354B2 (ja) 2002-03-13 2008-06-18 富士通株式会社 電源配線の電圧降下による影響を緩和した集積回路のレイアウト方法とそのプログラム
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
US7032194B1 (en) 2003-02-19 2006-04-18 Xilinx, Inc. Layout correction algorithms for removing stress and other physical effect induced process deviation
US7010764B2 (en) * 2003-04-14 2006-03-07 Takumi Technology Corp. Effective proximity effect correction methodology
US7095063B2 (en) 2003-05-07 2006-08-22 International Business Machines Corporation Multiple supply gate array backfill structure
US7084464B2 (en) 2003-07-10 2006-08-01 Stmicroelectronics, Inc. Library of cells for use in designing sets of domino logic circuits in a standard cell library, or the like, and method for using same
US7275227B1 (en) * 2003-08-27 2007-09-25 Anchor Semiconductor Inc. Method of checking optical proximity correction data
US7155689B2 (en) * 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
CN100361130C (zh) * 2004-03-11 2008-01-09 华为技术有限公司 提高pcb设计效率的方法及其装置
US7536664B2 (en) * 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
US7814456B2 (en) 2004-11-22 2010-10-12 Tela Innovations, Inc. Method and system for topography-aware reticle enhancement
US7743349B2 (en) 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US20070033558A1 (en) 2005-08-08 2007-02-08 Blaze-Dfm, Inc. Method and system for reshaping metal wires in VLSI design
JP4592438B2 (ja) * 2005-02-08 2010-12-01 株式会社東芝 半導体集積回路のレイアウト方法、製造方法及びレイアウトプログラム
DE112005003449A5 (de) 2005-03-04 2008-01-10 Qimonda Ag Testverfahren und Herstellungsverfahren für eine aus Teilschaltungen zusammengesetzte Halbleiterschaltung
JP2006276079A (ja) 2005-03-28 2006-10-12 National Institute Of Advanced Industrial & Technology 光リソグラフィの光近接補正におけるマスクパターン設計方法および設計装置ならびにこれを用いた半導体装置の製造方法
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
JP2006332348A (ja) 2005-05-26 2006-12-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法およびライブラリの設計方法
JP2007080965A (ja) * 2005-09-12 2007-03-29 Matsushita Electric Ind Co Ltd 半導体装置の製造方法、これに用いられるライブラリ、記録媒体および半導体製造装置
JP2007086587A (ja) 2005-09-26 2007-04-05 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
CN101506810B (zh) 2005-10-24 2013-06-05 卡德思设计规划公司 集成电路的时序、噪声和功率分析
JP4335862B2 (ja) 2005-11-08 2009-09-30 富士通マイクロエレクトロニクス株式会社 半導体集積回路の特性抽出方法及び特性抽出装置
CN1979503A (zh) * 2005-12-09 2007-06-13 英业达股份有限公司 电路板布局方法
US7716612B1 (en) 2005-12-29 2010-05-11 Tela Innovations, Inc. Method and system for integrated circuit optimization by using an optimized standard-cell library
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
JP4490927B2 (ja) 2006-01-24 2010-06-30 株式会社東芝 半導体装置
US20070231710A1 (en) * 2006-03-30 2007-10-04 Texas Instruments Incorporated. Method and system for forming a photomask pattern
JP4254871B2 (ja) 2007-02-09 2009-04-15 ソニー株式会社 光近接効果補正方法、光近接効果補正装置、光近接効果補正プログラム、半導体装置の製造方法、パターン設計制約策定方法および光近接効果補正条件算出方法
JP2009025914A (ja) * 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7886240B2 (en) 2008-01-29 2011-02-08 International Business Machines Corporation Modifying layout of IC based on function of interconnect and related circuit and design structure
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW548562B (en) * 2002-01-16 2003-08-21 Springsoft Inc Method and system for drawing layout of process testing components
US20090083688A1 (en) * 2007-09-25 2009-03-26 Synopsys, Inc. Method and apparatus for generating a layout for a transistor

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019046055A1 (en) * 2017-08-30 2019-03-07 aPriori, Inc. MODIFICATION SYSTEM OF MANUFACTURING DESIGN
US10741539B2 (en) 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
US11132473B2 (en) 2017-08-30 2021-09-28 Apriori Technologies, Inc. Manufacturing design modification system
US11182533B2 (en) 2017-08-30 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
US11704472B2 (en) 2017-08-30 2023-07-18 Taiwan Semiconductor Manufacutring Co., Ltd. Standard cells and variations thereof within a standard cell library

Also Published As

Publication number Publication date
TW201142638A (en) 2011-12-01
CN102652316B (zh) 2015-04-22
US8543958B2 (en) 2013-09-24
WO2011072038A3 (en) 2011-09-29
CN102652316A (zh) 2012-08-29
WO2011072038A2 (en) 2011-06-16
US20110140278A1 (en) 2011-06-16

Similar Documents

Publication Publication Date Title
TWI470461B (zh) 修改佈局檔的方法、資料處理系統、及其製造物品與積體電路
KR102396699B1 (ko) 셀 레벨 레이아웃 의존성 응력 효과들을 사용하는 셀의 배치 및 라우팅
US8176445B1 (en) Method and system for optimizing integrated circuit layout
US7941768B1 (en) Photolithographic process simulation in integrated circuit design and manufacturing
US8181145B2 (en) Method and apparatus for generating a floorplan using a reduced netlist
US8103977B2 (en) Semiconductor device and its manufacturing method, semiconductor manufacturing mask, and optical proximity processing method
US7979829B2 (en) Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
TWI512515B (zh) 半導體元件之影像圖案的優化方法
US20210248297A1 (en) Integrated circuit design method, system and computer program product
US11429775B1 (en) Automatic generation of sub-cells for an analog integrated circuit
TW202331580A (zh) 積體電路的佈局圖的修改方法及修改系統及電腦編程產品
US20060190863A1 (en) Method for improving accuracy of MOSFET models used in circuit simulation integrated circuits
TW202303737A (zh) 積體電路製造方法
US10483171B2 (en) Method and apparatus with channel stop doped devices
US20160162625A1 (en) Mapping Intermediate Material Properties To Target Properties To Screen Materials
Perry et al. Model-based approach for design verification and co-optimization of catastrophic and parametric-related defects due to systematic manufacturing variations
Kahng et al. Detailed placement for leakage reduction using systematic through-pitch variation
TW202347454A (zh) 混合鰭式裝置及其製造方法
Balasinski et al. DfM at 28 nm and Beyond
Salem Electrical Design for Manufacturability Solutions: Fast Systematic Variation Analysis and Design Enhancement Techniques