TWI463536B - 用於拉張應變應用上的高拉張矽摻合物的磊晶法 - Google Patents

用於拉張應變應用上的高拉張矽摻合物的磊晶法 Download PDF

Info

Publication number
TWI463536B
TWI463536B TW100127644A TW100127644A TWI463536B TW I463536 B TWI463536 B TW I463536B TW 100127644 A TW100127644 A TW 100127644A TW 100127644 A TW100127644 A TW 100127644A TW I463536 B TWI463536 B TW I463536B
Authority
TW
Taiwan
Prior art keywords
substrate
epitaxial layer
film
substantially carbon
carbon
Prior art date
Application number
TW100127644A
Other languages
English (en)
Other versions
TW201246287A (en
Inventor
Zhiyuan Ye
Xuebin Li
Saurabh Chopra
Yihwan Kim
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201246287A publication Critical patent/TW201246287A/zh
Application granted granted Critical
Publication of TWI463536B publication Critical patent/TWI463536B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)

Description

用於拉張應變應用上的高拉張矽摻合物的磊晶法
本發明的實施例大體上係關於半導體製造製程與半導體元件的領域,詳言之,本發明的實施例係關於沉積含矽膜以供形成半導體元件的方法。
金氧半導體場效電晶體(MOSFET)的尺寸減少已使積體電路的每單元功能上的速度效能、密度、成本有持續的改善。一項改善電晶體效能的方法是透過對電晶體通道區域施加應力。應力扭曲半導體晶格(例如,使半導體晶格產生應變),且該扭曲進而影響半導體的能帶排列(band alignment)與電荷傳輸性質。透過控制完成的元件中的應力量級,製造業者能夠增加載子遷移率(carrier mobility)並且改善元件效能。有數種既存的將應力導進電晶體通道區域的途徑。
一項此類將應力導進電晶體通道區域的途徑是將碳在該區域形成期間併入該區域。存在於該區域的碳影響半導體晶格,因而誘導應力。然而,磊晶沉積膜的品質隨著膜內碳濃度增加而減少。因此,對於在膜品質變得無法接受之前能誘導的拉張應力的量有所限制。
大體而言,高於約1原子百分比的碳濃度嚴重地降低膜品質並且增加膜生長問題的可能性。例如,諸如非期 望的多晶矽或非晶矽生長(而非磊晶式生長)之類的膜生長的問題可能發生,這是由於大於1原子百分比的碳濃度存在之故。因此,透過併入碳而增加膜的拉張應力所能獲得的益處受限於膜的碳濃度在1原子百分比或更低。再者,甚至連含有低於1原子百分比的碳的膜都仍會歷經一些膜品質的問題。
因此,需要生產實質上無碳的高拉張應力的磊晶膜。
本發明的實施例大體上係關於用於形成矽磊晶層於半導體元件上的方法。這些方法包括在增加的壓力與減少的溫度下形成矽磊晶層於基材上。該矽磊晶層具有每立方公分約1x1021 個原子或更高的磷濃度,並且不添加碳而形成該矽磊晶層。每立方公分約1x1021 個原子或更高的磷濃度增加沉積層的拉張應變,而因此該磷濃度改善通道遷移率。因為磊晶層實質上無碳,故磊晶層不會蒙受通常與含碳磊晶層有所關聯的膜形成與品質問題。
在一個實施例中,形成膜於基材上的方法包含將基材定位於處理腔室內,以及加熱該基材達一溫度,該溫度是在從約攝氏550度至約攝氏700度的範圍內。一或多種製程氣體隨後導進處理腔室。該一或多種製程氣體包含矽源以及磷源。實質上無碳的矽磊晶層隨後沉積在基材上。該實質上無碳的矽磊晶層具有一磷濃度,該磷濃 度為每立方公分約1x1021 個原子或更高。實質上無碳的矽磊晶層在約300托爾(Torr)或更高的腔室壓力下沉積。
另一實施例中,形成膜於基材上的方法包含將基材定位於處理腔室內,以及加熱該基材達一溫度,該溫度是在從約攝氏600度至約攝氏650度的範圍內。一或多種製程氣體隨後導進處理腔室。該一或多種製程氣體包含矽源以及磷源。實質上無碳的矽磊晶層隨後沉積在基材上。該實質上無碳的矽磊晶層具有每立方公分約1 x1021 個原子或更高的一磷濃度,且該實質上無碳的矽磊晶層在約300托爾(Torr)或更高的腔室壓力下沉積。
另一實施例中,形成膜於基材上的方法包含將基材定位於處理腔室內,以及加熱該基材達一溫度,該溫度是在從約攝氏550度至約攝氏750度的範圍內。膦與甲矽烷(silane)或乙矽烷(disilane)之至少一者隨後導入處理腔室,並且將實質上無碳的矽磊晶層沉積在基材上。該實質上無碳的矽磊晶層具有每立方公分約1 x1021 個原子或更高的磷濃度,且該實質上無碳的矽磊晶層在約150托爾(Torr)或更高的腔室壓力下沉積。
本發明的實施例大體上係關於用於形成矽磊晶層於半導體元件上的方法。這些方法包括在增加的壓力與減少 的溫度下形成矽磊晶層於基材上。該矽磊晶層具有每立方公分約1x1021 個原子或更高的磷濃度,並且不添加碳而形成該矽磊晶層。每立方公分約1x1021 個原子或更高的磷濃度增加沉積層的拉張應變,而因此該磷濃度改善通道遷移率。因為磊晶層實質上無碳,故磊晶層不會蒙受通常與含碳磊晶層有所關聯的膜形成與品質問題。在此所用的「實質上無碳」是指不使用含碳前驅物形成的膜;然而,應考量到,痕量的碳可能由於污染而存在於該膜中。
本發明的實施例可在CENTURA® RP Epi腔室中實施,該腔室可購自美國加州Santa Clara的應用材料公司。應考量其他腔室(包括可購自其他製造業者的腔室)可用於實施本發明的實施例。
第1圖是流程圖100,該流程圖說明形成含磷的矽磊晶層的方法。在步驟102,單晶矽基材定位在處理腔室內。在步驟104,基材被加熱到預定溫度。該基材大體上被加熱到從約攝氏550度到約攝氏700度的範圍內的溫度。期望透過將基材加熱到足以熱分解製程試劑(reagent)並且沉積磊晶膜於基材上的最低溫度,而盡量減少最終元件的熱預算。然而,因為增加溫度大體上導致處理量增加,應考量可依生產需要所指定使用較高的溫度。
在步驟106中,含有一或多個處理試劑的製程氣體導進處理腔室中。該等製程氣體包括矽源與磷源以供沉積 含磷的矽磊晶層於基材上。視情況任選,該一或多種製程氣體可包括載氣以供遞送矽源與磷源至處理腔室,在執行選擇性沉積製程時,該一或多種製程氣體尚可包括蝕刻劑。
示範性磷源包括膦,可以約2sccm至約30sccm的速率(或更大的速率)將膦遞送到處理腔室。例如,膦的流率可為約12sccm至約15sccm。適合的載氣包括氮、氫、或其他相對於沉積製程為惰性的氣體。可用範圍從約3slm至約30slm的流率提供該載氣到處理腔室。適合的矽源包括二氯矽烷、甲矽烷與乙矽烷。可用介於約300sccm至400sccm之間的流率將矽源遞送到處理腔室。雖考量其他的矽源與磷源,大體上仍期望盡量減少碳添加到處理大氣,因此,應避免含碳前驅物。
在步驟108中,試劑的混合物受熱驅動而反應並且沉積含磷的矽磊晶層於基材表面上。在沉積製程期間,處理腔室內的壓力維持在約150托爾或更高,例如約300托爾至約600托爾。應考量,在不利用低壓沉積腔室時,可使用超過約600托爾的壓力。相較下,在低壓沉積腔室中典型的磊晶生長製程維持約10托爾到約100托爾的處理壓力以及超過攝氏700度的處理溫度。然而,透過增加壓力到約150托爾或更高,沉積的磊晶膜形成為具有相較於低壓磊晶生長製程而言更高的磷濃度(例如,每立方公分約1x1021 個原子到每立方公分約5x1021 個原子)。再者,低壓沉積期間提供的高流率的磷源氣體經常 造成基材的表面毒害(surface poisoning),表面毒害會抑制磊晶形成。在大於300托爾的壓力下處理時,一般不會經歷表面毒害,這是由於矽源通量克服毒害效應之故。因此,對於利用高摻質流率的磊晶製程而言,期望增加處理壓力。
當提供膦流率為約3sccm至約5sccm時,在低於100托爾的壓力下形成的磊晶膜的磷濃度大約是每立方公分3x1020 個原子。因此,相較於在約100托爾或更低的壓力形成的磊晶膜,在較高壓力(例如300托爾或更高)形成的磊晶層在磷濃度上會歷經大約十倍的增加。相信在每立方公分約1x1021 個原子或更高的磷濃度下,沉積的磊晶膜並非純粹是以磷摻雜的矽膜,該膜反而是介於矽與磷化矽(例如類立方體Si3 P4 )之間的摻合物(alloy)。相信矽/磷化矽摻合物對磊晶膜的拉張應力增加有所貢獻。形成矽/磷化矽摻合物的可能性隨著磷濃度增加而增加,因為相鄰磷原子交互作用的或然率增加。
在約攝氏550度至約攝氏750度之間的製程溫度及大於300托爾的壓力下形成的磊晶膜在被摻雜至足夠的磷濃度(例如每立方公分約1 x1021 個原子或更高)時會歷經增加的拉張應力。在此類條件下形成的無碳磊晶膜歷經約1GPa(十億帕斯卡)至約1.5GPa的拉張應力,前述拉張應力與含有約1.5原子百分比的碳的低壓矽磊晶膜等效。然而,如前文所述,含有超過約1原子百分比的碳的磊晶膜蒙受膜品質降低而因此不受期望。再者, 碳摻雜的矽磊晶製程一般利用循環沉積蝕刻製程,該製程增加了製程的複雜度與成本。根據本文的實施例生產磊晶膜不僅造成膜具有比含1.5原子百分比的碳的磊晶膜更高或相等的拉張應力,且無碳膜的電阻率也較低(例如,相較於約0.9毫歐姆公分,無碳膜的電阻率為約0.6毫歐姆公分)。因此實質上無碳的磊晶膜相較於含碳磊晶膜呈現更高的膜品質、較低的電阻率、以及等效的拉張應力。
磊晶生長膜的拉張應變能進一步增加,這是透過降低磊晶生長製程期間的沉積溫度而達成。在第一範例中,磷摻雜的矽磊晶膜是沉積於700托爾的腔室壓力與約攝氏750度的溫度下。生長製程期間,將含有300sccm的二氯矽烷及5sccm的膦的製程氣體提供到製程腔室。該沉積膜含有磷濃度約每立方公分3x1020 個原子並且呈現與碳濃度約0.5原子百分比的矽磊晶膜相等的拉張應變。第二範例中,磷摻雜矽磊晶膜是在另一基材上於類似製程條件下沉積;然而,製程溫度降低到約攝氏650度,而膦流率增加到20sccm。磷摻雜的矽磊晶膜的拉張應變與含有1.8原子百分比的碳的膜等效。因此,當製程溫度降低而摻質濃度增加時,沉積的磊晶膜內的拉張應變增加。然而應注意,減少的溫度造成的拉張應變之益處可能有所限制,因為存在反應與沉積製程試劑所需的最小溫度。
第三範例中,磷摻雜矽磊晶膜在與第一範例類似的製 程條件下形成;然而,處理期間的膦流率降低到約2sccm。所得的磷摻雜矽磊晶膜的拉張應變與具有約0.2原子百分比的碳的膜等效。此外,相較於第一範例的膜的電阻率為0.60毫歐姆公分,該所得的膜具有約0.45毫歐姆公分的電阻率。因此,不僅磊晶膜的拉張應變可透過在沉積製程期間變化溫度及/或壓力而調整,連電阻率也能透過變化提供至處理腔室的摻質量而調整。
第2圖是一圖表,該圖表說明根據本發明實施例形成的膜之摻質輪廓。第2圖中所分析的膜是透過將矽基材(在該矽基材上具有矽鍺層)加熱到約攝氏650度的溫度而形成。大約300sccm的二氯矽烷與30sccm的膦遞送到維持在約600托爾的壓力下的處理腔室。450埃的矽磊晶膜形成於矽鍺層上。如二次離子質譜儀所測定,磷摻雜的磊晶膜具有均勻的磷濃度且實質上無碳,該磷濃度為每立方公分約3x1021 個原子。相較於第2圖所分析的膜,在較低壓力下(諸如低於300托爾)形成的磊晶膜具有每立方公分約3x1020 個原子的磷濃度。因此,根據本文描述實施例所形成的磊晶膜相較於較低壓力下形成的磊晶膜在磷濃度上呈現十倍的增加。
第3圖是說明如高解析度X光繞射法測定的第2圖的膜的拉張應力的圖表。峰A對應到單晶矽基材的拉張應力,而峰B對應矽鍺層的拉張應力。峰C對應含磷磊晶層的拉張應力。明確界定的峰B與峰C的邊緣指出具有均勻組成的高品質磊晶膜。峰B對應矽鍺磊晶層,該矽 鍺磊晶層含有約12.3原子百分比的鍺。峰B具有約-1000弧秒至約-1500弧秒的平移(例如壓縮的應力)以及約1000a.u.的強度。峰C具有約1700弧秒至約2400弧秒的峰平移(例如拉張應力)與約800a.u.的強度。對應峰C的應力類似於碳濃度約1.8原子百分比的磊晶膜的應力。如前文所討論,含有超過約1原子百分比的碳的磊晶膜具有無法接受的膜品質。因此,雖高磷摻雜的磊晶膜的拉張強度大約等於含1.8原子百分比的碳的磊晶膜,該高磷摻雜的磊晶膜呈現比相當拉張應變的碳摻雜磊晶膜更高的膜品質。
本發明的益處包括呈現高拉張應變的高品質矽磊晶膜。增加的製程壓力結合減少的製程溫度容許形成磷濃度為每立方公分3x1021 個原子或更高的矽磊晶膜而不歷經表面毒害。高磷濃度誘導沉積的磊晶膜內的應力,因而增加拉張應變,導致載子遷移率增加並且改善元件效能。高磷摻雜磊晶矽獲得的拉張應變與含有高達1.8原子百分比的碳的磊晶膜相當。然而,本發明的高磷摻雜的磊晶矽避免了與碳摻雜膜相關聯的品質問題。
前述內容係關於本發明的實施例,可不背離本發明之基本範疇而設計其他與進一步的本發明實施例,本發明的範疇由隨後的申請專利範圍所決定。
100‧‧‧流程圖
102-108‧‧‧步驟
藉由參考實施例(一些實施例說明於附圖中),可得到發明內容中簡要總結的本發明之更特定的描述,而詳細瞭解本發明於發明內容所記載的特徵。然而,應注意,附圖僅說明此發明的典型實施例,而因此不應將附圖視為限制本發明之範疇,因本發明可容許其他等效實施例。
第1圖是一流程圖,該流程圖說明形成含磷的矽磊晶層的方法。
第2圖是一圖表,該圖表說明根據本發明實施例形成的膜之摻質輪廓。
第3圖是一圖表,該圖表說明第2圖的膜的拉張應力。
為了助於瞭解,如可能則使用相同元件符號指定各圖共有的相同元件。應考量一個實施例的元件可有利地用於其他實施例而無須特別記敘。
100‧‧‧流程圖
102-108‧‧‧步驟

Claims (16)

  1. 一種形成一膜於一基材上的方法,該方法包含以下步驟:將一基材定位於一處理腔室內;加熱該基材達一溫度,該溫度是在從約攝氏550度至約攝氏750度的一範圍內;將一或多種製程氣體導進該處理腔室,該一或多種製程氣體包含一矽源以及一磷源;以及沉積一實質上無碳的磊晶層在該基材上,該實質上無碳的磊晶層包含Si3 P4 ,該實質上無碳的磊晶層的磷濃度為每立方公分約1 x1021 個原子或更高,其中該實質上無碳的磊晶層在約150托爾或更高的一腔室壓力下沉積。
  2. 如請求項1之方法,其中該腔室壓力是約300托爾或更高。
  3. 如請求項1之方法,其中該矽源是二氯矽烷。
  4. 如請求項3之方法,其中該磷源是膦(phosphine)。
  5. 如請求項1之方法,其中該溫度是在從約攝氏600度至約攝氏650度的範圍內。
  6. 如請求項5之方法,其中該矽源是甲矽烷(silane)或乙矽烷(disilane)。
  7. 如請求項1之方法,其中該實質上無碳的磊晶層具有一拉張應變,該拉張應變為約1GPa(十億帕斯卡)至約1.5Gpa。
  8. 一種形成一膜於一基材上的方法,該方法包含以下步驟:將一基材定位於一處理腔室內;加熱該基材達一溫度,該溫度是在從約攝氏600度至約攝氏650度的一範圍內;將一或多種製程氣體導進該處理腔室,該一或多種製程氣體包含一矽源以及一磷源;以及沉積一實質上無碳的磊晶層在該基材上,該實質上無碳的磊晶層包含Si3 P4 ,該實質上無碳的磊晶層的磷濃度為每立方公分約1 x1021 個原子或更高,其中該實質上無碳的磊晶層在約300托爾或更高的一腔室壓力下沉積。
  9. 如請求項8之方法,其中該實質上無碳的磊晶層具有一拉張應變,該拉張應變為約1GPa至約1.5Gpa。。
  10. 如請求項9之方法,其中該矽源是甲矽烷或乙矽烷。
  11. 如請求項8之方法,其中該磷源是膦。
  12. 如請求項11之方法,其中該矽源是二氯矽烷。
  13. 一種形成一膜於一基材上的方法,該方法包含以下步驟:將一基材定位於一處理腔室內;加熱該基材達一溫度,該溫度是在從約攝氏550度至約攝氏750度的一範圍內;將膦與甲矽烷或乙矽烷之至少一者導入該處理腔室;以及沉積一實質上無碳的磊晶層在該基材上,該實質上無碳的磊晶層包含Si3 P4 ,該實質上無碳的磊晶層的磷濃度為每立方公分約1 x1021 個原子或更高,其中該實質上無碳的磊晶層在約150托爾或更高的一腔室壓力下沉積。
  14. 如請求項13之方法,其中該腔室壓力是約300托爾或更高。
  15. 如請求項14之方法,其中該溫度是在從約攝氏 600度至約攝氏650度的一範圍內。
  16. 如請求項15之方法,其中該實質上無碳的磊晶層具有一拉張應變,該拉張應變為約1GPa至約1.5Gpa。
TW100127644A 2011-02-08 2011-08-03 用於拉張應變應用上的高拉張矽摻合物的磊晶法 TWI463536B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161440627P 2011-02-08 2011-02-08

Publications (2)

Publication Number Publication Date
TW201246287A TW201246287A (en) 2012-11-16
TWI463536B true TWI463536B (zh) 2014-12-01

Family

ID=46600908

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100127644A TWI463536B (zh) 2011-02-08 2011-08-03 用於拉張應變應用上的高拉張矽摻合物的磊晶法
TW103137871A TWI530990B (zh) 2011-02-08 2011-08-03 用於拉張應變應用上的高拉張矽摻合物的磊晶法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW103137871A TWI530990B (zh) 2011-02-08 2011-08-03 用於拉張應變應用上的高拉張矽摻合物的磊晶法

Country Status (6)

Country Link
US (2) US8652945B2 (zh)
EP (1) EP2673799B1 (zh)
KR (1) KR101821707B1 (zh)
SG (1) SG191896A1 (zh)
TW (2) TWI463536B (zh)
WO (1) WO2012108901A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9698249B2 (en) * 2014-01-17 2017-07-04 Taiwan Semiconductor Manufacturing Company Ltd. Epitaxy in semiconductor structure and manufacturing method of the same
US9704708B2 (en) 2014-07-11 2017-07-11 Applied Materials, Inc. Halogenated dopant precursors for epitaxy
DE112016001675B4 (de) * 2015-04-10 2024-03-28 Applied Materials, Inc. Verfahren zur Erhöhung der Wachstumsrate für ein selektives Expitaxialwachstum
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
KR102422158B1 (ko) 2015-12-23 2022-07-20 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
CN107275210B (zh) * 2016-04-06 2023-05-02 联华电子股份有限公司 半导体元件及其制作方法
US9842929B1 (en) * 2016-06-09 2017-12-12 International Business Machines Corporation Strained silicon complementary metal oxide semiconductor including a silicon containing tensile N-type fin field effect transistor and silicon containing compressive P-type fin field effect transistor formed using a dual relaxed substrate
US10971366B2 (en) 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition
TW202146715A (zh) * 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080169512A1 (en) * 2004-08-10 2008-07-17 Doyle Brian S Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20080182075A1 (en) * 2006-12-12 2008-07-31 Saurabh Chopra Phosphorus Containing Si Epitaxial Layers in N-Type Source/Drain Junctions
US20090026496A1 (en) * 2005-02-04 2009-01-29 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline si-containing materials by chemical vapor deposition
US20100224937A1 (en) * 2007-05-18 2010-09-09 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
JP3121131B2 (ja) * 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
EP0717435A1 (en) 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
JPH09190979A (ja) 1996-01-10 1997-07-22 Nec Corp 選択シリコンエピタキシャル成長方法及び成長装置
JPH1041321A (ja) * 1996-07-26 1998-02-13 Sony Corp バイポーラトランジスタの製造方法
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
DE19840238C1 (de) 1998-09-03 2000-03-16 Siemens Ag Verfahren zur Herstellung einer dotierten Siliziumschicht und mikroelektronische Struktur mit einem leitfähigen Element aus dotiertem Silizium
US6346452B1 (en) 1999-05-03 2002-02-12 National Semiconductor Corporation Method for controlling an N-type dopant concentration depth profile in bipolar transistor epitaxial layers
WO2002080245A1 (en) 2001-03-30 2002-10-10 Koninklijke Philips Electronics N.V. Phosphorus dopant control in low-temperature si and sige epitaxy
JP3660897B2 (ja) 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
KR100601976B1 (ko) * 2004-12-08 2006-07-18 삼성전자주식회사 스트레인 실리콘 온 인슐레이터 구조체 및 그 제조방법
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
JP2008092336A (ja) 2006-10-03 2008-04-17 Canon Inc 情報処理装置、送信機、情報処理システム、及びその方法
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080169512A1 (en) * 2004-08-10 2008-07-17 Doyle Brian S Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20090026496A1 (en) * 2005-02-04 2009-01-29 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline si-containing materials by chemical vapor deposition
US20080182075A1 (en) * 2006-12-12 2008-07-31 Saurabh Chopra Phosphorus Containing Si Epitaxial Layers in N-Type Source/Drain Junctions
US20100224937A1 (en) * 2007-05-18 2010-09-09 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow

Also Published As

Publication number Publication date
EP2673799A1 (en) 2013-12-18
TWI530990B (zh) 2016-04-21
CN103348445A (zh) 2013-10-09
KR20140057193A (ko) 2014-05-12
EP2673799A4 (en) 2015-11-11
TW201523700A (zh) 2015-06-16
TW201246287A (en) 2012-11-16
US9460918B2 (en) 2016-10-04
US8652945B2 (en) 2014-02-18
KR101821707B1 (ko) 2018-01-24
WO2012108901A1 (en) 2012-08-16
SG191896A1 (en) 2013-08-30
EP2673799B1 (en) 2022-08-31
US20120202338A1 (en) 2012-08-09
US20140106547A1 (en) 2014-04-17

Similar Documents

Publication Publication Date Title
TWI463536B (zh) 用於拉張應變應用上的高拉張矽摻合物的磊晶法
JP5173140B2 (ja) 電気的に活性なドープト結晶性Si含有膜の堆積方法
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US7572715B2 (en) Selective epitaxy process with alternating gas supply
TWI512792B (zh) 選擇性磊晶製程控制
TWI400744B (zh) 含矽與碳磊晶層之形成
TWI383435B (zh) 含矽磊晶層之形成
US20070287272A1 (en) Selective epitaxial formation of semiconductor films
US20060115933A1 (en) Use of CL2 and/or HCL during silicon epitaxial film formation
WO2007109491A2 (en) Selective deposition
US7901968B2 (en) Heteroepitaxial deposition over an oxidized surface
KR20090037468A (ko) 카본-함유 실리콘 에피택셜 층을 형성하는 방법
EP2030227A2 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
KR102534730B1 (ko) 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
WO2007130916A2 (en) A method of ultra-shallow junction formation using si film alloyed with carbon
WO2004031457A1 (en) Method and apparatus for forming epitaxial layers
CN103348445B (zh) 用于拉伸应变应用上的高拉伸硅合金的外延法