TWI459169B - 用於控制設備工程系統之良率預測反饋之方法、系統、及記錄相關指令的非暫態電腦可讀取媒體 - Google Patents

用於控制設備工程系統之良率預測反饋之方法、系統、及記錄相關指令的非暫態電腦可讀取媒體 Download PDF

Info

Publication number
TWI459169B
TWI459169B TW098107428A TW98107428A TWI459169B TW I459169 B TWI459169 B TW I459169B TW 098107428 A TW098107428 A TW 098107428A TW 98107428 A TW98107428 A TW 98107428A TW I459169 B TWI459169 B TW I459169B
Authority
TW
Taiwan
Prior art keywords
yield
yield prediction
prediction
controller
parameter
Prior art date
Application number
TW098107428A
Other languages
English (en)
Other versions
TW200938976A (en
Inventor
James Moyne
Nicholas Ward
Richard Stafford
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200938976A publication Critical patent/TW200938976A/zh
Application granted granted Critical
Publication of TWI459169B publication Critical patent/TWI459169B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Information and communication technology [ICT] specially adapted for implementation of business processes of specific business sectors, e.g. utilities or tourism
    • G06Q50/04Manufacturing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32306Rules to make scheduling decisions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/30Computing systems specially adapted for manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Business, Economics & Management (AREA)
  • Strategic Management (AREA)
  • General Physics & Mathematics (AREA)
  • Economics (AREA)
  • Physics & Mathematics (AREA)
  • Human Resources & Organizations (AREA)
  • General Business, Economics & Management (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Marketing (AREA)
  • Tourism & Hospitality (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Development Economics (AREA)
  • Educational Administration (AREA)
  • Primary Health Care (AREA)
  • Game Theory and Decision Science (AREA)
  • Operations Research (AREA)
  • General Factory Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Feedback Control In General (AREA)

Description

用於控制設備工程系統之良率預測反饋之方法、系統、及記錄相關指 令的非暫態電腦可讀取媒體
本發明之實施例係關於良率預測,具體言之係關於執行回應良率預測之自動動作。
設備工程系統(EES)藉由製造機器而管理產品之製程。良率管理系統(YMS)利用參數及電氣測試資料來分析良率偏差(excursion)。在分析良率時,某些傳統YMS利用來自傳統設備工程系統(EES)之故障偵測和分類(FDC)系統之資料來向良率工程師於分析良率時提供附加資料。在有限數目個傳統YMS中,來自FDC系統之資料係用來預測良率。此良率預測可使良率工程師在完成產品製造之前偵測潛在的良率問題。但是,在傳統的製造環境下,沒有向EES子系統提供預測良率資訊之機制。亦沒有配備傳統EES之子系統來執行回應良率預測之自動動作。
一種良率預測由一排程及分派控制器接收,其中該良率預測係有關於一製造工具和一產品。基於該良率預測在一路由演算法中調整該製造工具的一權重。計算一成本-利潤分析,其確定在該製造工具上製造將來產品的成本和利潤;以及基於該成本-利潤分析,決定是否在該製造工具上處理一將來產品。
本文描述一種用於回應良率預測而執行自動動作的方法和裝置。基於與製程、製造工具及/或所製造產品相關聯的資料,產生一後段良率預測。將該後段良率預測傳送到一設備工程系統的一或多個組件。在一實施例中,由排程及分派控制器接收該良率預測。基於該良率預測,在一路由演算法中調整該製造工具的加權(weighting)。計算一成本-利益分析,其識別在該製造工具上製造將來產品的成本和利益。基於該成本-利益分析,決定是否在該製造工具上處理將來產品。
在以下描述中,闡述大量細節。但是,對於熟習此項技術者,應該明白本發明可以不需要此等具體細節而實行。在一些實例中,以方塊圖形式顯示習知結構和裝置,而沒有詳細描述,以避免與本發明混淆。
以下某些實施方式部分係以操作演算法和符號表示之形式提供,該等操作係對電腦記憶體中之資料位元進行。除非具體申明,從以下討論中可明白,可理解在整個說明書中,採用諸如「收集」、「預測」、「執行」、「調整」、「比較」或類似述語的討論係指電腦系統或類似的電子計算裝置的動作和處理,其將表現為在電腦系統的暫存器和記憶體中的實體(電子)量的資料操縱並轉換為其他類似表現為在電腦系統記憶體或暫存器或其他此種資訊儲存器、傳輸或顯示裝置中的實體量。
本發明也關於一種用於執行本說明書操作的裝置。此裝置可以為所需要用途而特別構成,或者其可以包含能被儲存在電腦中的電腦程式選擇啟動的或再配置的通用電腦。此種電腦程式可以被儲存在電腦可讀儲存媒體中,例如(但不限於)包括軟碟、光碟、CD-ROM和磁性光碟等任何類型碟片、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、EPROM、EEPROM、磁卡或光學卡,或適合於儲存電子指令的任何類型的媒體,每一者均耦合到電腦系統匯流排。
在本說明書中提出的演算法和圖式本質上不與任何特定電腦或其他裝置相關。各種通用系統可與根據本文提議的程式結合使用,或者可以證實建構更特殊的裝置來執行所需要的方法步驟係方便的。將在以下說明中闡述揭示用於各種此等系統的所需結構。另外,本發明不參考任何特定程式設計語言描述。可以理解,多種程式設計語言可以用來實現如本說明書中所描述的本發明提議。
本發明可以作為電腦程式產品或軟體提供,其可以包括其中儲存指令的機器可讀媒體,該等指令可以用來程式化電腦系統(或其他電子裝置)以根據本發明執行處理。機器可讀媒體包括用於儲存或傳輸由機器(例如電腦)可讀形式的資訊的任何機制。例如,機器可讀(例如電腦可讀的)媒體包括機器(例如電腦)可讀儲存媒體(例如唯讀記憶體(“ROM”)、隨機存取記憶體(“RAM”)、磁碟儲存媒體、光儲存媒體、快閃記憶體裝置,等等)、機器(例如電腦)可讀傳輸媒體(電、光、聲或其他傳播形式的訊號(例如載波、紅外訊號、數位訊號,等等))。
第1圖示出製造環境100之示例架構,本發明之實施例可操作於該環境中。製造環境100可以為半導體製造環境、自動製造環境,等等。在一實施例中,製造環境100包括設備工程系統(EES)105、製造執行系統(MES)110、良率管理系統(YMS)120和合併資料儲存器115。可經由網路(未示出),例如公共網路(例如網際網路)、私有網路(例如乙太網或區域網路(LAN)),或其組合來連接EES 105、MES 110、YMS 120和合併資料儲存器115。
製造執行系統(MES)110係可用來在製造環境中量測並控制生產動作的系統。MES 110可以控制某些生產動作(例如關鍵生產動作)或一組製造設備(例如在半導體製造裝備的所有微影設備)的所有生產動作、製造裝備(例如自動生產工廠)的所有生產動作、整個公司的所有生產動作等等。MES 110可以包括手工和電腦化離線及/或在線異動(transaction)處理系統。此系統可包括可執行製程相關功能的製造機器、測量裝置、用戶端電腦裝置、伺服器端電腦裝置、資料庫等等。
在一實施例中,MES 110與合併資料儲存器115連接。合併資料儲存器115可以包括資料庫、檔案系統或其他在非揮發性記憶體(例如硬碟驅動器、磁帶驅動器、光驅動器等等)、揮發性記憶體(例如隨機存取記憶體(RAM)),或其組合上儲存的資料配置。在一實施例中,合併資料儲存器115包括來自多個互連資料儲存器(例如YMS資料儲存器、維護資料儲存器、測量資料儲存器、處理資料儲存器等等)之資料。合併資料儲存器115例如可以儲存製造配方(recipe)的歷史處理資訊(例如溫度、壓力、所用化學製品、處理時間等等)、設備維護歷史、物品清單等等。合併資料儲存器115還可儲存由MES 110、YMS 120、EES 105生成的資料。例如,EES 105可以在合併資料儲存器115中儲存故障偵測和特徵資料,YMS 120可以在合併資料儲存器115中儲存良率分析資料,而MES 110可以在合併資料儲存器115中儲存歷史處理資訊。此允許YMS 120、EES 105和MES 110中之每一者利用由其他系統生成的資料。
EES 105係管理一工廠之部分或全部運作之系統。EES 105可以包括手工或電腦化之離線及/或在線異動處理系統,其可以包括用戶端電腦裝置、伺服器電腦裝置、資料庫等等,其可以執行設備跟蹤、分派(例如決定什麼材料進行什麼處理)、產品歸類、勞力跟蹤(例如個人排程)、庫存管理、成本、電子簽名擷取、缺陷和精度監視、關鍵性能指示器監視和報警、維護排程等諸如此類。
EES 105對在合併資料儲存器115中收集和組合的資訊及/或由MES 110報告測量資料和處理資料進行推斷、報告及/或動作。例如,EES 105可作為早期報警系統(例如預測廢料(scrap)、啟動產品重做等),提供瓶頸分析,提供資產管理(例如減少未排程設備當機),改良節約實務等等。EES 105可用於增加對製造環境100的瞭解,並可以使使用者確定製造環境100的效率及/或改良製造環境100的所有或部分組件。在一實施例中,EES 105包括使EES 105偵測故障、分類故障和預測良率的組件。以下將參考第2圖詳細說明此功能。
良率管理系統(YMS)120分析例如電氣測試資料的後段資料來確定產品良率。後段資料可包括晶圓接受度測試(WAT)、晶圓排序結果及/或最終測試動作。良率管理器120可提供產品良率趨勢、產品良率的批次級別分析、與製造製程相關的良率、良率統計分析等等。在一實施例中,YMS 120利用積體電路設計、可視缺陷、參數和電氣測試資料來識別低良率的原因。YMS 120可以透過監視良率、將良率損耗與缺陷及參數資料相關聯、將缺陷及參數資料與製程及工具相關聯而改良良率。如果產品良率過低,則良率管理系統120可以向EES 105報告低良率。此報告可以包括指示低良率的關鍵因素。EES 105然後可以著手增加良率。YMS 120在合併資料儲存器115中儲存良率資料,並可以存取由MES 110和EES 105儲存的資料以更好地對任何良率問題進行疑難排解。
第2圖示出製造環境222的示例架構,本發明之實施例可操作於該環境中。在一實施例中,製造環境222包括MES 210、YMS 220、EES 205和合併資料儲存器215。在另一實施例中,製造環境222對應第1圖的製造環境200。
在第2圖的MES 210中,示出製造機器280和測量工具285。在半導體製造環境中的製造機器實例包括佈植機(implanter)、熱反應器、蝕刻機、微影機和用於製造半導體產品的其他機器。精密測試工具的實例包括橢圓測厚儀(ellipsometer)、干涉儀、掃描電子顯微鏡(SEM)等等。雖然MES 210顯示僅包括製造機器280和測量工具285,但是其還可以包括用戶端電腦裝置、伺服器端電腦裝置、資料庫等等,為了清楚起見,並沒有將它們顯示出來。測量工具285、製造機器280和MES 210的附加裝置經由一或多個介面鏈接到設備自動控制層224。介面的實例包括半導體設備通訊標準(SECS)介面、用於製造設備的通訊及控制的通用模型(GEM)介面、SECS/GEM介面290、EDA(「介面A」)介面295、高速SECS訊息服務(HSMS)介面等等。
設備自動控制層224互連製造機器280、測量工具285和其他裝置,並將它們鏈接到合併資料儲存器215、EES 205及/或YMS 220。在一實施例中,設備自動控制層224使製程資料(例如由製造機器280在製程批次時收集的資料)和測量資料(例如由測量工具285在製程批次後收集的資料)儲存在合併資料儲存器215,並向EES 205的故障偵測與分類(FDC)組件226報告。此資料可以在被收集時即時地報告到FDC組件226。
在一實施例中,EES 205包括故障偵測與分類(FDC)組件226、良率預測器228和工廠範圍控制器230。EES 205還可以包括使用者介面298,其可以將來自多個不同資訊源(例如YMS 220、MES 210、EES 230等等)的資訊組合。
FDC組件226可以在資料被收集及/或來自合併資料儲存器215時,從設備自動控制層224中即時地接收資料。該資料可包括由製造機器在製造批次期間已經收集的製程資料及/或在製造批次之後收集的測量資料。在製造機器280上執行的每一製造製程由製造機器280上的感測器量測的各實體條件和屬性,並且由各操作參數(共同稱為製程資料)來表徵。由感測器量測的每一不同製程變數,以及每一操作參數可以為該製程資料的不同製程變數。表示感測器資料的製程變數實例包括胺體壓力、基座溫度、RF 正向功率(forward power)以及RF反射功率(reflected power)。表示操作參數的製程變數的實例包括(例如化學試劑(chemical reagent)的)流率設置,以及(例如用於腔體排氣真空泵的)節流閥設置。在製造機器280上製造產品後,可由測量工具285分析該產品,以量測產品的一或多個屬性。由此分析產生的量測在本說明書中稱測量資料。測量資料的實例包括厚度量測(例如由橢圓測厚儀量測)、顆粒計數量測(例如由掃描電子顯微鏡(SEM)量測)、晶圓曲率量測(例如由干涉儀量測),等等。
FDC組件226可以使用統計製程監視(一種對製程資料和測量資料執行統計分析的方法)、遺傳演算法、神經網路等等以偵測及/或診斷故障。故障可以為製造機器280的出錯或失調(例如機器的操作參數與期望值的偏差),或者指示需要預防維護以避免即將到來的出錯或失調。當一或多個最近製程資料及/或測量資料的統計值與統計模型偏差到足夠大的量,使得模型量度超出各個可信度臨限值時,偵測到故障。模型量度是純量數字,其值表示在製程/測量資料的統計特徵與由模型預測的統計特徵之間的偏差幅度。
一旦偵測到故障,FDC組件226將該故障與故障表徵集相比較。每一故障表徵表示代表具體一個故障或多個故障的製程條件。當在故障表徵之一與當前故障之間存在高度相似性時,報告一個匹配,並將該故障分類。作為替代方案,FDC組件226可以使用統計摘要技術(statistical summary techniques),其然後被匹配到先前發生的故障來找到一個最接近的故障。
在一實施例中,FDC組件226包括虛擬測量模組(未示出),其利用虛擬測量來基於其他測量資料及/或製程資料預測測量資料。虛擬測量模組採用故障偵測/分類資料(包括關於偵測到的故障的資訊,例如故障原因、故障的識別/分類等等)和上游(upstream)測量資料二者作為輸入,並產生測量資料值的預測作為輸出,當實際測量資料可用時。可更新該虛擬測量預測模型。
FDC組件226將故障偵測和分類資料傳送到良率預測器228。FDC組件226還可以將虛擬測量資料、製程資料及/或真實測量資料傳送到良率預測器228。在一實施例中,FDC組件226運用資料減少技術來減少傳送到良率預測器228的資料量。作為替代方案,可藉由良率預測器228執行此資料減少。可藉由將資料(例如測量資料、虛擬測量資料、製程資料等等)合倂到重要性指示符(indicator of importance)來執行資料減少。例如,可將溫度量測製程資料合併到整個製程過程的平均溫度,或者將多個不同感測器量測合併以提供工具狀況的單一多變數指示符。在一實施例中,FDC組件226基於從良率預測器228接收的反饋決定何種合併資料係關於預測良率。因此,FDC組件226可以將傳送到良率預測器228的資訊限制為僅包括與良率預測相關的合併資料。
良率預測器228分析所接收到的資料以形成產品的良率預測。良率預測器228將製程資料和測量資料與一或多個良率預測模型相關,以形成良率預測。測量資料可以包括下游測量資料(在製程批次之前收集的)、上游測量資料(在製程批次後收集的)及/或虛擬測量資料。當製程被執行或者在該製程已經結束後來自合併資料時,製程資料可以被FDC組件226收集並處理。
良率預測模型可以利用主要組件分析(PCA)、多線性回歸(MLR)、神經網路(NR)、貝氏(Bayesian)分析、部分最小平方(PLS)等方法預測良率。可以從YMS 220接收基線良率預測模型。當產品良率資料變得可用時,YMS 220可以修改該良率預測模型,並將更新的良率預測模型傳送到良率預測器228。因此,由良率預測器228所使用的良率預測模型可以為動態良率預測模型。
在一實施例中,良率預測器利用PLS形成良率預測。PLS如何工作的實例在第3圖中示出。參考第3圖,在一個具有“p”個輸入(在預測感興趣的製程資料、測量資料及/或虛擬測量資料)和“q”個輸出(感興趣的良率預測器)的系統中,相對較小的“a個”組件集被用來將輸入變數與輸出變數相關。此等組件可以被認為係大致為對輸出空間中變異尺寸影響最大的輸入空間中的變異尺寸。
參考第2圖,在一實施例中,該等良率預測模型包括多個良率偏差事件及/或良率偏差條件。當製程資料及/或測量資料指示一特定良率偏差時,啟動一良率偏差事件。例如,如果藉由製程資料及/或測量資料顯示某組條件,可啟動由於Ti/N剝落導致之良率偏差事件。每一良率偏差事件可與一或多個良率偏差條件相關聯。如果相關聯的良率偏差事件被啟動,並且如果滿足一或多個附加條件,則啟動良率偏差條件。作為替代,如果啟動一良率偏差事件,則自動啟動某些良率偏差條件。
良率預測器228以各種變化的精度預測良率。良率預測的可信度(預測精度)可依賴於許多變數,例如當進行良率預測時產品距完成的接近度、用於進行預測的資料的品質、對相對較新的產品進行預測還是對過去已經多次成功製造的產品進行預測,等等諸如此類。在一實施例中,良率預測器228對每一良率預測確定預測品質。以後可結合良率預測使用該良率預測品質來決定由EES子系統執行哪些(如果有)自動動作。
在一實施例中,對所選擇的產品,利用晶圓測試圖案來突出某些產品的特徵。該等晶圓測試圖案提供可以用來更精確預測良率的附加資料。可以隨產品在相同的製程過程中製成晶圓測試圖案。如果晶圓測試圖案的測試指示異常,則可認為該異常還將影響到產品。可以將來自晶圓測試圖案的資料整合到良率模型中。例如,在良率預測模型中,晶圓測試圖案中的異常可以與具體良率偏差相關聯。此可以使良率預測器228以更高的可信度進行良率預測。
在一實施例中,將FDC組件226和良率預測器228組合為單個組件。在此實施例中,可利用相同的模型來進行故障偵測和分類、虛擬測量和良率預測。或者,可以為故障偵測和分類、虛擬測量及/或良率預測之一或多者採用不同模型。在一實施例中,FDC組件226包括一或多個統計模型,其具有與良率偏差相關的度量。因此,FDC組件226可以向工廠範圍控制器230提供設備和製程狀況良好資訊,而同時向良率預測器228提供輸入。
工廠範圍控制器230從FDC組件226接收故障偵測和故障分類資料(其可包括虛擬測量資料),並從良率預測器228接收良率預測資料。工廠範圍控制器230負責基於所接收的故障偵測/分類資料和良率預測資料初始化修改製造環境200的組件的動作。透過此等動作,工廠範圍控制器230可以自動的形式改良產品產量和品質。在一實施例中,此等動作係以智慧型商業規則形式,其可以透過即時系統事件、預測事件或者排程動作而啟動。例如,當在所接收的資料中偵測到某些值時,工廠範圍控制器230可自動地排程對製造機器280的維護,自動關閉製造機器280,自動調整製程配方等等。在另一實例中,良率預測可用作反饋資料,以從逐批(lot-to-lot)控制到晶圓間(wafer-to-wafer)控制增大批次間(run to run)(R2R)控制,以增大維護管理系統及/或增大再排程將處理產品的製造機器。該等操作還可最佳化維護排程、排程和分派決定、製程控制等等。
雖然在良率預測領域中的最佳化演算法可變得極複雜,但是可將良率預測資料初始調整到相對簡化的演算法,而仍然提供顯著的優點。例如,可利用與具體製程相關的良率臨限值來產生執行/不執行決定,以改良品質和產量,並使廢料最少。在另一實例中,可利用良率趨勢來在批次間(R2R)製程控制演算法中導入保守調整指示,其將導致在整個製造環境222進行處理調整,其被導向工廠範圍生產(而不是單個製程能力)目標。
工廠範圍控制器230可以包括靈活的和可伸縮的能力來將多個不同EES子系統整合,並包括用於管理此等子系統的共同作業以達成工廠範圍指示的機制。在一實施例中,工廠範圍控制器230使得在良率預測輸出和EES子系統(例如維護管理、排程和分派控制以及經由策略引擎235的製程控制)之間產生互動。策略引擎235連接到多個不同控制器,其中每一個控制EES 205的不同子系統。例如,批次間(R2R)控制器260控制R2R系統,排程和分派(S/D)控制器265控制排程和分派系統,電腦維護管理系統(CMMS)控制器270控制CMMS,設備性能跟蹤(EPT)控制器275控制EPT系統,等等。在一實施例中,策略引擎235作為該等控制器的監管系統。
每一EES子系統的能力可協作使用,以達成工廠的最佳化重配置來支援良率目的。在一實施例中,堅持靈活的整合標準,例如國際半導體設備及材料(SEMI)E133製程控制系統(PCS)標準和網路服務(例如包括網路服務調用),可以協助在該控制器、良率預測器228及/或製造環境222的其他組件之間的相互操作。作為協作利用良率預測的實例,策略引擎235可以傳送一良率預測到R2R控制器260、S/D控制器265和CMMS控制器270。R2R控制器260可以利用良率預測來決定哪些工具可以被有效修改以支援具體的製程變動,以及哪個製程變動將表現為週期時間、資源需求等等。然後可由策略引擎235對此資訊加權,此加權相對於到達順序量和優先權,以及由S/D控制器165提供的在製品(WIP)。CMMS控制器270然後可決定預測維護排列的和計劃的預定時間表。利用來自R2R控制器260、CMMS控制器270和S/D控制器265的資訊,策略引擎235可決定每一工廠目的之最佳化解決方案。可透過加權的成本函數,或啟發式地透過一組工作流規則而形成此決定。策略引擎235然後可通知三個生產決定控制器,並且此等控制器可以相應地調整較低級別的控制目標、預測維護排程和S/D決定。
在一實施例中,策略引擎235係一事件-條件-動作(ECA)策略引擎,其提供高度可配置和可伸縮機制來定義在製造環境200中對資源的合作利用。ECA策略引擎採用回應在工廠的事件而啟動的「控制規則」。此等規則基於對服務事件相關的條件,而在序列中調用可用資源。例如,如果偵測到特定良率偏差事件,則ECA策略引擎可調用通知資源以將良率偏差事件通知負責工程師,調用一維護系統來請求故障製造機器排程來做維護,調用排程/分派控制器來提議WIP和尤其是重點批次圍繞此工具被排程,向R2R控制器提供資訊以使利用該工具達成「最佳」良率,直至該工具被停止用於維護等等。
在一實施例中,策略引擎235係ECA策略引擎,所接收的良率預測資料包括良率偏差事件和一或多個良率偏差條件。該良率偏差事件和良率偏差條件可由策略引擎235使用以回應良率預測而動作。在另一實施例中,良率偏差條件之一係良率預測品質。因此,如果接收到具有指定良率預測品質臨限值的良率預測,則僅執行某些動作。
第4A圖根據本發明之一實施例,示出可圖形配置之事件-條件-動作(ECA)策略引擎400。ECA策略引擎400包括一圖形拖放工具,其用於對規則簡單開發、可視化和重配置。可以從左邊的託盤(pallet)將表示EES子系統能力(例如故障偵測和排程維護)的圖示拖動到工作區。結果「規則」定義製程環境如何回應事件而操作。
介面400示出一用於蝕刻壓力檢查事件(其資料在第4B圖中示出)的範例規則集。所示出的規則集首先執行一故障檢查405。故障檢查405檢查任何關鍵警報。如果對應關鍵警報的條件被識別,則工具關閉410。在示出的實例中,關閉工具410包括更新設備性能跟蹤系統,發送MES工具關閉資訊,並更新CMMS系統。如果對應警告警報的條件被識別,則設置PM以暫停415,其排程維護和管理製造機器的一狀態。因此,利用ECA技術和圖形化ECA策略引擎可使使用者以可重配置和非程式化的方式來定義EES子系統的相互操作性。
回到第2圖,當預定的事件產生並且滿足預定的條件時,策略引擎執行一個或一組操作。此等操作可同時或串列發生。當某些操作完成後,來自該等操作的反饋可被傳送到策略引擎,並且可以基於該反饋執行隨後的操作。
在一實施例中,策略引擎235透過向EES 205的子系統的控制器傳送一命令及/或資訊而執行一操作。該命令的種類以及附隨該命令的資訊類型視所傳送命令及/或資訊的控制器而定。例如,可向CMMS控制器270傳送一導致良率偏差的製造機器280的識別資訊、有關製造機器280上所出現問題之可能原因的提議,以及在製造機器280上排程維護的指令。同時,將製造機器280與一預測良率相關聯的性能量度可被傳送到S/D控制器265,與此相回應,S/D控制器265可在該維護被執行之前重計算在製造機器280上處理產品的成本/利潤分析。可將其他資料及/或命令也傳送到R2R控制器260,以修改在製造機器280上運行的製程配方,傳送到EPT控制器275以為製造機器280調整設備性能跟蹤分級,等等。
批次間(R2R)控制器260執行R2R控制,其被定義為修改配方參數的技術或者在製程之間選擇控制參數以改良製程性能。一「批次(run)」可以為一組、一批或單個晶圓的製造製程。R2R控制器260可以控制與被生產產品的品質相關的任意組參數。因此,與例如CMP最終厚度和最終厚度一致性等特定製程相關的參數,以及例如CD、CD一致性、電特性、產量以及良率之較通用的參數都可以由R2R控制器260控制。
R2R控制器260利用其控制的系統、製程及/或機器的動態模型,以決定修改哪些參數以及如何修改它們。R2R控制模型可以寫成如下形式:
(1)(Y1 ,Y2 ,...,Yi...,Ym)=f(X1 ,X2 ,...,Xj...,Xn)
其中每一Yi表示受控的品質變數輸出,而每一Xj表示可被調整以提供該控制的品質變數輸入。
在一實施例中,R2R控制器260包括多個批次間(R2R)控制模型(未示出)。每一R2R控制模組可管理用於不同製程、製程組、製造工具等等的R2R控制。例如,R2R控制器260可包括管理CMP製程的CMP R2R控制模組、管理蝕刻製程的蝕刻R2R控制模組,以及管理在CMP製程和蝕刻製程之間一致性控制的製程間R2R控制模組。因此,可調整輸入Xj可以為傳統意義下的設備設置,例如控制設備設置(例如溫度、壓力、氣流速率等等)的製程配方參數,且也可以為較不傳統的參數,例如較低級R2R控制模組的增益或目標。
在一實例中,R2R控制器可以包括在兩個不同製程中的不同R2R控制模組,影響到對良率有影響的相同特徵,但以不同方式影響該特徵。該等製程可以是蝕刻之前的微影,特徵為關鍵尺寸(CD)。在此情況下,可以存在於微影中的CD圖案化步驟,隨後為蝕刻時的回蝕製程步驟。此兩個製程的聯繫之處在於,在微影中設置一圖案,使得在蝕刻時對一開口「回蝕」某些次數,以達到關鍵尺寸(CD)靶。利用R2R控制可以控制此兩個製程。在此實例中,回蝕步驟引入CD中關於靶的變數,但提供CD的徑向一致性的機會(即晶圓中心和外邊緣之間在CD中差異最小化)。通常,受控的回蝕步驟越長,則關於靶的CD變異越高,但中心到邊緣CD一致性越好。
第5A圖根據本發明一實施例,示出良率分佈圖500,顯示良率相對回蝕時間的關係。進入微影製程的晶圓可以具有引入的變異和一致性。良率可以為CD到靶的接近度的函數。因此,基於所預測的良率,可以調整吾人的微影CD靶和回蝕靶,以得到在CD變異和CD一致性之間的平衡,藉此改良良率,如在第5A圖示出。具體言之,從整個晶圓的良率損失曲線的預測,系統可調整吾人的回蝕大小(以及因此調整時間)以改良良率。例如,如果該預測示出零星的整個晶圓良率損失,則採用較低的回蝕量(對於較低變異);如果該預測示出良率損失在中心和外側(揭示一致性問題),則增加回蝕量(為了較低非一致性)。
可根據以下等式操作兩個實例R2R控制模組:
一般,晶圓
(2)CDslope=(CDcenter-CDedge)/150mm
(3)CDvariation=CDmax-CDmin,均勻分佈(為簡潔起見)
(4)CDproduct=為產品所指定之最終CD
在微影中
(5)CDave-postlitho=(CONSTcd-litho+ExposeRate * ExposeTime)nm
(6)CDtargetlitho=CDtargetpostetch-CDetchbacktarget
(7)CDslope-prelitho=CDslope-postlitho(均勻性不受微影影響)
(8)CDvariation-Prelitho-CDvariation-postlitho(可變性不受微影影響)
在蝕刻中
(9)CDslope-postetch=CDslope-postlitho-RATEdifferential-etch X TIMEetch
(10)CDave-postetch=CDave-postlitho+RATEetch X TIMEetch
(11)CDvariation-postetch=CDvariation-postlitho+(VARIATIONetch X TIMEetch)
良率預測
(12)lf(CDupperlimit=<CDpostetch=<CDlowerlimit then DieYield==TRUE
Else DieYield==FALSE
(13)0=<YieldPredConfidence=<1
其中Die(晶粒)良率將為該晶粒的CDpostetch的函數,其係CDave-postetch和CDvariation-postetch的函數,並且晶粒良率係一「是/否」判定。
在一實施例中,等式(2)到(11)用來估計晶圓參數,而不必包含控制器參數。使用此等等式的系統實例可按以下方式實現(其中「方塊」指的是在具體實施時的模組):
A. 設置一個具有可設置變數CDslope-prelitho(或CDcenter-prelitho和CDedge-prelitho)、CDvariation-pre1itho、CDproduct(初始靶CD)的資料輸入方塊。
B. 設置一個實現等式(5)-(8)的微影工具模型方塊。CONSTcd-litho、ExposeRate和CDetchbacktarget為常量,或者需要在此具有初始值,從而將為可設置的(或者將其置於上面的輸入方塊)。初始時CDtarge中ostetch=CDproduct。初始計算ExposureTime,使CDave-postlitho=CDtargetlitho。
C.設置一個實現等式(9)-(11)的蝕刻工具模型方塊。RATEdifferential-etch、RA TEetch和VARIATIONetch為常量,或者需要在此具有初始值,從而將為可設置的(或者將其置於上面的輸入方塊)。初始計算TIMEetch,使CDave-postetch=CDproduct。
D. 設置一個良率預測方塊,其利用來自蝕刻方塊的CDslope-postetch、CDave-postetch和CDvariatioh-postetch以決定哪個晶粒是好的/壞的。CDupperlimit、CDlowerlimit和YieldPredConfidence為常量,或者需要在此具有初始值,從而將係可設置的(或者將其置於上面的輸入方塊)。採用蝕刻方塊輸出CD值。為每一晶粒預測CD如下:
a.一個中心晶粒:CD1=CDave-postetch+[(CDslope-postetch X(75-0)]+CDVariationX[UNIF(0,1)-0.5]
b. 圍繞中心的4個晶粒:CD2=CDave-postetch+[CDslope-postetch X(75-50)]+{CDvariation X[UNIF(0,1)-0.5]}
c. 在中間的8個晶粒:CD3=CDave-postetch+[CDslope-postetch X(75-100)]+{CDvariation X[UNIF(0,1)-0.5]}
d. 圍繞邊緣的16個晶粒:CD4=C Dave-postetch+[CDslope-postetch X(75-150)]+{CDvariation X[UNIF(0,1)-0.5]}
可預測在被處理晶圓上的每一晶粒的良率。然後可以在晶圓上每一晶粒環上執行比較良率分析。良率反饋邏輯(例如R2R控制器)然後可執行反饋規則並決定對控制環境作什麼調整(例如如果分析指示徑向非一致性係良率損失的主要來源,則增加回蝕尺寸靶)。
具體言之,在以上的實例中,良率分析方塊可產生良率預測反饋至R2R控制系統,其所預測的良率和預測可信度對控制環境作改變。可以在此方塊中實施的規則範例為:
A. IF良率損失<YL-upperthreshold THEN不處理,ELSE
B. IF良率損失=變數THEN降低CDetchbacktarget
a. 蝕刻工具添加過多變異,而一致性不是問題,所以在蝕刻步驟上降低;該量取決於預測可信度和EWMA加權因子。
C.IF良率在中心和邊緣損失THEN增加CDetchbacktarget
a.一致性控制是問題,並且蝕刻工具需要更多時間進行其工作;該量取決於預測可信度和EWMA加權因子。
D.IF良率損失僅在中心環THEN增加CDtarget-postetch
a.此較複雜;假定良率損失係一單邊分佈,則該系統對靶重定中心以試圖並且得到在可接收良率限制以內的晶粒。
E.IF良率損失僅在邊緣環THEN降低CDtarget-postetch
a.方法相同,但圖形偏移向另一方向。
在以上描述的實例中,考慮了兩種初始系統條件,即(1)具有較低變異,但較高徑向非一致性的受控製程,以及(2)具有較低徑向非一致性和較高變異的受控製程。在這兩個情形下,對同心環中的晶粒計算晶圓的良率。
第5B-5E圖示出基於以上描述實例的實例良率結果。在第5B圖和第5C圖中示出施加到回蝕受控製程(其具有較低變異,但較高徑向非一致性)的良率預測反饋(基於良率預測對R2R控制參數調整)的結果。第5B圖示出回蝕靶增加(因此蝕刻時間增加),導致徑向非一致性改良,即在環中的平均CD分佈減小。但同時,在每一環中的CD變異增加。最終達到滿意的良率級別,並且在回蝕靶的調整減小。第5C圖示出此實例的回蝕時間、微影曝光時間和良率的演進。如所顯示,隨回蝕時間增加,微影曝光時間減小。而且,如所顯示,隨良率增加(隨CD的非一致性和變異之間的平衡改良)直至達到滿意級別。注意此控制製程係相當於周遊第5A圖的曲線左側。
在第5D-5E圖中示出對具有較低非一致性和較高變異的回蝕受控製程上施加良率預測反饋的結果。第5D圖示出回蝕靶減小(且因此蝕刻時間減小)導致在每一環具有較低的變異。但是,同時在環中的平均CD的分佈增加,指示非一致性增加。最終達到滿意的良率級別,且在回蝕靶中的調整減小。第5E圖示出此實例的回蝕時間、微影曝光時間和良率的演進。如所顯示,隨回蝕時間減小,微影曝光時間增加。而且,如所顯示,隨良率增加(隨CD的非一致性和變異之間的平衡改良)直至達到滿意級別。注意此控制製程係相當於周遊第5A圖的曲線右側。
在一實施例中,R2R控制器260包括三個級別的R2R控制模組:一工廠級別R2R控制模組、製程間R2R控制模組和製程內R2R控制模組。例如,製程間R2R控制模組管理在CMP工具和蝕刻工具之間的一致性控制,其可回應蝕刻工具的品質一致性輸出而調整CMP R2R控制模組的目標(Yi參數)。因此,製程間R2R控制模組可導致CMP設置被修改為對蝕刻製程非一致性的提前補償。在一實施例中,R2R控制模組的輸出及/或輸入被加權,例如使得品質可以為一加權後的目標函數。
第5F圖示出R2R控制模組的階層式結構502的一實施例。R2R控制模組的階層式結構提供對工廠級別的品質參數的工廠範圍控制505、製程間級別品質參數的製程間控制510和製程內級別品質參數的製程控制515。
較低級控制模組的目的不會總是與較高級控制模組的目的相符。例如,改善CMP工具的一致性未必能保證改善線良率。因此,在工廠範圍R2R控制系統的一最佳化配置的一實施例中,使較高級別的控制模組調整較低級別控制模組的參數,作為其控制部分及/或最佳化功能。
在一實施例中,在R2R控制的最高級,品質參數包括一或多個良率指示器。此允許直接用製程控制處理良率問題,而不是透過製程和設計變更。可選擇良率預測器與最高級別的R2R控制模組的良率品質參數相符。因為良率預測係從製造實際產品期間提供的故障偵測和分類資料中得到,所以預測的良率資訊係可以R2R控制頻率而用於控制器(例如在任何製造或量測產品時,有新資料可用)。此允許支援良率參數的自動多級R2R控制。注意,在生產的每一步驟良率預測可用來調整與生產步驟相關聯的(下級)控制參數,並且還可用來調整控制器以支援下游校正(例如校正後續步驟),或提供其他能夠協助改良當前和將來製程批次良率的控制決定。
在一實施例中,工廠R2R控制模組520對一產品或產品類型提供工廠級控制。當接收到新的故障偵測和分類資料以及/或新的良率預測時,工廠R2R控制模組520可調整高級別參數以改良所預測的良率。此等調整可修改一或多個製程間級別控制模組(例如一致性R2R控制模組525、CD R2R控制模組530等等)及/或製程級別控制模組(例如沉積R2R控制模組535、CMP R2R控制模組540、微影R2R控制模組545、蝕刻R2R控制模組550等等)的目標及/或設置。製程間級別控制模組又可調整參數以符合由工廠R2R控制模組520提供的新目標及/或設置,其可導致進一步改變製程級別控制模組的目標及/或設置。製程級別R2R控制模組然後可以回應新的目標和設置來調整單個配方、製造機器的參數。例如,沉積R2R控制模組535可以調整一或多個沉積製造機器的參數,CMP R2R控制模組540可以調整一或多個CMP製造機器560的參數,等等。
在一實施例中,製程間級別一致性R2R控制模組525控制在CMP和蝕刻製程之間的一致性。一致性R2R控制模組525調整CMP R2R控制模組540和蝕刻R2R控制模組550的CD靶、控制器增益和目標參數(輸出)之加權,從而控制蝕刻後CD。一致性R2R控制模組525接收一或多個由預測的良率偏差事件(其預測由於缺少CD一致性,微影后將有一良率問題)導致的操作。一致性R2R控制模組525為隨後的蝕刻R2R控制模組550調整一致性目的的標的和加權。作為回應,蝕刻R2R控制模組550在蝕刻機器570上調整配方參數以使它們與新的目標和加權相符,因此避免由於CD非一致性帶來的良率損失。
請注意,對於任何預測系統,良率預測的品質很重要。在一實施例中,R2R控制器(包括所有R2R控制模組)考慮良率預測品質,並相應地對控制良率參數調整權重。可利用虛擬測量增強R2R控制所採用的技術來執行此加權。
重回到第2圖,S/D控制器265利用關於來自ERP系統之產品訂單(成本、數量等等)、產品製程流程需求、工具可用性、與製造機器及/或產品相關的產品良率,以及產量需求的資訊,來決定在製造環境222中對每一製造機器280的排程和分派。S/D控制器265將此資訊運用到排程和分派策略(S/D策略),該策略控制將產品指派到製造機器280。在一實施例中,S/D控制器265可以動態地且可調適地重新安排(re-route)產品的傳送路徑,以不斷地從排程觀點來最佳化製造環境222。動態調整包括在進行製造時對該S/D策略進行線上(on-line)調整。調適調整包括基於反饋資訊(例如良率預測)形成的調整以向具體最佳化函數提供最佳化S/D策略。
在一實施例中,S/D策略的最終目的乃最佳化工廠利潤。在向一客戶提交具有可接受良率產品時,存在一相關利潤。存在與廢料(具有不可接受良率的晶圓)相關的成本,以及作為逾期交付產品的代價相關的成本。因此,S/D策略的目標係在最短的時間內交付最大量的高良率產品。
S/D控制器165初始可以考慮將產品分派到所有能夠對產品執行特定處理的製造機器。S/D控制器165可以基於一或多個決定性分派規則而避免考慮某些製造機器。例如,將處於維護下的或者沒有功能的製造機器從考慮對象中移除。已經具有預定長度(例如2批)佇列(queue)的製造機器也可以從考慮對象中被移除。作為替代方案,可以改變具有預定長度的製造機器佇列(例如透過從佇列中移除一個產品)。在此實施例中,可以不從考慮對象中移除具有預定佇列長度的製造機器。
一旦某些製造機器已經不合格(從考慮對象中移除),利用計分演算法對每一剩餘製造機器(例如對n個剩餘候選製造機器)決定一分派得分。該計分演算法可包括與產品相關聯的因子(例如優先權、廢料成本、產品製程流需求、與產品相關聯的預測的良率,等等)、與製造機器相關聯的因子(例如週期時間、製程能力、與設備相關聯的預測的良率、工具可用性,等等),及/或與在產品與製造機器之間相互影響相關聯的因子(例如佇列長度、產量需求、與在設備上處理產品相關聯的預測良率)。在計分中的因子可以被標準化,亦可未被標準化。
藉由將良率預測作為因子整合到S/D策略,可以最佳化S/D策略以增加淨利潤。如上所述,良率預測可與該預測的可信度相關聯。在一實施例中,良率預測的可信度越大,則該良率預測在計分演算法中的加權越重。
在一實施例中,為每一產品採用一不同計分演算法。可基於與該產品具體相關的因子而最佳化每一產品之計分演算法。例如,未按時完成一產品的罰分可以高於未按時完成另一產品的罰分。因此,對於佇列延遲成本因子,具有較高罰分的產品可以具有較大權重。在一實施例中,基於許多加權因子,為每一製造機器計算一分派得分。在一實施例中的加權計分演算法係按如下標準化:
其中W1到Wn為對一特定產品施加到因子1到n的權重,並且其中tool 表示一特定製造機器,對該製造機器計算分派得分。
在一實施例中,包括在計分演算法中的因子係週期時間成本、當機時間成本、製程能力成本、排隊延遲成本和良率相關成本。可根據以下等式計算該等因子:
其中tool 表示與特定製造機器相關聯的值,avg 表示在特定類型中所有製造機器的平均值。
對所有此等因子,對每一工具,除排隊延遲以外,進入計算中的組件可選擇為常量。此係因為排隊延遲依賴於在一特定時間裏多少批次被分派到特定工具。在S/D分析中採用良率因子(基於良率預測),相比不採用良率因子會給出較高的毛利潤。
在一實施例中,S/D控制器165解決三個排程問題。首先,當一個批次可用於處理,它應該進入哪個製造機器的佇列?第二,當製造機器準備好處理產品時,應該處理其佇列中之哪個產品?第三,當製造機器的佇列為空時,哪個未排隊產品應該被排隊於此製造機器中?S/D控制器165藉由比較已經為每一製造機器以及為每一產品產生的分派分數,可解決此三個問題。在一實施例中,為產品和製造機器的每一組合產生一分離的分派分數。然後可將產品排程到製造機器以最大化總分派分數。
在解決第一問題時,利用等式(1),其中利用與待被處理的產品相關聯的因子權重,評估每一可用(即其佇列不滿)製造機器。在解決第二和第三問題時,利用與特定產品相關聯的因子權重來區分在設備佇列中的產品或者等待被排隊之產品,以決定下一個由特定製造機器處理或下一個排隊於該特定製造機器之較佳產品。
設定此問題後,該分析可按如下進行:決定將影響S/D策略的產品和設備常數資訊;計算獲得最大利潤之最佳化權重;並運用計算分析來得到分派策略。從重新計算佇列資訊的角度來看,一批次之分派策略可影響到下批次。以上分析允許考慮到良率預測資訊而計算最佳工廠利潤。
在一實施例中,利用路由演算法(routing algorithm)解決第一問題、第二問題和第三問題。該路由演算法包括計分演算法。因此,路由演算法可以為產品和製造機器的每一組合計算分派分數,並基於該分派分數決定將哪個產品指定到哪個製造機器。
第1表和第2表提供實例設備和產品屬性。注意其中有三個產品(在第2表中示出的P1、P2和P3)以及三個製造機器(在第3表中示出的E1、E2和E3)。對於每一產品,針對每一批次指示所有的期望生產率、收入、每一廢料成本以及每天的「延遲成本」罰分。對於每一製造機器,指示週期時間、當機時間、製程品質(即製程能力)和良率等取值。每天的產品率、與產品相關的利潤和成本,以及與S/D策略決定製程相關的設備屬性,均被指示。此處沒有將排隊長度作為常量提供,因為如上所述,其係一變數,是產品和設備之間相互影響的結果,並且是所選擇S/D策略的函數。利用此資訊,可為施加到各個部件的各因子決定因子權重。
第3表顯示該等三個產品之每一產品的實例計算的較佳權重。在第3表中,Ct表示週期時間,Up表示運行時間,Cp表示製程能力,Q表示排隊延遲,以及Y表示預測良率。如在第1表中所顯示,對於廢料,P2成本最高。因此,與P1和P3不同,P2為預測良率指定最高權重。
第5G圖根據本發明之一實施例,示出一曲線,其顯示工廠利潤作為良率因子權重的函數。良率因子沿水平軸顯示,而工廠利潤沿垂直軸顯示。如所圖示,如果良率因子被設置為0(良率預測不被S/D控制器考慮),則工廠利潤為每天$3,230,000。在所示出的實例中,由於良率因子權重增加到36,利潤增加到最大值每天$3,360,000。隨著良率因子權重增加到超過36,工廠利潤下降。此示出利用良率預測使S/D決策可以提供的潛在顯著優點。注意,隨良率預測的精度下降,良率因子的最佳權重將可能下降,但是仍然可以觀察到其相對於沒有良率資訊之解決方案,仍然具有利潤優點。
當接收到新的良率預測資訊時,S/D控制器265可以更新產品向設備之分派指定。例如,如果良率預測器228預測產品「A」被傳送到工具Litho-1時將具有達到4%良率,而被傳送到工具Litho-2時僅有達到2%的良率,則S/D控制器265可以具有一規則,其整合該資訊,連同此等工具之前的WIP相關資訊以及及時(JIT)生產資訊,以形成「感知良率」(yield conscious)的S/D決定。此規則可為用於形成決定的優先權樹形結構,或者可以為將該批次產品傳送到Litho-1相對於傳送到Litho-2之機會成本的加權計算。因為可即時得到良率預測資訊,因此S/D控制器265可利用此資訊以形成即時S/D決定。
CMMS控制器270保持維護排程和維護歷史、製造機器和測量工具的當前狀態,以及有關製造環境222中任何附加維護操作之資訊。CMMS控制器270可以基於定時、部件計數(例如所處理的晶圓)及/或所接收事件(例如工具警報)而排程維護事件。此等維護事件可以包括:將製造機器移出生產,指定人員服務該製造機器,保留材料,記錄成本,跟蹤例如問題原因的相關事件(例如包括將該原因與一良率偏差事件相關聯),等等。
在一實施例中,在由良率預測器228所採用的良率預測模型中,實際良率偏差與維護事件有關。透過比較歷史良率資料與歷史維護資料以決定特定良率偏差模式與隨後維護模式之間的相關性,可產生此良率預測模型。適當利用此模型,CMMS控制器270可利用良率預測來啟動維護事件。
EPT子系統使EES自動跟蹤基本設備性能,而不需要操作員或主機輸入。EPT子系統自動報告設備狀態改變、處在某狀態的時間和為何一個特定設備處於該狀態的原因(例如為何一個設備被鎖定而不執行任務)。設備狀態可包括等待產品、可用、製造產品、在維護、鎖定而不執行特定任務等等。可將良率預測資訊整合到EPT子系統以提供更重要資訊,例如為何一個設備(例如製造機器280)處於特定狀態(例如為何該設備被鎖定)。
在一實施例中,工廠範圍控制器230包括一使用者介面298。該使用者介面可包括一用於第4A圖所示ECA策略引擎的圖形使用者介面。使用者介面298還可包括可重配置的多組件操縱盤介面,其可提供特定使用者類別(class)所需要的確切資訊。該操縱盤介面僅向使用者提供所需要看到的資訊,而不顯示不需要的資訊,因此易於使用。而且,操縱盤可在一個螢幕上提供來自多個組件之資訊,使使用者可以見到製造環境222之更全面資訊。操縱盤介面還可在所有使用者類中提供可重用的解決方案,從而允許調整可重用的訓練、文件等等。
YMS 220負責管理良率和維護一或多個良率預測模型。在一實施例中,YMS 220包括良率分析器250和良率模型產生器255。良率分析器250接收良率測試結果(例如基於電氣測試結果、晶圓可接受性測試(WAT)、晶圓排序測試、最終測試等等),並分析該等結果以確定良率趨勢、良率相關性等等。如果偵測到良率偏差,則良率分析器250對良率偏差分類。
良率模型產生器255可透過組合實驗設計(DOE)樣式分析和來自製程和良率專家的輸入而產生良率預測模型。隨著時間過去,可開發一良率預測模型庫,以縮短模型設置發現和定義時間。此模型庫可包括具體製程、具體製程產品、具體設備、具體設備產品和具體設備模型的種類。該良率預測模型庫可以被儲存在合併資料儲存器215中。
在一實施例中,良率模型產生器255將實際良率資訊(當可用時)與預測資訊相比較,並相應地調整良率模型。例如,一旦良率分析器250已經分析新良率資訊並對其分類,良率模型產生器255可將新良率資訊與現有良率資訊組合,以產生一個新的或更新的良率預測模型。如果使用PLS良率預測模型,則可利用例如非線性疊代部分最小平方(NIPALS)演算法的PLS模型調整技術來修改良率預測模型。然後可將經更新之良率預測模型傳送到良率預測器228,以更換/更新良率預測器228所用現有良率預測模型。當系統隨時間過去演進,在輸入和測量指示器輸出之間的關係變化(例如由於在清潔製程之間於蝕刻腔中累積聚合物所導致)。當收集新資料時,週期或連續更新的動態良率預測模型可造成此等變化。
在一實施例中,利用DOE類型資料可決定一個或多個基線良率模型。當產品良率資訊變得可用時,可改變該基線良率模型。因此,可共同使用原始DOE資料和最新的量測資料二者。
在一實施例中,利用移動視窗技術來更新良率預測模型。在第6圖中示出此移動視窗技術的一實施例。在該移動視窗技術中,初始利用DOE資料來產生一良率預測模型。當接收到新的資料時,在預測模型中使用的資料部分被丟棄,而保留在該預測模型中使用的部分資料。在一實施例中,總是保留該DOE資料,而僅丟棄隨後接收到的資料。在一實施例中,丟棄最舊(而不是DOE資料)的資料。在此實施例中,使用移動視窗,其中超出移動視窗的所有資料被丟棄,而保留在該移動視窗中的所有資料。此允許良率預測模型隨時間演進。可對保留的資料加權。視窗的大小和資料的相對權重影響到模型對變化條件的響應度以及其對抑制雜訊的能力。例如,較小的視窗具有增大的響應度,但其更易於受到雜訊干擾。
第7A圖根據本發明的一實施例,示出用於半導體產品的製程流程圖700。該製程流程反映一製造環境中之大量系統和機器(例如製造機器、測量裝置、伺服器、控制器等等)所執行的操作。
在方塊702,在一初始產品的基板上沉積(或生長)一層材料。該初始產品可以為一裸基板,或者可以為一經過先前製程的圖案化基板。在沉積過程中(方塊702),(例如由第2圖的FDC組件226)執行感測器資料的故障偵測和分類(FDC)分析(方塊714)。然後基於該FDC分析形成(方塊734)一良率預測(例如透過第2圖的良率預測器228)。
一旦在產品基板上沉積該層後,可由測量裝置評估該產品(方塊716)。在方塊716,在新沉積的層上執行厚度量測。可基於厚度量測結果更新良率預測(方塊726)。
然後藉由微影機器在新沉積的層上圖案化(方塊704)。在圖案化製程中,對從微影機器收集的感測器資料執行FDC分析(方塊718)。然後基於該FDC分析更新該良率預測(方塊734)。
在圖案化該層後,可透過測量裝置評估產品(方塊720)。在方塊720,執行關鍵尺寸校正量測。基於該關鍵尺寸校正量測結果可更新該良率預測(方塊734)。
在隨後的製程中,蝕刻該圖案化層以移除層的部分(方塊706)。在蝕刻製程中,執行從蝕刻機器中收集的感測器資料的FDC分析(方塊722)。然後基於該FDC分析更新良率預測(方塊734)。
在圖案化層的部分被移除後,可由測量裝置再次評估該產品(方塊724)。在方塊724,執行另一關鍵尺寸校正量測。基於新的關鍵尺寸校正量測結果,可更新該良率預測(方塊734)。
在隨後的製程中,由佈植機(方塊708)佈植產品(例如用硼佈植)。在佈植製程期間,執行對從佈植機收集的感測器資料的FDC分析(方塊726)。然後基於該FDC分析更新良率預測(方塊734)。
一旦產品被佈植,該產品可再次由測量裝置評估(方塊728)。在方塊728,在產品的佈植區域執行表面電阻檢查。然後基於該表面電阻量測結果更新良率預測(方塊734)。
在隨後的製程中,在產品上執行化學機械平坦化(CMP)以移除圖案化層。在CMP製程期間,對從CMP機器收集的感測器資料執行FDC分析(方塊730)。然後基於該FDC分析更新良率預測(方塊734)。
在透過CMP對產品處理之後,可再次由測量裝置評估該產品(方塊732)。在方塊732,執行厚度測試以決定是否所有的圖案化層已經被移除。然後基於該厚度量測更新良率預測(方塊734)。
一旦已經執行所有的製程,可電氣測試該產品(方塊712)。基於該電氣測試結果更新良率預測。最後,量測最終產品良率。
在以上製程之任意時刻,良率預測可指示良率偏差事件。如果預測到一良率偏差事件,可執行一或多個操作(例如藉由第2圖的策略引擎235),以減輕被監視產品及/或將來產品的良率損失。良率預測可包括良率偏差事件和一或多個良率偏差條件二者。視良率偏差事件及/或良率偏差條件不同,所執行的操作可以從屬於R2R控制(方塊738)、S/D控制(方塊740)、CMMS控制(方塊742)及/或EPT控制(方塊744)。例如,如果基於方塊720的量測報告一良率偏差事件,則可執行R2R控制(方塊838)以在蝕刻製程調整CD靶(方塊706)。此調整可以減輕或避免較早預測的良率偏差。
第7B圖根據本發明的另一實施例,示出半導體產品的製程流程圖750。該製程流程反映由一製造環境中之大量系統和機器(例如製造機器、測量裝置、伺服器、控制器等等)所執行的操作。
在方塊702,在一初始產品的基板上沉積(或生長)一層材料。該初始產品可以為一裸基板,或者可以為一經過先前製程的圖案化的基板。在沉積過程中(方塊702),執行(例如由第2圖的FDC組件226)感測器資料的故障偵測和分類(FDC)分析(方塊714)。然後執行虛擬測量(方塊752)以決定該層的預測厚度(方塊762)。然後基於該FDC分析和該預測厚度形成(方塊734)一良率預測(例如透過第2圖的良率預測器228)。
一旦在產品基板上沉積該層後,可由測量裝置評估該產品(方塊716)。在方塊716,在新沉積的層上執行厚度量測。可利用該厚度量測資料來更新用於預測該層厚度的虛擬測量模型(方塊752)。可基於厚度量測結果更新良率預測(方塊726)。
然後藉由微影機器在新沉積的層上圖案化(方塊704)。在圖案化製程中,對從微影機器收集的感測器資料執行FDC分析(方塊718)。然後執行虛擬測量(方塊754),以基於在微影製程開始之前收集的感測器資料和測量資料決定預測的關鍵尺寸校正(方塊764)。然後基於該FDC分析和虛擬測量資料更新該良率預測(方塊734)。
在圖案化該層後,可透過測量裝置評估產品(方塊720)。在方塊720,執行關鍵尺寸校正量測。可用測量資料來更新用於預測關鍵尺寸校正的虛擬測量模型(方塊754)。基於該關鍵尺寸校正量測結果可更新該良率預測(方塊734)。
在隨後的製程中,蝕刻該圖案化層以移除層的部分(方塊706)。在蝕刻製程中,對從蝕刻機器中收集的感測器資料執行FDC分析(方塊722)。然後執行虛擬測量(方塊756)以基於在蝕刻製程開始之前收集的感測器資料和測量資料決定預測的關鍵尺寸校正(方塊766)。然後基於該FDC分析和虛擬測量資料更新良率預測(方塊734)。
在圖案化層的部分被移除後,可由測量裝置再次評估該產品(方塊724)。在方塊724,執行另一關鍵尺寸校正量測。可利用該測量資料來更新用於預測新關鍵尺寸校正的虛擬測量模型(方塊754)。基於新的關鍵尺寸校正量測結果,也可更新該良率預測(方塊734)。
在隨後的製程中,由佈植機(方塊708)佈植產品(例如用硼佈植)。在佈植製程期間,對從佈植機收集的感測器資料執行FDC分析(方塊726)。然後執行虛擬測量(方塊758)以基於在佈植製程開始之前收集的感測器資料和測量資料決定預測的表面電阻(方塊768)。然後基於該FDC分析和虛擬測量資料更新良率預測(方塊734)。
一旦產品被佈植,該產品可再次由測量裝置評估(方塊728)。在方塊728,在產品的佈植區域執行表面電阻檢查。然後基於該表面電阻量測結果更新良率預測和用於預測該表面電阻的模型(方塊734)。
在隨後的製程中,在產品上執行化學機械平坦化(CMP)以移除圖案化層。在CMP製程期間,執行對從CMP機器收集的感測器資料的FDC分析(方塊730)。然後執行虛擬測量(方塊760)以基於在CMP製程開始之前收集的感測器資料和測量資料決定預測的厚度(方塊770)。然後基於該FDC分析和虛擬測量資料更新良率預測(方塊734)。
在透過CMP對產品處理之後,該產品可再次由測量裝置評估(方塊732)。在方塊732,執行厚度測試以決定是否所有的圖案化層已經被移除。然後基於該厚度量測結果更新良率預測和虛擬測量模型(方塊734)。
一旦所有的製程已經被執行,可電氣測試該產品(方塊712)。基於該電氣測試結果更新良率預測。最後,量測最終產品良率。
在以上製程之任何時刻,良率預測可指示良率偏差事件。如果預測到一良率偏差事件,可執行一或多個操作(例如藉由第2圖的策略引擎235),以減輕被監視產品及/或將來產品的良率損失。良率預測可包括良率偏差事件和一或多個良率偏差條件二者。視良率偏差事件及/或良率偏差條件不同,所執行的操作可以從屬於R2R控制(方塊738)、S/D控制(方塊740)、CMMS控制(方塊742)及/或EPT控制(方塊744)。
第8圖示出用於回應良率預測而由EES之子系統執行自動動作的方法800的一實施例的流程圖。該方法可以由製程邏輯執行,該製程邏輯可以包括硬體(例如電路、專屬邏輯、可程式化邏輯、微代碼等等)、軟體(例如在處理裝置上運行的指令),或二者的組合。在一實施例中。方法800由第2圖的設備工程系統205的子系統執行。
回到第8圖,方法800包括由設備工程系統收集資料(方塊805)。所收集資料包括與製造製程、製造工具及/或製造產品相關的資料。此資料可在產品製造期間從製造機器接收、在產品量測期間從測量工具接收,或者從資料儲存器中接收。在一實施例中,由第2圖的FDC組件226收集該資料。在另一實施例中,FDC組件226利用該資料執行故障偵測和分類,並減少資料以減少可用於良率預測的資料集。FDC組件226還可執行虛擬測量以預測測量值。
在方塊810,製程邏輯預測後段良率偏差。可透過將該資料與良率預測模型相比較,藉由第2圖的良率預測器228預測後段良率偏差。在一實施例中,良率預測器228從FDC組件226接收經精減之資料集,並藉由將該經精減資料集運用到良率預測模型而執行良率預測。在另一實施例中,良率預測器228接收虛擬測量資料,並利用虛擬測量資料,組合經精減資料集來預測良率。該良率預測可包括一預測偏差事件和一或多個良率偏差條件。此良率偏差條件之一可以係良率預測品質指示器。
在方塊815,製程邏輯回應良率預測執行自動動作。在一實施例中,由第2圖的策略引擎235決定該自動動作。策略引擎235可以係一事件-條件-動作策略引擎。事件-條件-動作策略引擎可將預測的良率偏差、良率偏差事件和一或多個良率偏差條件與一規則集相比較。如果良率偏差事件及/或良率偏差條件與包含在規則中的良率偏差事件及/或良率偏差條件相匹配,則啟動一或多個操作。
在方塊825,製程邏輯決定自動動作是否調整批次間(R2R)控制器的參數。如果自動動作調整R2R控制器的參數,則該方法啟動第9圖的方法900。不管自動動作是否調整R2R控制器的參數,方法進行到方塊830。
在方塊830,製程邏輯決定自動動作是否調整排程和分派(S/D)控制器的參數。如果自動動作調整S/D控制器的參數,則該方法啟動第10圖的方法1000。不管自動動作是否調整S/D控制器的參數,方法進行到方塊835。
在方塊835,製程邏輯決定自動動作是否調整電腦維護管理系統(CMMS)的參數。如果自動動作調整CMMS控制器的參數,則該方法啟動第11圖的方法1100。不管自動動作是否調整CMMS控制器的參數,方法進行到方塊840。
在方塊840,製程邏輯決定自動動作是否調整設備性能跟蹤(EPT)的參數。如果自動動作調整EPT控制器的參數,則該方法啟動第12圖的方法1200。否則方法結束。
第9圖示出回應良率預測調整批次間(R2R)控制器的方法900的一實施例的流程圖。可藉由製程邏輯執行該方法,該製程邏輯可以包括硬體(例如電路、專用邏輯、可程式化邏輯、微代碼等等)、軟體(例如在製程裝置上運行的指令),或二者的組合。在一實施例中,由第2圖中的R2R控制器260執行方法900。可由第8圖的方法800啟動方法900。
參考第9圖,方法900包括由R2R控制器接收預測的良率偏差資訊(方塊905)。在方塊910,R2R控制器決定良率偏差是否與未能實現之工廠範圍性能目標相關聯。工廠範圍性能目標之實例係良率。例如,如果對於一產品,預測的良率差於良率臨限值,則對該產品而言,工廠範圍性能目標不會被滿足。如果良率偏差與未能實現之工廠範圍性能目標相關聯,則方法進行到方塊915。否則,該方法繼續到方塊920。
在方塊920,批次間控制器決定良率偏差是否與未能實現之製程間性能目標相關聯。此製程間性能目標的實例包括在製程之間及/或層之間的關鍵尺寸控制,在製程之間的一致性控制等等,如果良率偏差與未能實現之製程間性能目標相關聯,則方法進行到方塊925。否則方法進行到方塊930。
在方塊915,批次間控制器調整工廠範圍批次間控制模組的參數。工廠範圍的批次間控制模組的參數可包括由製程間批次間控制模組或製程內的批次間控制模組所使用的目標和增益。
在方塊925,批次間控制器調整一或多個製程間批次間控制模組。一或多個製程間批次間控制模組的參數可回應對工廠範圍批次間控制模組的調整,及/或回應預測的良率偏差而被調整。此等參數包括製程內的批次間控制模組的目標和增益。該方法進行到方塊935。
在方塊930,批次間控制器決定良率偏差是否與未能實現之製程間性能目標相關聯。製程內的性能目標的實例包括例如氣流速率、壓力、溫度等製程參數以及例如膜厚度、表面電阻、關鍵尺寸一致性等產品參數。如果良率偏差與未能實現之製程內性能目標相關聯,則方法進行到方塊935。否則,方法結束。
在方塊935,批次間控制器調整一或多個製程內批次間控制模組的參數。例如,批次間控制器可調整氣流速率、溫度設置、壓力設置等等。一或多個製程間批次間控制模組的參數可以回應對工廠範圍批次間控制模組的調整,回應對製程間批次間控制模組的調整及/或回應預測的良率偏差而被調整。方法然後結束。
第10圖示出方法1000的一實施例的流程圖,該方法由S/D控制器透過製造機器路由產品。該方法可由包括硬體(例如電路、專用邏輯、可程式化邏輯、微代碼等等)、軟體(例如在處理裝置上運行的指令),或二者組合的製程邏輯執行。在一實施例中,由第2圖中的S/D控制器265執行方法1000。方法1000可由第8圖的方法800啟動。
參考第10圖,方法1000包括由S/D控制器接收預測的良率偏差資訊(方塊1005)。在方塊1010,S/D控制器基於預測的良率調整運用到與良率偏差相關聯的製造工具的權重。例如,如果製造工具導致某具體產品的良率偏差較高,則可將該製造工具加權,使得該具體產品更可能在該製造工具上被處理。同樣,如果製造工具導致某具體產品的良率偏差較低,則可將該製造工具加權,使得該具體產品較不可能在該製造工具上被處理。
在方塊1015,S/D控制器計算在一或多個製造工具上製造一產品之成本-利潤分析。可以利用該路由演算法計算成本-利潤分析。路由演算法可以包括以下變數:可用製造機器的數量、需要處理的產品數量、需要處理的附加產品、對於產品和附加產品的可用製造機器的權重,等等。如果對任何製造機器(例如與良率偏差相關聯的製造機器)施加無限大的權重,則在該製造機器上將沒有產品被處理。可對單個產品(例如經歷良率偏差的產品)向製造機器施加無限大權重,在此情況下,其他產品仍然可以在該製造機器上被排程。作為替代方案,可對所有產品向製造機器施加無限大權重,在此情況下,於該製造機器上將不處理產品,直至其經過維護。
在方塊1020,S/D控制器根據成本利潤分析決定哪個製造工具處理產品。該方法然後結束。
第11圖示出回應預測的良率偏差,對製造機器進行維護之排程方法1100的一實施例的流程圖。該方法可以由包括硬體(例如電路、專用邏輯、可程式化邏輯、微代碼等等)、軟體(例如在處理裝置上運行的指令),或二者的組合的製程邏輯執行。在一實施例中,由第2圖的CMMS控制器270執行方法1100。可由第8圖的方法800啟動方法1100。
參考第11圖,方法1100包括由CMMS控制器接收預測的良率偏差資訊(方塊1105)。在方塊1110,CMMS控制器進一步接收關於導致預測良率偏差的問題源的資訊。關於該問題源的資訊可以基於由良率管理系統維護的良率偏差資料及/或與故障分類相關聯。
在方塊1115,CMMS控制器為與預測的良率偏差相關聯的製造工具排程維護事件。在方塊1120,將潛在的問題源通知給維護人員。例如,如果基於氣流速率失控而預測良率偏差,則可將用於製造工具的氣體注入系統識別為問題源。此幫助維護人員快速識別並解決問題。
第12圖示出跟蹤設備性能的方法1200的一實施例的流程圖。該方法可藉由包括硬體(例如電路、專有邏輯、可程式化邏輯、微代碼等等)、軟體(例如在處理裝置上運行的指令),或二者的組合的製程邏輯執行。在一實施例中,方法1200由第2圖的EPT控制器275執行。方法1200可由第8圖的方法800啟動。
參考第12圖,方法1200包括由EPT控制器接收預測的良率偏差資訊(方塊1205)。在方塊1210,EPT控制器將良率偏差資訊與製造工具(與該良率偏差相關聯)的狀態相關聯。例如,如果由於良率偏差而將導致良率偏差的製造工具被設置為維護,則然後其狀態可為“不可用”。EPT控制器可由於該預測的良率偏差而進一步確定該製造機器係不可用。
第13A圖示出回應良率預測由策略引擎自動執行操作的方法1300的一實施例的流程圖。該方法可藉由包括硬體(例如電路、專有邏輯、可程式化邏輯、微代碼等等)、軟體(例如在處理裝置上運行的指令),或二者的組合的製程邏輯執行。在一實施例中,方法1300由第2圖的策略引擎235執行。
參考第13A圖,方法1300包括由策略引擎接收後段良率預測(方塊1305)。在一實施例中,該策略引擎係一可圖形配置的事件-條件-動作策略引擎。在方法1310,策略引擎決定良率預測是否包括良率偏差事件及/或任何良率偏差條件。只要預測的良率低於預定的良率臨限值,即會發生良率偏差事件。良率偏差條件的實例包括良率偏差事件的因素(例如壓力失控、微粒數目過多、關鍵尺寸一致性較差等等)以及良率預測的可信度(品質)。如果良率預測不包括良率偏差事件或良率偏差條件,則該方法結束。如果其包括良率偏差事件或良率偏差條件,則方法進行到方塊1315。
在方塊1315,策略引擎將良率偏差事件及/或良率偏差條件與一或多個規則相比較。在方塊1320,如果良率偏差事件及/或良率偏差條件對應一規則,則方法進行到方塊1325。否則,方法結束。
在方塊1325,策略引擎執行包括在對應該良率偏差事件及/或良率偏差條件的規則中的動作。該動作關於設備工程系統的組件(例如子系統)。如果多個規則對應該良率偏差事件及/或良率偏差條件,則可執行多個動作。例如,一第一事件可導致排程一維護事件,一第二規則可導致調整R2R控制模組的參數,等等。某些規則可優先於其他規則。例如,如果報告一第一良率偏差條件,則可執行包括在一第一規則中的操作。但是,如果報告第一良率偏差條件和第二良率偏差條件,則可執行包括在第二規則中的操作,而包括在第一規則中的操作可不被執行。該等操作可導致預測的良率偏差減小並且/或者可避免將來的產品經歷相同的良率偏差事件。
在方塊1330,如果將產生導致更新的良率預測的動作,則方法返回到方塊1305,並且更新的良率預測由策略引擎審核。否則方法結束。
第13B圖示出回應良率預測由策略引擎自動執行操作的方法1350的另一實施例的流程圖。該方法可藉由包括硬體(例如電路、專用邏輯、可程式化邏輯、微代碼等等)、軟體(例如在處理裝置上運行的指令),或二者的組合的製程邏輯執行。在一實施例中,方法1350由第2圖的策略引擎235執行。
參考第13B圖,方法1350包括由策略引擎接收後段良率預測(方塊1355)。在方塊1360,該後段良率預測被傳送到R2R控制器和S/D控制器。在方塊1365,策略引擎接收來自R2R控制器的資訊,其識別哪個製造機器可被有效地修改以支援將減小所預測良率偏差的製程改變。
在方塊1370,策略引擎從S/D控制器接收產品排程資訊。該產品排程資訊可識別哪個製造機器可用於處理該產品。在方塊1375,策略引擎基於從S/D控制器和R2R控制器接收的資訊,決定在其中處理該產品之製造機器。此決定然後可被傳送到S/D控制器和R2R控制器,以使其能夠實現該決定(方塊1380)。方法然後結束。
第14圖示出電腦系統1400的示例形式中機器的圖示,在該電腦系統中可執行一組指令,用於導致機器執行在本文所討論方法中之任何之一或多個方法。在替代性實施例中,機器可連接(例如透過網路)到在區域網(LAN)、內部網、外部網或網際網路中的其他機器。該機器可在用戶端-伺服器網路環境中的伺服器或用戶端機器的容量中操作,或者作為點對點(或分佈式)網路環境中的對等機器。該機器可以為個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、行動電話、網路家電、伺服器、網路路由器、交換機或橋接器,或能夠執行一組指定由該機器執行的操作的指令(順序的或其他形式)的任何機器。進一步而言,雖然本說明書僅示出單一機器,術語「機器」還可被理解為包括任何機器集合(例如電腦),其可單獨地或共同執行一組(或多組)指令以執行本說明書討論方法的任何之一或多個。
示例電腦系統1400包括處理器1402、主記憶體1404(例如唯讀記憶體(ROM)、快閃記憶體、諸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)之動態隨機存取記憶體(DRAM),等等)、靜態記憶體1406(例如快閃記憶體、靜態隨機存取記憶體(SRAM),等等),以及輔助記憶體1418(例如資料儲存裝置),其經由匯流排1430相互通訊。
處理器1402表示一或多個通用處理裝置,例如微處理器、中心處理單元,或類似物。具體言之,處理器1402可以為複雜指令集計算(CISC)微處理器、精減指令集計算(RISC)微處理器、甚長指令字元(VLIW)微處理器、實現其他指令集的處理器,或者實現指令集組合的處理器。處理器1402還可以為一或多個專用處理裝置,例如專用積體電路(ASIC)、現場可程式閘陣列(FPGA)、數位訊號處理器(DSP)、網路處理器,或類似物。處理器1402係配置用來執行處理邏輯1426,以執行在本文中討論的操作和步驟。
電腦系統1400還可以包括一網路介面裝置1408。電腦系統1400還可以包括視頻顯示單元1410(例如液晶顯示器(LCD)或陰極射線管(CRT))、文數字輸入裝置1412(例如鍵盤)、遊標控制裝置1414(例如滑鼠),以及訊號產生裝置1416(例如揚聲器)。
輔助記憶體1418可以包括機器可讀儲存媒體(或具體言之為電腦可讀儲存媒體)1431,在其中儲存一或多個體現任何一或多個在本文中描述的方法或函數的指令集(例如軟體1422)。在由電腦系統1400執行期間,軟體1422還可駐留於(完全地或至少部分地)主記憶體1404及/或在處理裝置1402,主記憶體1404和處理裝置1402還組成機器可讀儲存媒體。軟體1422還可經由網路介面裝置1408在網路1420中被傳輸或接收。
機器可讀儲存媒體1431還可用來儲存良率管理系統(YMS)220、設備工程系統(EES)205及/或製造執行系統(MES)210(如參考第2圖所述)的一或多個子系統,及/或包含調用YMS、EES及/或MES的子系統的方法的軟體庫。機器可讀儲存媒體1431還可以用來儲存製造資訊和控制系統(MICS)的一或多個附加組件,例如決定支援邏輯組件、即時監視器,及/或執行邏輯組件。雖然機器可讀儲存媒體1431在示例實施例中顯示為單個媒體,術語「機器可讀儲存媒體」還應考慮包括儲存一或多個指令集的單個媒體或多個媒體(例如集中式或分散式資料庫,及/或相關的快取和伺服器)。術語「機器可讀儲存媒體」還應考慮包括能夠儲存或編碼由該機器執行的,以及使機器執行本發明的任意一或多個方法的指令集的媒體。術語「機器可讀儲存媒體」因此應被考慮包括,但不限於,固態記憶體以及光學和磁性媒體。
應理解上述描述用意係說明性,而非限制性。熟習此項技術者透過閱讀和理解以上描述將理解許多其他實施例。雖然參考具體示例實施例描述了本發明,但應認識到本發明不限於所描述的該等實施例,但在所附申請專利範圍的精神以及範圍以內可加以修改和變化。本發明的範圍因此應該參考所附的申請專利範圍,連同此等申請專利範圍有權擴及的均等物的完全範圍而定。
100...製造環境
105...設備工程系統(EES)
110...製造執行系統(MES)
115...合併資料儲存器
120...良率管理系統(YMS)
205...設備工程系統(EES)
210...製造執行系統(MES)
215...合併資料儲存器
220...良率管理系統(YMS)
222...製造環境
224...設備自動控制層
226...FDC組件
228...良率預測器
230...工廠範圍控制器
235...策略引擎
260...R2R控制器
265...S/D控制器
270...CMMS控制器
275...EPT控制器
280...製造機器
285...測量工具
290...SECS/GEM介面
295...EDA介面
298...使用者介面
400...事件-條件-動作(ECA)策略引擎
405...故障檢查
410...關閉工具
415...設置PM以暫停
500...良率分佈圖
502...R2R控制模組的階層式結構
505...工廠範圍控制
510...製程間控制
515...製程控制
520...工廠R2R控制模組
525...一致性R2R控制模組
530...CD R2R控制模組
535...沉積R2R控制模組
540...CMP R2R控制模組
545...微影R2R控制模組
550...蝕刻R2R控制模組
555...沉積製造機器
560...CMP製造機器
565...微影製造機器
570...蝕刻製造機器
700...製程流程圖
702...沉積
704...微影
706...蝕刻
708...佈植
710...CMP
712...電氣測試
714...FDC
716...Thk1
718...FDC
720...Cd1
722...FDC
724...Cd2
726...FDC
728...Rs
730...FDC
732...Thk2
734...良率預測
736...執行動作
738...R2R控制
740...S/D控制
742...CMMS控制
744...EPT控制
752...VM1
754...VM2
756...VM3
758...VM4
760...VM7
762...Thk1’
764...Cd1’
766...Cd2’
768...Rs’
770...Thk2’
1400...電腦系統
1402...處理器
1404...主記憶體
1406...靜態記憶體
1408...網路介面裝置
1410...視頻顯示
1412...文數字輸入裝置
1414...遊標控制裝置
1416...訊號產生裝置
1418...輔助記憶體
1420...網路
1422...軟體
1426...處理邏輯
1430...匯流排
1431...機器可讀儲存媒體
基於在所附圖式,以示例方式說明本發明,而非以限制方式,在該等圖式中:
第1圖示出製造環境之示例架構,本發明之實施例可操作於其中;
第2圖示出另一製造環境之示例架構,本發明之實施例可操作於其中;
第3圖根據本發明之一實施例,示出良率預測器如何使用部分最小平方(PLS)之示例;
第4A圖根據本發明之一實施例,示出可圖形配置之事件-條件-動作(ECA)策略引擎;
第4B圖根據本發明之一實施例,示出第4A圖之可圖形配置ECA策略引擎採用的用於蝕刻壓力檢查的實例資料;
第5A圖根據本發明之一實施例,示出顯示良率相對回蝕(etchback)時間的良率分佈圖;
第5B-5E圖根據本發明之實施例,示出實例良率結果;
第5F圖示出R2R控制模組的階層式安排;
第5G圖根據本發明之一實施例,示出顯示工廠利潤作為良率因子權重的函數的圖;
第6圖根據本發明之一實施例,示出利用一移動視窗技術更新良率預測模型;
第7A圖根據本發明之一實施例,示出製造一半導體產品的製程流程圖;
第7B圖根據本發明之一實施例,示出製造一半導體產品的製程流程圖;
第8圖示出用於回應良率預測而由EES之子系統執行自動動作的方法的一實施例的流程圖;
第9圖示出用於回應良率預測而調整一批次間(R2R)控制器的方法的一實施例的流程圖;
第10圖示出用於藉由S/D控制器透過製造機器繞送產品的方法之一實施例的流程圖;
第11圖示出回應預測的良率偏差,對製造機器的排程維護的方法的一實施例的流程圖;
第12圖示出追蹤設備性能的方法的一實施例的流程圖;
第13A圖示出回應良率預測由策略引擎自動執行動作的方法的一實施例的流程圖;
第13B圖示出回應良率預測由策略引擎自動執行操作的方法的另一實施例的流程圖;以及
第14圖根據本發明的一個實施例,示出示例電腦系統的方塊圖。
700...製程流程圖
702...沉積
704...微影
706...蝕刻
708...佈植
710...CMP
712...電氣測試
714...FDC
716...Thk1
718...FDC
720...Cd1
722...FDC
724...Cd2
726...FDC
728...Rs
730...FDC
732...Thk2
734...良率預測
736...執行動作
738...R2R控制
740...S/D控制
742...CMMS控制
744...EPT控制

Claims (53)

  1. 一種由電腦實施之方法,該方法包括以下步驟:由一排程及分派控制器接收一良率預測(yield prediction)及一良率預測可信度(yield prediction confidence),其中該良率預測係有關於一製造工具和一產品,且該良率預測可信度係有關於該良率預測;基於該良率預測及該良率預測可信度,在一路由(routing)演算法中調整該製造工具的一加權(weighting);計算一成本-利潤分析,該成本-利潤分析識別在該製造工具上製造將來產品的一成本和一利潤;以及基於該成本-利潤分析,決定是否在該製造工具上處理一將來產品。
  2. 如申請專利範圍第1項所述之方法,其中該加權調整該將來產品將在該製造工具上被處理的蓋然率(likelihood)。
  3. 如申請專利範圍第1項所述之方法,其中利用包含該良率預測作為一因子(factor)的一計分(scoring)演算法,來計算該成本-利潤分析。
  4. 如申請專利範圍第3項所述之方法,該方法更包括以下步驟:利用該計分演算法為製造機器計算一分派得分;為附加製造機器計算附加分派得分;以及如果針對該將來產品的該分派得分高於該等附加分派得分,則排程該將來產品以由該製造機器所處理。
  5. 一種非暫態電腦可讀取媒體,該非暫態電腦可讀取媒體 包括指令,當該等指令由一處理系統執行時,將導致該處理系統執行一方法,該方法包括以下步驟:由一排程及分派控制器接收一良率預測及一良率預測可信度,其中該良率預測係有關於一製造工具和一產品,且該良率預測可信度係有關於該良率預測;基於該良率預測及該良率預測可信度,在一演算法中調整該製造工具的一加權;計算一成本-利潤分析,該成本-利潤分析識別在該製造工具上製造將來產品的一成本和一利潤;以及基於該成本-利潤分析,決定是否在該製造工具上處理一將來產品。
  6. 如申請專利範圍第5項所述之非暫態電腦可讀取媒體,其中該加權調整該將來產品將在該製造工具上被處理的蓋然率。
  7. 如申請專利範圍第5項所述之非暫態電腦可讀取媒體,其中利用包含該良率預測作為一因子的一計分演算法,來計算該成本-利潤分析。
  8. 如申請專利範圍第7項所述之非暫態電腦可讀取媒體,該方法更包括以下步驟:利用該計分演算法為製造機器計算一分派得分;為附加製造機器計算附加分派得分;以及如果針對該將來產品的該分派得分高於該等附加分派得分,則排程該將來產品以由該製造機器所處理。
  9. 一種設備工程系統,包括: 一或更多個記憶體,以儲存用於一良率預測器及用於一排程及分派控制器之指令;以及一或更多個處理器,連結於該一或更多個記憶體,以執行該等指令;其中該良率預測器係用於形成一良率預測且針對該良率預測來計算一良率預測可信度,其中該良率預測係有關於一製造工具和一產品;以及該排程及分派控制器係用於接收該良率預測及該良率預測可信度、基於該良率預測及該良率預測可信度,在一路由演算法中調整該製造工具的一加權、計算一成本-利潤分析,該成本-利潤分析識別在該製造工具上製造將來產品的一成本和一利潤、以及基於該成本-利潤分析,決定是否在該製造工具上處理一將來產品。
  10. 如申請專利範圍第9項所述之設備工程系統,其中該加權調整該將來產品將在該製造工具上被處理的蓋然率。
  11. 如申請專利範圍第9項所述之設備工程系統,其中利用包含該良率預測作為一因子的一計分演算法,來計算該成本-利潤分析。
  12. 如申請專利範圍第11項所述之設備工程系統,更包括:該排程及分派控制器係用於利用該計分演算法為製造機器計算一分派得分、為附加製造機器計算附加分派得分、以及如果針對該將來產品的該分派得分高於該等附加分派得分,則排程該將來產品以由該製造機器所處理。
  13. 一種用於執行響應於一良率預測的自動動作的由電腦 實施之方法,該方法包括以下步驟:由一批次間(run-to-run)控制器接收一良率預測,該批次間控制器包括指明製程性能目標(performance targets)的一製程內(intra-process)批次間控制模組,其中該良率預測係有關於一製造工具、一產品或一製程中之至少一者;基於該良率預測,來藉由該製程內批次間控制模組調整與製程內批次間控制相關聯的第一參數,其中該等第一參數包括一製程配方(recipe)的處理參數,其中該批次間控制器包括指明在不同製程之間的製程間(inter-process)性能目標的一製程間批次間控制模組,其中該良率預測包括一良率預測品質值(yield prediction quality value);以及基於該良率預測及該良率預測品質值之結合,來決定針對該等第一參數的一調整,其中當該良率預測品質值減少時,一調整程度將隨著減少。
  14. 如申請專利範圍第13項所述之方法,該方法更包括以下步驟:基於該良率預測,來藉由該製程間批次間控制模組調整與製程間批次間控制相關聯的第二參數,其中該等第二參數包括製程間層級品質參數;以及響應於調整該等第二參數的步驟,而調整該等第一參數。
  15. 如申請專利範圍第14項所述之方法,其中該批次間控制器包括指明一或更多個工廠範圍(factory-wide)性能目標的一工廠範圍批次間控制模組,該方法更包括以下步驟: 基於該良率預測,來藉由該工廠範圍批次間控制模組調整與工廠範圍批次間控制相關聯的第三參數,其中該等第三參數包括工廠層級品質參數;響應於調整該等第三參數的步驟,而調整該等第二參數;以及響應於調整該等第二參數和第三參數的步驟,而調整該等第一參數。
  16. 如申請專利範圍第13項所述之方法,其中該批次間控制器包括指明一或更多個工廠範圍性能目標的一工廠範圍批次間控制模組,該方法更包括以下步驟:基於該良率預測,來藉由該工廠範圍批次間控制模組調整與工廠範圍批次間控制相關聯的第三參數,其中該等第三參數包括該製程內批次間控制模組的該等製程性能目標中之一或更多者;以及響應於調整該等第三參數的步驟,而調整該等第一參數。
  17. 如申請專利範圍第13項所述之方法,該方法更包括以下步驟:藉由一設備工程系統收集資料,該資料係有關於該製造工具或該產品中之至少一者;以及藉由應用該收集的資料於一良率預測模型,來決定針對該產品的該良率預測。
  18. 一種非暫態電腦可讀取媒體,該非暫態電腦可讀取媒體包括指令,當該等指令由一處理系統所執行時,將導致該 處理系統執行一方法,該方法包括以下步驟:由一批次間控制器接收一良率預測,該批次間控制器包括指明製程性能目標的一製程內批次間控制模組,其中該良率預測係有關於一製造工具、一產品或一製程中之至少一者;基於該良率預測,來藉由該製程內批次間控制模組調整與製程內批次間控制相關聯的第一參數,其中該等第一參數包括一製程配方的處理參數,其中該批次間控制器包括指明在不同製程之間的製程間性能目標的一製程間批次間控制模組,其中該良率預測包括一良率預測品質值;以及基於該良率預測及該良率預測品質值之結合,來決定針對該等第一參數的一調整,其中當該良率預測品質值減少時,一調整程度將隨著減少。
  19. 如申請專利範圍第18項所述之非暫態電腦可讀取媒體,更包括以下步驟:基於該良率預測,來藉由該製程間批次間控制模組調整與製程間批次間控制相關聯的第二參數,其中該等第二參數包括製程間層級品質參數;以及響應於調整該等第二參數的步驟,而調整該等第一參數。
  20. 如申請專利範圍第19項所述之非暫態電腦可讀取媒體,其中該批次間控制器包括指明一或更多個工廠範圍性能目標的一工廠範圍批次間控制模組,該方法更包括以下 步驟:基於該良率預測,來藉由該工廠範圍批次間控制模組調整與工廠範圍批次間控制相關聯的第三參數,其中該等第三參數包括工廠層級品質參數;響應於調整該等第三參數的步驟,而調整該等第二參數;以及響應於調整該等第二參數和第三參數的步驟,而調整該等第一參數。
  21. 如申請專利範圍第18項所述之非暫態電腦可讀取媒體,其中該批次間控制器包括指明一或更多個工廠範圍性能目標的一工廠範圍批次間控制模組,該方法更包括以下步驟:基於該良率預測,來藉由該工廠範圍批次間控制模組調整與工廠範圍批次間控制相關聯的第三參數,其中該等第三參數包括該製程內批次間控制模組的該等製程性能目標中之一或更多者;以及響應於調整該等第三參數的步驟,而調整該等第一參數。
  22. 如申請專利範圍第18項所述之非暫態電腦可讀取媒體,該方法更包括以下步驟:藉由一設備工程系統收集資料,該資料係有關於該製造工具或該產品中之至少一者;以及藉由應用該收集的資料於一良率預測模型,來決定針對該產品的該良率預測。
  23. 一種設備工程系統,包括:一計算裝置,該計算裝置包括一處理器以執行針對一批次間控制器的指令,其中該等指令將導致該處理器執行以下步驟:接收來自一良率預測器的一良率偏移(excursion)指示,其中該良率偏移包括一良率預測品質值,且該良率偏移係有關於一製造工具、一產品或一製程中之至少一者;識別在第一測量值和用於製程內批次間控制的製程性能目標之間的第一偏差(deviations),其中該等第一偏差係促成該良率偏移;基於該等識別的第一偏差,來調整與該製程內批次間控制相關聯的第一參數,其中該等第一參數包括一製程配方的處理參數,其中該批次間控制器包括指明在不同製程之間的製程間性能目標的一製程間批次間控制模組;以及基於該良率偏移及該良率預測品質值之結合,來決定針對該等第一參數的一調整,其中當該良率預測品質值減少時,一調整程度將隨著減少。
  24. 如申請專利範圍第23項所述之設備工程系統,更包括該等指令將導致該處理器執行以下步驟:識別在第二測量值和用於製程間批次間控制的製程間性能目標之間的第二偏差,其中該等第二偏差係促成該良率偏移;基於該等識別的第二偏差,來調整與該製程間批次間控制相關聯的第二參數,其中該等第二參數包括製程間層 級品質參數;以及響應於調整該等第二參數的步驟,而調整該等第一參數。
  25. 如申請專利範圍第24項所述之設備工程系統,更包括進一步指令以導致該處理器執行以下步驟:基於該良率偏移,來調整與工廠範圍批次間控制相關聯的第三參數,其中該等第三參數包括工廠層級品質參數;響應於調整該等第三參數的步驟,而調整該等第二參數;以及響應於調整該等第二參數和第三參數的步驟,而調整該等第一參數。
  26. 如申請專利範圍第23項所述之設備工程系統,更包括進一步指令以導致該處理器執行以下步驟:基於該良率偏移,來調整與工廠範圍批次間控制相關聯的第三參數,其中該等第三參數包括該等製程性能目標中之一或更多者;以及響應於調整該等第三參數的步驟,而調整該等第一參數。
  27. 如申請專利範圍第23項所述之設備工程系統,更包括進一步指令以導致該處理器執行以下步驟:收集有關於該製造工具或該產品中之至少一者的資料;以及轉發該資料至該良率預測器,其中該良率預測器藉由應用該收集的資料於一良率預測模型來決定針對該產品的 該良率偏移。
  28. 一種由電腦實施之方法,該方法包括以下步驟:基於收集的製程資料和與執行針對一設備工程系統的一策略引擎的一電腦裝置一起的一良率預測模型,來預測一後段良率預測及該後段良率預測的相關的可信度,其中該策略引擎監督複數個控制器,該等控制器中之每一者管理該設備工程系統的一子系統;決定是否該後段良率預測包括一良率偏移事件或包括包含有該後段良率預測的該可信度的良率偏移條件;藉由該策略引擎比較該良率偏移事件或該等良率偏移條件與複數個規則(rule);決定是否該良率偏移事件或該等良率偏移條件符合一規則;以及指示該等控制器中之一或更多者以執行包括在該規則中的一動作(action)。
  29. 如申請專利範圍第28項所述之方法,該方法更包括以下步驟:發送與該後段良率預測相關聯的資訊至該一或更多個控制器的至少一個控制器,其中該至少一個控制器使用該資訊來調整該至少一個控制器的一參數。
  30. 如申請專利範圍第29項所述之方法,其中該至少一個控制器係為一排程及分派控制器,且其中該動作將導致在一或更多個產品到一或更多個製造工具之一排程中的一調整。
  31. 如申請專利範圍第29項所述之方法,其中該至少一個控制器係為一批次間控制器,且其中該動作將導致針對一製造製程的一配方參數的一調整。
  32. 如申請專利範圍第29項所述之方法,其中該至少一個控制器係為一維護管理系統控制器,且其中該動作將導致針對與該後段良率預測相關聯的一製造工具的一維護事件被排程。
  33. 如申請專利範圍第28項所述之方法,其中該策略引擎係為一事件-條件-動作策略引擎,其中該後段良率預測包括一良率偏移事件和一或更多個良率偏移條件,且其中該規則符合該良率偏移事件和該一或更多個良率偏移條件。
  34. 如申請專利範圍第33項所述之方法,其中該良率偏移事件會發生於當該後段良率預測低於一良率臨限值(threshold),且其中該一或更多個良率偏移條件包括對後段良率偏移事件的一促成因素(contributor)和該後段良率預測的該可信度。
  35. 如申請專利範圍第28項所述之方法,其中該動作減輕針對該產品或一將來產品中之至少一者的良率損失。
  36. 如申請專利範圍第28項所述之方法,其中執行該動作的步驟將導致一更新的後段良率預測由該策略引擎所接收,該方法更包括以下步驟:藉由該策略引擎比較該更新的後段良率預測與該等複數個規則;以及指示該一或更多個控制器以執行包括在該規則或一額 外規則中之至少一者中的一額外動作。
  37. 一種非暫態電腦可讀取媒體,該非暫態電腦可讀取媒體包括指令,當該等指令由一處理系統所執行時,將導致該處理系統執行一方法,該方法包括以下步驟:基於收集的製程資料和與執行針對一設備工程系統的一策略引擎的一電腦裝置一起的一良率預測模型,來預測一後段良率預測及該後段良率預測的相關的可信度,其中該策略引擎監督複數個控制器,該等控制器中之每一者管理該設備工程系統的一子系統;決定是否該後段良率預測包括一良率偏移事件或包括包含有該後段良率預測的該可信度的良率偏移條件;藉由該策略引擎比較該良率偏移事件或該等良率偏移條件與複數個規則;決定是否該良率偏移事件或該等良率偏移條件符合一規則;以及指示該等控制器中之一或更多者以執行包括在該規則中的一動作。
  38. 如申請專利範圍第37項所述之非暫態電腦可讀取媒體,該方法更包括以下步驟:發送與該後段良率預測相關聯的資訊至該一或更多個控制器的至少一個控制器,其中該至少一個控制器使用該資訊來調整該至少一個控制器的一參數。
  39. 如申請專利範圍第38項所述之非暫態電腦可讀取媒體,其中該至少一個控制器係為一排程及分派控制器,且 其中該動作將導致在一或更多個產品到一或更多個製造工具之一排程中的一調整。
  40. 如申請專利範圍第38項所述之非暫態電腦可讀取媒體,其中該至少一個控制器係為一批次間控制器,且其中該動作將導致針對一製造製程的一配方參數的一調整。
  41. 如申請專利範圍第38項所述之非暫態電腦可讀取媒體,其中該至少一個控制器係為一維護管理系統控制器,且其中該動作將導致針對與該後段良率預測相關聯的一製造工具的一維護事件被排程。
  42. 如申請專利範圍第37項所述之非暫態電腦可讀取媒體,其中該策略引擎係為一事件-條件-動作策略引擎,其中該後段良率預測包括一良率偏移事件和一或更多個良率偏移條件,且其中該規則符合該良率偏移事件和該一或更多個良率偏移條件。
  43. 如申請專利範圍第42項所述之非暫態電腦可讀取媒體,其中該良率偏移事件會發生於當該後段良率預測低於一良率臨限值,且其中該一或更多個良率偏移條件包括對後段良率偏移事件的一促成因素和該後段良率預測的該可信度。
  44. 如申請專利範圍第37項所述之非暫態電腦可讀取媒體,其中執行該動作的步驟將導致一更新的後段良率預測由該策略引擎所接收,該方法更包括以下步驟:藉由該策略引擎比較該更新的後段良率預測與該等複數個規則;以及 指示該一或更多個控制器以執行包括在該規則或一額外規則中之至少一者中的一額外動作。
  45. 一種設備工程系統,包括:一計算裝置,該計算裝置包括一處理器以執行針對一策略引擎的指令,該策略引擎監督複數個控制器,該等控制器中之每一者管理該設備工程系統的一子系統,其中該等指令將導致該處理器執行以下步驟:基於收集的製程資料和與一良率預測器一起的一良率預測模型,來預測一後段良率預測及該後段良率預測的相關的可信度;決定是否該後段良率預測包括一良率偏移事件或包括包含有該後段良率預測的該可信度的良率偏移條件;比較該良率偏移事件或該等良率偏移條件與複數個規則;決定是否該良率偏移事件或該等良率偏移條件符合一規則;以及指示該等控制器中之一或更多者以執行包括在該規則中的一動作。
  46. 如申請專利範圍第45項所述之設備工程系統,更包括進一步指令以導致該處理器執行以下步驟:發送與該後段良率預測相關聯的資訊至該一或更多個控制器的至少一個控制器,其中該至少一個控制器使用該資訊來調整該至少一個控制器的一參數。
  47. 如申請專利範圍第46項所述之設備工程系統,其中該 至少一個控制器係為一排程及分派控制器,且其中該動作將導致在一或更多個產品到一或更多個製造工具之一排程中的一調整。
  48. 如申請專利範圍第46項所述之設備工程系統,其中該至少一個控制器係為一批次間控制器,且其中該動作將導致針對一製造製程的一配方參數的一調整。
  49. 如申請專利範圍第46項所述之設備工程系統,其中該至少一個控制器係為一維護管理系統控制器,且其中該動作將導致針對與該後段良率預測相關聯的一製造工具的一維護事件被排程。
  50. 如申請專利範圍第45項所述之設備工程系統,其中該策略引擎係為一事件-條件-動作策略引擎,其中該後段良率預測包括一良率偏移事件和一或更多個良率偏移條件,且其中該規則符合該良率偏移事件和該一或更多個良率偏移條件。
  51. 如申請專利範圍第50項所述之設備工程系統,其中該良率偏移事件會發生於當該後段良率預測低於一良率臨限值,且其中該一或更多個良率偏移條件包括對後段良率偏移事件的一促成因素和該後段良率預測的該可信度。
  52. 如申請專利範圍第45項所述之設備工程系統,其中該動作減輕針對該產品或一將來產品中之至少一者的良率損失。
  53. 如申請專利範圍第45項所述之設備工程系統,其中該動作之執行將導致一更新的後段良率預測由該處理器所接 收,且其中該等指令更將導致該處理器執行以下步驟:比較該更新的後段良率預測與該等複數個規則;以及指示該一或更多個控制器以執行包括在該規則或一額外規則中之至少一者中的一額外動作。
TW098107428A 2008-03-06 2009-03-06 用於控制設備工程系統之良率預測反饋之方法、系統、及記錄相關指令的非暫態電腦可讀取媒體 TWI459169B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US6865208P 2008-03-06 2008-03-06
US5595408P 2008-05-23 2008-05-23
US12/210,989 US7974723B2 (en) 2008-03-06 2008-09-15 Yield prediction feedback for controlling an equipment engineering system

Publications (2)

Publication Number Publication Date
TW200938976A TW200938976A (en) 2009-09-16
TWI459169B true TWI459169B (zh) 2014-11-01

Family

ID=41054477

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098107428A TWI459169B (zh) 2008-03-06 2009-03-06 用於控制設備工程系統之良率預測反饋之方法、系統、及記錄相關指令的非暫態電腦可讀取媒體

Country Status (5)

Country Link
US (3) US7974723B2 (zh)
KR (1) KR101582960B1 (zh)
CN (2) CN107479509B (zh)
TW (1) TWI459169B (zh)
WO (1) WO2009111134A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10413984B2 (en) 2016-12-08 2019-09-17 Metal Industries Research & Development Centre Method for predicting precision of electrical discharge machine
TWI750303B (zh) * 2017-01-24 2021-12-21 美商蘭姆研究公司 使用晶圓之前饋臨界尺寸數據以預測其他臨界尺寸的虛擬量測系統及方法
TWI815202B (zh) * 2021-10-25 2023-09-11 財團法人工業技術研究院 效率影響因子判定方法及裝置

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8357286B1 (en) 2007-10-29 2013-01-22 Semcon Tech, Llc Versatile workpiece refining
EP2248099B1 (en) * 2008-02-22 2019-04-10 Murata Machinery, Ltd. Vao productivity suite
EP3650963B1 (en) 2008-05-02 2023-01-04 AVEVA Software, LLC Method for maintaining unified access to scada and manufacturing execution system (mes) information
US8335582B2 (en) * 2008-05-19 2012-12-18 Applied Materials, Inc. Software application to analyze event log and chart tool fail rate as function of chamber and recipe
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US8136068B2 (en) * 2008-09-30 2012-03-13 Cadence Design Systems, Inc. Methods, systems, and computer program products for implementing compact manufacturing models in electronic design automation
US8055375B2 (en) * 2008-09-30 2011-11-08 Rockwell Automation Technologies, Inc. Analytical generator of key performance indicators for pivoting on metrics for comprehensive visualizations
US8527080B2 (en) * 2008-10-02 2013-09-03 Applied Materials, Inc. Method and system for managing process jobs in a semiconductor fabrication facility
JP5253517B2 (ja) * 2008-12-24 2013-07-31 キヤノンアネルバ株式会社 真空処理装置のデータ収集システム
US8989887B2 (en) * 2009-02-11 2015-03-24 Applied Materials, Inc. Use of prediction data in monitoring actual production targets
TWI389050B (zh) * 2009-03-11 2013-03-11 Inotera Memories Inc 尋找產品良率與預防維護兩者間之關聯性的方法
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
US9323234B2 (en) * 2009-06-10 2016-04-26 Fisher-Rosemount Systems, Inc. Predicted fault analysis
US8302052B2 (en) * 2009-06-23 2012-10-30 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing hotspot detection, repair, and optimization of an electronic circuit design
US20110166683A1 (en) * 2010-01-07 2011-07-07 International Business Machines Corporation Real Time WIP Optimizer
US8623672B2 (en) * 2010-02-19 2014-01-07 Applied Materials, Inc. Prediction and scheduling server
US8606386B2 (en) * 2010-03-12 2013-12-10 Ana Maria Dias Medureira Pereira Multi-agent system for distributed manufacturing scheduling with Genetic Algorithms and Tabu Search
TWI412906B (zh) * 2010-04-13 2013-10-21 Univ Nat Cheng Kung 具有虛擬量測功能的製造執行系統與製造系統
CN102254788B (zh) * 2010-05-19 2014-08-20 国立成功大学 具有虚拟测量功能的制造执行系统与制造系统
TWI427722B (zh) * 2010-08-02 2014-02-21 Univ Nat Cheng Kung 使用具有信心指標之虛擬量測的先進製程控制系統與方法及其電腦程式產品
US9471891B2 (en) * 2010-09-24 2016-10-18 Nulogy Corporation Method, system and apparatus for automatic quality control using a plurality of computers
WO2012043217A1 (ja) 2010-09-28 2012-04-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 複数の要素をグループ化する方法、プログラムおよび装置
KR20120064427A (ko) * 2010-12-09 2012-06-19 삼성전자주식회사 반도체 공정 산포의 제어 방법
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
JP5691575B2 (ja) * 2011-02-03 2015-04-01 富士通株式会社 故障解析プログラム,故障解析装置および故障解析方法
DE102011013102A1 (de) * 2011-03-04 2012-09-06 Robert Bosch Gmbh Verfahren zur Optimierung der Nebenzeiten in Produktionsabläufen von Werkzeugmaschinen
TWI447605B (zh) * 2011-06-22 2014-08-01 Inotera Memories Inc 半導體製程之失效偵測方法及執行此方法之系統架構
TWI754253B (zh) * 2011-08-01 2022-02-01 以色列商諾發測量儀器股份有限公司 控制半導體裝置的製造的方法及系統
US9129076B2 (en) 2011-09-05 2015-09-08 United Microelectronics Corp. Hierarchical wafer yield prediction method and hierarchical lifetime prediction method
US8793106B2 (en) * 2011-09-23 2014-07-29 International Business Machines Corporation Continuous prediction of expected chip performance throughout the production lifecycle
US9551983B2 (en) 2011-11-15 2017-01-24 Rockwell Automation Technologies, Inc. Activity set management in a Manufacturing Execution System
US9953280B2 (en) 2011-11-15 2018-04-24 Rockwell Automation Technologies, Inc. Industry-specific workflows in a manufacturing execution system with premier integration
US9588503B2 (en) 2011-11-15 2017-03-07 Rockwell Automation Technologies, Inc. Routing of enterprise resource planning messages
US9250619B2 (en) * 2011-12-06 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of automatic boundary control for semiconductor processes
US9254583B2 (en) 2012-01-23 2016-02-09 Quipip, Llc Systems, methods and apparatus for providing comparative statistical information for a plurality of production facilities in a closed-loop production management system
US9836801B2 (en) 2012-01-23 2017-12-05 Quipip, Llc Systems, methods and apparatus for providing comparative statistical information in a graphical format for a plurality of markets using a closed-loop production management system
US9275334B2 (en) 2012-04-06 2016-03-01 Applied Materials, Inc. Increasing signal to noise ratio for creation of generalized and robust prediction models
CN103092074B (zh) * 2012-12-30 2015-09-09 重庆邮电大学 半导体先进过程控制的参数优化控制方法
US20140214192A1 (en) * 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US9671779B2 (en) * 2013-03-15 2017-06-06 Applied Materials, Inc. Method and system for filtering lot schedules using a previous schedule
US11055450B2 (en) * 2013-06-10 2021-07-06 Abb Power Grids Switzerland Ag Industrial asset health model update
US10534361B2 (en) 2013-06-10 2020-01-14 Abb Schweiz Ag Industrial asset health model update
CN103336518B (zh) * 2013-07-08 2016-03-30 上海华力微电子有限公司 从外部辅助分析系统取得量测数据的方法
US9910429B2 (en) * 2013-09-03 2018-03-06 The Procter & Gamble Company Systems and methods for adjusting target manufacturing parameters on an absorbent product converting line
US10184928B2 (en) 2014-01-29 2019-01-22 Quipip, Llc Measuring device, systems, and methods for obtaining data relating to condition and performance of concrete mixtures
US20150213459A1 (en) * 2014-01-29 2015-07-30 Farrokh F. Radjy Systems, methods and apparatus for providing a graphical representation of statistical performance and benchmarking data for one or more production facilities in a closed-loop production management system
US10691215B2 (en) 2014-01-31 2020-06-23 Nanotronics Imaging, Inc. Apparatus and method for manipulating objects with gesture controls
TWI513900B (zh) * 2014-02-14 2015-12-21 Nat Univ Tsing Hua Control Method of Compressed Air Production in Air Compressor Station
US9194855B2 (en) 2014-02-28 2015-11-24 Quipip, Llc Systems, methods and apparatus for providing to a driver of a vehicle carrying a mixture real-time information relating to a characteristic of the mixture
US9470743B2 (en) * 2014-03-04 2016-10-18 Nvidia Corporation Dynamic yield prediction
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
CA2975337C (en) 2015-01-30 2023-08-29 Quipip, Llc Systems, apparatus and methods for testing and predicting the performance of concrete mixtures
US20160342147A1 (en) 2015-05-19 2016-11-24 Applied Materials, Inc. Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
TWI594835B (zh) 2015-11-06 2017-08-11 財團法人工業技術研究院 加工設備的健康評估方法與健康評估裝置
DE102015015040A1 (de) 2015-11-12 2017-05-18 Schneider Gmbh & Co. Kg Verfahren, Anlage und System zur Bearbeitung optischer Linsen
JP6328600B2 (ja) * 2015-11-20 2018-05-23 ファナック株式会社 推奨保守通知システム
US10817800B2 (en) * 2016-01-20 2020-10-27 Robert Bosch Gmbh Value addition dependent data mining techniques for assembly lines
CN108885443A (zh) * 2016-02-25 2018-11-23 东芝三菱电机产业系统株式会社 制造设备的异常诊断装置
US11914349B2 (en) 2016-05-16 2024-02-27 Jabil Inc. Apparatus, engine, system and method for predictive analytics in a manufacturing system
DE102016007837A1 (de) * 2016-05-25 2017-11-30 Schneider Gmbh & Co. Kg Verfahren und System zur Bearbeitung optischer Linsen
CN109643085B (zh) * 2016-08-23 2022-05-10 埃森哲环球解决方案有限公司 实时工业设备生产预测和操作优化
EP3290911A1 (en) 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US20180087790A1 (en) * 2016-09-28 2018-03-29 Johnson Controls Technology Company Systems and methods for automatically creating and using adaptive pca models to control building equipment
US10495334B2 (en) 2016-09-28 2019-12-03 Johnson Controls Techology Company Systems and methods for steady state detection
TWI625615B (zh) * 2016-11-29 2018-06-01 財團法人工業技術研究院 預測模型建立方法及其相關預測方法與電腦程式產品
US10996658B1 (en) * 2017-01-05 2021-05-04 6Th Street, Inc. Dynamically reallocating state machines
US10929808B2 (en) * 2017-01-17 2021-02-23 International Business Machines Corporation Estimation of node processing capacity for order fulfillment
DE102017001680A1 (de) 2017-02-22 2018-08-23 Schneider Gmbh & Co. Kg Anlage und Verfahren zur Bearbeitung optischer Linsen
US11209809B2 (en) * 2017-08-04 2021-12-28 Toshiba Mitsubishi-Electric Industrial Systems Corporation Data reproduction device for industrial plant
US10783290B2 (en) * 2017-09-28 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. IC manufacturing recipe similarity evaluation methods and systems
JP7229686B2 (ja) * 2017-10-06 2023-02-28 キヤノン株式会社 制御装置、リソグラフィ装置、測定装置、加工装置、平坦化装置及び物品製造方法
TWI663569B (zh) * 2017-11-20 2019-06-21 財團法人資訊工業策進會 多工作站系統的品質預測方法及其系統
US10579041B2 (en) * 2017-12-01 2020-03-03 Applied Materials, Inc. Semiconductor process control method
US10976712B2 (en) * 2018-02-05 2021-04-13 Honeywell International Inc. Method and system to provide cost of lost opportunity to operators in real time using advance process control
US11084225B2 (en) 2018-04-02 2021-08-10 Nanotronics Imaging, Inc. Systems, methods, and media for artificial intelligence process control in additive manufacturing
CN108415393A (zh) * 2018-04-19 2018-08-17 中江联合(北京)科技有限公司 一种砷化镓产品质量一致性控制方法及系统
US10698392B2 (en) * 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
DE102018120839A1 (de) * 2018-08-27 2020-02-27 Endress+Hauser Process Solutions Ag Verfahren zum Überwachen einer Messstelle in einer Anlage der Prozessautomatisierung
US20200081422A1 (en) * 2018-09-12 2020-03-12 Samsung Electronics Co., Ltd. Methods and systems for predicting health of products in a manufacturing process
DE102018216574A1 (de) * 2018-09-27 2020-04-02 Thyssenkrupp Ag Verfahren und System zur Wartung einer Produktionsanlage
CN111190393B (zh) * 2018-11-14 2021-07-23 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
EP3745333A1 (en) * 2019-05-29 2020-12-02 Siemens Aktiengesellschaft Method and system for managing a manufacturing process in a technical installation
CN110428116B (zh) * 2019-06-05 2023-08-01 武汉科技大学 基于能源、环境和经济的再制造系统可持续性测定系统
US11156991B2 (en) 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
CN112306003A (zh) * 2019-07-26 2021-02-02 宁波舜宇智能科技有限公司 加工装置协同控制方法、系统、可读存储介质和设备
EP4028228A4 (en) 2019-09-10 2023-09-27 Nanotronics Imaging, Inc. SYSTEMS, METHODS AND MEDIA FOR MANUFACTURING PROCESSES
TWI736999B (zh) * 2019-10-07 2021-08-21 財團法人資訊工業策進會 資料分析裝置、資料分析方法以及與其相關的品質提升系統
US11100221B2 (en) 2019-10-08 2021-08-24 Nanotronics Imaging, Inc. Dynamic monitoring and securing of factory processes, equipment and automated systems
US11086988B1 (en) 2020-02-28 2021-08-10 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
CN115349109A (zh) * 2020-04-02 2022-11-15 Asml荷兰有限公司 用于预测与过程相关联的过程度量的方法和设备
EP3901700A1 (en) * 2020-04-20 2021-10-27 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
US11371148B2 (en) * 2020-08-24 2022-06-28 Applied Materials, Inc. Fabricating a recursive flow gas distribution stack using multiple layers
CN112904821A (zh) * 2021-01-28 2021-06-04 上海华力微电子有限公司 一种基于遗传算法模型的数据分析方法及装置
TWI815123B (zh) * 2021-05-24 2023-09-11 賴煜勲 運用人工智慧之巨量資料分析平台及其運作方法
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
CN113625787B (zh) * 2021-07-19 2024-05-14 富联裕展科技(深圳)有限公司 应用于清洁系统的控制装置、控制方法及清洁系统
CN115639786A (zh) * 2021-07-19 2023-01-24 长鑫存储技术有限公司 调度路径确定、晶圆调度方法、装置、设备及存储介质
TWI815173B (zh) * 2021-08-26 2023-09-11 力晶積成電子製造股份有限公司 半導體製程的生產排程估測方法以及系統
WO2023091784A2 (en) * 2021-11-22 2023-05-25 Jabil Inc. Apparatus, engine, system and method for predictive analytics in a manufacturing system
CN114384877B (zh) * 2021-12-27 2023-03-21 广州蓝海机器人系统有限公司 一种光伏行业智慧车间制造系统及方法
TWI829084B (zh) * 2022-01-28 2024-01-11 致揚科技股份有限公司 監控系統
CN114706363B (zh) * 2022-06-08 2022-08-23 湖南三湘银行股份有限公司 基于过程优化的质量管理平台
CN115016421A (zh) * 2022-07-27 2022-09-06 重庆大学 航天火工品装压药柔性制造的批间质量控制方法
CN114971433B (zh) * 2022-08-01 2022-10-25 中国工业互联网研究院 基于工业互联网的质量管控方法、装置、设备及存储介质
CN116679643A (zh) * 2023-06-02 2023-09-01 广州市顺风船舶服务有限公司 一种基于区块链技术的工业设备控制系统
CN117311294B (zh) * 2023-11-28 2024-02-23 张家港大裕橡胶制品有限公司 基于云边融合的橡胶手套生产设备自决策控制方法
CN117689086B (zh) * 2024-02-02 2024-04-26 山东国泰民安玻璃科技有限公司 一种中硼硅玻璃瓶的生产参数优化方法、设备及介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5402350A (en) * 1991-06-28 1995-03-28 Texas Instruments Incorporated Scheduling for multi-task manufacturing equipment
US6947803B1 (en) * 2002-09-27 2005-09-20 Advanced Micro Devices, Inc. Dispatch and/or disposition of material based upon an expected parameter result
TW200643669A (en) * 2005-04-05 2006-12-16 Toshiba Kk Quality control system, quality control method, and method of lot-to-lot wafer processing

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950034648A (ko) 1994-05-25 1995-12-28 김광호 반도체장치의 제조방법
US6449749B1 (en) 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6834375B1 (en) 1999-11-18 2004-12-21 Pdf Solutions, Inc. System and method for product yield prediction using a logic characterization vehicle
US6470229B1 (en) 1999-12-08 2002-10-22 Yield Dynamics, Inc. Semiconductor yield management system and method
US7502758B2 (en) * 2001-09-12 2009-03-10 Every Penny Counts, Inc. Creation and distribution of excess funds, deposits, and payments
US6965895B2 (en) * 2001-07-16 2005-11-15 Applied Materials, Inc. Method and apparatus for analyzing manufacturing data
US6751519B1 (en) * 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US7401066B2 (en) * 2002-03-21 2008-07-15 Applied Materials, Inc. Correlation of end-of-line data mining with process tool data mining
US6799311B1 (en) 2002-06-27 2004-09-28 Advanced Micro Devices, Inc. Batch/lot organization based on quality characteristics
CN100428401C (zh) * 2004-06-14 2008-10-22 中芯国际集成电路制造(上海)有限公司 用于半导体器件的成品率相似性的方法和系统
US20060036345A1 (en) * 2004-08-09 2006-02-16 An Cao Systems and method for lights-out manufacturing
US7206652B2 (en) * 2004-08-20 2007-04-17 International Business Machines Corporation Method and system for intelligent automated reticle management
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
US7657339B1 (en) * 2005-10-14 2010-02-02 GlobalFoundries, Inc. Product-related feedback for process control
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP2007194484A (ja) * 2006-01-20 2007-08-02 Toshiba Corp 液浸露光方法
US7894926B2 (en) 2006-09-22 2011-02-22 Pdf Solutions, Inc. Global predictive monitoring system for a manufacturing facility
US7502658B1 (en) * 2008-02-19 2009-03-10 International Business Machines Corporation Methods of fabricating optimization involving process sequence analysis
US8095230B2 (en) * 2008-06-24 2012-01-10 International Business Machines Corporation Method for optimizing the routing of wafers/lots based on yield

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5402350A (en) * 1991-06-28 1995-03-28 Texas Instruments Incorporated Scheduling for multi-task manufacturing equipment
US6947803B1 (en) * 2002-09-27 2005-09-20 Advanced Micro Devices, Inc. Dispatch and/or disposition of material based upon an expected parameter result
TW200643669A (en) * 2005-04-05 2006-12-16 Toshiba Kk Quality control system, quality control method, and method of lot-to-lot wafer processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10413984B2 (en) 2016-12-08 2019-09-17 Metal Industries Research & Development Centre Method for predicting precision of electrical discharge machine
TWI750303B (zh) * 2017-01-24 2021-12-21 美商蘭姆研究公司 使用晶圓之前饋臨界尺寸數據以預測其他臨界尺寸的虛擬量測系統及方法
TWI815202B (zh) * 2021-10-25 2023-09-11 財團法人工業技術研究院 效率影響因子判定方法及裝置

Also Published As

Publication number Publication date
US20110166684A1 (en) 2011-07-07
CN107479509B (zh) 2019-11-15
US20110166688A1 (en) 2011-07-07
CN101965569A (zh) 2011-02-02
US7974723B2 (en) 2011-07-05
US8612043B2 (en) 2013-12-17
TW200938976A (en) 2009-09-16
US20090228129A1 (en) 2009-09-10
CN107479509A (zh) 2017-12-15
KR20100135784A (ko) 2010-12-27
WO2009111134A2 (en) 2009-09-11
CN101965569B (zh) 2017-05-03
WO2009111134A3 (en) 2009-11-05
US8774956B2 (en) 2014-07-08
KR101582960B1 (ko) 2016-01-06

Similar Documents

Publication Publication Date Title
TWI459169B (zh) 用於控制設備工程系統之良率預測反饋之方法、系統、及記錄相關指令的非暫態電腦可讀取媒體
US10409231B2 (en) Methods and apparatuses for utilizing adaptive predictive algorithms and determining when to use the adaptive predictive algorithms for virtual metrology
US8983644B2 (en) Manufacturing execution system with virtual-metrology capabilities and manufacturing system including the same
US20210116898A1 (en) Matching process controllers for improved matching of process
Kumar et al. A review of yield modelling techniques for semiconductor manufacturing
US8620468B2 (en) Method and apparatus for developing, improving and verifying virtual metrology models in a manufacturing system
US11022968B2 (en) Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
Moyne et al. Yield management enhanced advanced process control system (YMeAPC)—Part I: Description and case study of feedback for optimized multiprocess control
Kim et al. Wafer edge yield prediction using a combined long short-term memory and feed-forward neural network model for semiconductor manufacturing
Chien et al. Decision-based virtual metrology for advanced process control to empower smart production and an empirical study for semiconductor manufacturing
KR20230104540A (ko) 반도체 제작 장비를 위한 예측 유지 보수
Chien et al. Industry 3.5 framework of an advanced intelligent manufacturing system: Case studies from semiconductor intelligent manufacturing
US20130080372A1 (en) Architecture and methods for tool health prediction
Chien et al. Bayesian decision analysis for optimizing in-line metrology and defect inspection strategy for sustainable semiconductor manufacturing and an empirical study
US20230052392A1 (en) Process abnormality identification using measurement violation analysis
TW202347062A (zh) 用於半導體廠房中之等待時間預測之方法
Turner et al. Heiko Aydt School of Computer Engineering Nanyang Technological University Nanyang Avenue, Singapore 639798