TWI428990B - 形成防護環或接觸至絕緣體上矽(soi)基板之方法 - Google Patents

形成防護環或接觸至絕緣體上矽(soi)基板之方法 Download PDF

Info

Publication number
TWI428990B
TWI428990B TW097123642A TW97123642A TWI428990B TW I428990 B TWI428990 B TW I428990B TW 097123642 A TW097123642 A TW 097123642A TW 97123642 A TW97123642 A TW 97123642A TW I428990 B TWI428990 B TW I428990B
Authority
TW
Taiwan
Prior art keywords
layer
opening
adjacent
top surface
conductive
Prior art date
Application number
TW097123642A
Other languages
English (en)
Other versions
TW200924070A (en
Inventor
Bryant C Colwill
Amanda L Tessier
Brian L Tessier
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200924070A publication Critical patent/TW200924070A/zh
Application granted granted Critical
Publication of TWI428990B publication Critical patent/TWI428990B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

形成防護環或接觸至絕緣體上矽(SOI)基板之方法
本發明係關於微電子裝置及其製造。
於一般半導體基板,電晶體之主動半導體區域置於相反摻雜塊狀半導體區域之井區域中。於此類結構,井及塊狀半導體區域間之接面電容會影響效能。為增加效能,一些微電子裝置具有主動半導體區域於絕緣體上矽(“SOI”)基板之SOI層中。SOI層藉由例如埋式氧化物(“BOX”)層或其他介電層之絕緣層與基板之主或”塊狀”半導體區域分隔。絕緣層藉由去除於SOI層與塊狀半導體區域間之接面電容而改善性能。
然而,SOI基板較一般半導體基板更為複雜。需要更複雜的程序形成裝置,並確保其於使用期間維持功能性。尤其是SOI基板需要導電介層通過BOX層並接觸塊狀半導體區域。於此方式,SOI基板作為裝置的共用節點或接地節點。圖1顯示習知接觸結構,其中導電介層10延伸過受應力的氮化矽層12,且透過覆蓋有矽化物層44之多晶矽栓塞14電性地連接SOI基板20之塊狀半導體區域16。
習知接觸結構之一缺點為僅為形成接觸之目的需要許多製程步驟。為了形成接觸結構,SOI基板20(圖2)具 有溝渠隔離區域24置於其中及氮化物層22置於其上,且覆蓋有光可造影層26,例如光阻層,然後圖案化形成開口28於溝渠隔離區域24之邊界內,如圖2所示。如圖3所示,根據光阻層26圖案化開口30於溝渠隔離區域24及基板之BOX層18,使得塊狀半導體區域16暴露於開口中。如圖4所示,移除光阻,然後以多晶矽層32填塞於溝渠隔離區域24及BOX層18中之開口,以接觸塊狀半導體區域16。然後降低接觸塊半導體區域16之多晶矽填充物32之高度,並平坦化至溝渠隔離區域24及墊氮化物層22之主表面34(圖5)。如圖6所示,然後移除墊氮化物層,其中多晶矽栓塞32可向上突出於基板之主動半導體區域42上方。可形成例如場效電晶體40之裝置,其具有通道區域41置於主動半導體區域42中。再次參考圖1,然後矽化物區域44及45形成於多晶矽栓塞14頂上及FET 40之閘電極頂上,之後形成層內介電層46。然後形成導電介層10及50,其延伸過層內介電層46及受應力的氮化物層12以接觸矽化物層44及45。
於此習知方法中,關於圖3、4、及5所示之程序之單一目的為形成多晶矽栓塞14,其構成導電結構接觸塊狀半導體區域16之部份。因此,希望降低形成導電接觸結構之處理量。
根據本發明一實施例,提供一種形成導電介層接觸絕 緣體上半導體(“SOI”)基板之塊狀半導體區域之方法。形成第一開口於覆於溝渠隔離區域之共形層中。溝渠隔離區域可與基板之SOI層共享邊緣。較佳地,沉積介電層於共形層之頂表面及溝渠隔離區域上方。然後可形成第二開口,其延伸過介電層及共形層中之第一開口。較佳地,使得部份的塊狀半導體區域及共形層之頂表面暴露於第二開口內。然後可以金屬或半導體之至少其中之一填塞第二開口,以形成導電元件接觸暴露部份的塊狀半導體區域及共形層之頂表面。
根據本發明另一實施例,提供一種微電子結構,其可包含接觸絕緣體上半導體(“SOI”)基板之塊狀半導體區域之導電元件。溝渠隔離區域可覆於埋式介電層。溝渠隔離區域可與SOI層共享邊緣。較佳地,共形層覆於溝渠隔離區域。共形層可具有頂表面及開口,其界定自頂表面朝溝渠隔離區域延伸之壁。共形層之頂表面可包含一唇部鄰近該壁。較佳地,介電層覆於共形層之頂表面。較佳地,導電元件與塊狀半導體區域導電通訊。導電元件可實質由例如半導體、金屬、金屬導電化合物之至少其中之一所構成。導電元件可延伸過介電層、共形層中之開口、溝渠隔離區域以及埋式介電層。較佳地,導電元件接觸該共形層之唇部。
於此描述微電子結構,例如半導體晶片,其包含防護 環或導電介層接觸SOI基板之塊狀半導體區域,以及描述形成此類結構之方法。圖7A顯示基板70之平面圖,例如晶圓或部份的晶圓,其包含複數個個別區域74。各區域74典型地代表微電子結構,例如具有積體電路於其上之半導體晶片或部份的此類晶片。線76指示區域的邊界。於一範例,線76指示切割道的位置,於此區域74將被分開成個別的晶片。導電防護環80於區域74之區域72周圍畫線或至少實質包圍區域74之區域72,例如個別晶片之區域72或小於個別晶片面積之區域。防護環可作用為傳輸離子或水氣之阻障層,有益於其所包圍之微電子裝置之可靠度。由防護環所包圍之區域72典型地代表晶片的裝置區域,例如含有微電子裝置之區域。完成之微電子晶片之防護環係藉由額外地上方金屬介層環及佈線而完成,其覆蓋於圖7D之防護環110上,且延伸過提供於微電子晶片上之大部份或所有的佈線層厚度。
一區域74之片段78詳細顯示於圖7B之平面圖。如於此所示,防護環80鄰近切割道76延伸於方向86,88。防護環80製造成接觸SOI基板之塊狀半導體區域,將如下詳細說明。導電介層82,84接觸基板SOI層之裝置區域72中微電子裝置之一或多個主動半導體區域。
選替地,如圖7C所示,除了防護環,可以導電介層81形式提供導電元件,其接觸SOI基板之塊狀半導體區域。如圖7C所示,導電介層81可位於鄰近圖7C所示之 切割道76。選替地,導電介層81可位於其他地方,例如嵌於裝置區域72內,或於防護環80所包圍之區域內(圖7B)。
圖7D顯示根據本發明實施例具有導電元件110(例如防護環或導電基板接觸介層)之微電子結構之詳細截面圖。為便於參照,導電元件將描述為防護環。然而,以下導電元件的描述及其製造亦可應用於導電基板接觸介層81(圖7C)。
防護環110與SOI基板120之塊狀半導體區域116導電通訊。SOI基板典型地包含絕緣體上矽結構,其具有單晶矽裝置層108,且藉由埋式氧化物(“BOX”)層118與塊狀半導體區域116分隔。裝置層108可實質由矽構成,或可包含矽的合金,於眾多事物中如矽鍺、矽碳,或裝置層可包含部份實質由矽構成且另一部份實質由矽合金構成。第一溝渠隔離區域124分隔晶片鄰接切割道76之邊緣區域以及含有微電子裝置(例如n型場效電晶體(NFET)140及p型場效電晶體(PFET)150)之裝置區域。至少第一共形層126及較佳地第二共形層132覆於基板之主動半導體層108(SOI層)及溝渠隔離區域124、224上。
共形層之頂表面129鄰近層內介電層114。由上至下依序地,防護環110覆於且較佳地於頂表面129接觸共形層126之唇部128。防護環110延伸過層內介電(“ILD”)層 114、溝渠隔離區域124、以及SOI基板之BOX層118。進一步如圖7D所示,第一共形層126覆於NFET 140上方。典型地,共形層126包含內部受應力介電材料。舉例而言,第一共形層126可包含具有內部應力之氮化矽層。內部應力的大小通常大於0.5 Gpa。共形層126可具有拉伸或壓縮之內部應力。較佳地,共形層126具有大小大於約1.0Gpa之拉伸應力,以施加有益的拉伸應力給NFET的通道區域。
進一步如圖7D所示,間隙壁127可沿第一共形層126之壁設置。典型地,間隙壁127藉由圖案化覆於PFET 150上之第二共形層132之程序而形成。共形層132亦可包含內部受應力之氮化矽層。較佳地,共形層132具有大小大於約1.0Gpa之壓縮應力,以施加有益的壓縮應力給PFET的通道區域。
NFET 140及PFET 150分別具有主動半導體區域142及152,位於基板120之SOI層108。主動半導體區域由第二溝渠隔離區域224所分隔。第一接觸介層120延伸過第一共形層126,以接觸矽化物層144與NFET之主動半導體區域142導電通訊。第二接觸介層212延伸過第二共形層132,以接觸PFET 150之閘極導體之矽化物層156。典型地,亦可呈現其他導電介層(未繪示),其延伸過ILD層114及第一與第二共形層126,132,以分別接觸NFET之閘極導體之矽化物層146及接觸覆於PFET之主動半導 體區域152上之矽化物層154。
現將描述製造圖7A-7B及7D(或選替地圖7C-7D)所示之微電子結構之方法。於如圖8所示之製造初始階段,第二溝渠隔離區域224將基板之SOI層108之主動半導體區域142,152彼此分隔。第一溝渠隔離區域124分隔主動半導體區域142與SOI層之另一半導體區域162,例如靠近切割道76之半導體區域或晶片之邊緣區域。
如圖9所示,微電子裝置形成於個別的主動半導體區域。舉例而言,可形成具有通道區域於主動半導體區域142中之NFET 140,且可形成具有通道區域於主動半導體區域152中之PFET 150。形成矽化物區域146,156,其提供到各電晶體之閘極導體的低接觸阻抗連接,而矽化物區域144,154分別提供到主動半導體區域142,152的低接觸阻抗連接。
接著如圖10所示,沉積第一共形層126(例如拉伸應力氮化矽層)以覆於PFET及NFET、溝渠隔離區域124,224及半導體區域162之上。然後沉積例如二氧化矽層之硬遮罩層164,以覆蓋第一共形層126。如圖11所示,藉由光學微影圖案化形成光阻遮罩層170,然後圖案化硬遮罩層164及第一共形層126,以形成開口128於溝渠隔離區域124上方及一開口於PFET上方。
之後,如圖12所示,移除光阻遮罩層並沉積第二共形層132於包含PFET 150、NFET 140、第一共形層126、硬遮罩層164之結構上方,並於開口128內。然後如圖13所示,形成另一光阻遮罩層180,其後選擇性地移除第二共形層132暴露的部份。舉例而言,可使用選擇性攻擊氮化矽之蝕刻程序,以相對於氧化物硬遮罩層164而移除第二共形氮化矽層132。此製程導致沿第一共形層126之壁130形成間隙壁127,而間隙壁127包含蝕刻第二共形層132之剩餘材料。
之後可移除氧化物層164,使得部份的氧化物層164僅殘留於溝渠隔離區域224上方之第一共形氮化物層126上。於此如圖13所示,氧化物層164可夾心於第一共形層126及重疊之第二共形層132之間。
再次參考圖7D,為完成結構,沉積ILD層114於結構上,然後於ILD層圖案化接觸孔於對應導電介層110、210及212的位置。ILD層114典型包括或實質由氧化矽構成,其可為摻雜的或可為不摻雜的,例如摻雜的矽酸鹽玻璃。藉由形成光阻遮罩開口並據其蝕刻,形成對應防護環110之開口,其延伸過ILD層、溝渠隔離區域124、及BOX層。於一範例,ILD層、溝渠隔離區域124、及BOX層各實質由氧化矽構成。於蝕刻製程期間,條件較佳根據形成將形成介層210、212之接觸孔所需之條件控制。舉例而言,控制蝕刻製程條件以容許足夠量的時間形成延伸 過第一及第二共形層126、132之開口,不論開口出現在晶圓何處。於此條件下,然後蝕刻製程將足以形成對應介層110之接觸孔。
對應防護環110之開口可於與形成介層210、212之接觸孔不同的條件下形成。舉例而言,對應防護環110之開口可藉由選擇性保護呈現於共形層126、132之氮化矽材料之製程來形成。以此方式,保護了共形層126頂表面之唇部128,其鄰近間隙壁127及溝渠隔離區域124中之開口。然後可形成防護環,使其接觸或直接位於共形氮化物層之唇部128。於ILD層中對應介層210、220位置之開口亦可同時地形成,此開口暴露個別氮化矽層126、132之頂表面。
為形成其中將沉積導電介層210、212的孔,可利用另一製程蝕刻過氮化矽層126、132,暴露個別的矽化物層144、156。然後可沉積半導體、金屬、導電金屬化合物、或這些材料的組合,以填塞接觸孔,因而形成如圖7D所示之防護環110及導電介層210及212。半導體可包含多晶半導體,例如多晶矽或其他多晶半導體或非晶半導體材料。導電金屬化合物包含如矽化物、導電金屬氮化物等,或其組合。
圖14顯示上述實施例(圖7D)之變化,其中導電元件310(即如上所述之導電介層或防護環(圖7B-7C))接觸壓縮 應力氮化物層332之唇部328,而非拉伸應力氮化物層。
為製造導電元件310,變化於一光罩之圖案使得所致的光阻圖案370(圖15)暴露大部份的STI區域124,而藉由光阻保護NFET上方之拉伸應力氮化物層326及氧化物硬遮罩層364。之後,沉積壓縮應力氮化物層332(圖16),接觸STI區域124及矽化物層382或其他鄰近STI區域位於主動半導體區域384上之低阻抗材料。之後,利用光罩界定具有開口之光阻圖案380,透過光阻圖案380自NFET 340頂上移除壓縮應力氮化物層332及氧化物硬遮罩層364。然後形成介電層114(圖14),例如實質由氧化物構成之層內介電層,其後形成暴露塊狀半導體區域116之開口,其藉由以較含於應力氮化物層332中之氮化物材料快之速率攻擊或蝕刻介電層114之製程,而選擇性蝕刻過介電層114。然後導電介層310(圖14)形成於所致的開口。
圖17顯示上述程序之另一變化,其中形成一對導電介層410a、410b,例如防護環、導電介層、或防護環及導電介層之組合(圖7A-7B),以延伸過STI區域424及埋式介電層118,而提供與塊狀半導體區域116之導電通訊。舉例而言,如圖17所示,防護環410a位於拉伸應力氮化物層126頂表面之唇部414a且鄰近開口416之右邊緣。防護環410a亦鄰近微電子裝置,例如NFET 140及PFET 150。防護環410b位於拉伸應力氮化物層126頂表面之唇部414b且鄰近開口416之左邊緣。防護環410b鄰近晶片 的切割道418。
圖18顯示另一變化,其中防護環510位於拉伸應力氮化物層126頂表面之右邊緣之唇部514,且鄰近晶片之微電子裝置140、150。另一方面,導電元件510不位於拉伸應力氮化物層126之左邊緣(鄰近切割道518之邊緣)之唇部516上。於類似方式,於另一變化中(未繪示),可製造防護環使其位於氮化物層之左邊緣(鄰近切割道518之邊緣)之唇部上,而不覆於右邊緣(鄰近微電子裝置之邊緣)之唇部。
本發明已根據某些較佳實施例說明,但在不悖離本發明精神與範疇下可有許多修改及加強,且本發明僅由所附之申請專利範圍限定。
10‧‧‧導電介層
12‧‧‧受應力的氮化矽層
14‧‧‧多晶矽栓塞
16‧‧‧塊狀半導體區域
18‧‧‧埋式氧化物層
20‧‧‧SOI基板
22‧‧‧氮化物層
24‧‧‧溝渠隔離區域
26‧‧‧光阻層
28‧‧‧開口
30‧‧‧開口
32‧‧‧多晶矽填充物
34‧‧‧主表面
40‧‧‧場效電晶體
41‧‧‧通道區域
42‧‧‧主動半導體區域
44‧‧‧矽化物層
45‧‧‧矽化物層
46‧‧‧層內介電層
50‧‧‧導電介層
70‧‧‧基板
72‧‧‧區域
74‧‧‧區域
76‧‧‧切割道
78‧‧‧片段
80‧‧‧防護環
81‧‧‧導電介層
82‧‧‧導電介層
84‧‧‧導電介層
86‧‧‧方向
88‧‧‧方向
108‧‧‧單晶矽裝置層
110‧‧‧防護環
114‧‧‧層內介電層
116‧‧‧塊狀半導體區域
118‧‧‧埋式氧化物層
120‧‧‧SOI基板
124‧‧‧溝渠隔離區域
126‧‧‧第一共形層
127‧‧‧間隙壁
128‧‧‧開口
129‧‧‧共形層之頂表面
130‧‧‧壁
132‧‧‧第二共形層
140‧‧‧NFET
142‧‧‧主動半導體區域
144‧‧‧矽化物層
146‧‧‧矽化物層
150‧‧‧PFET
152‧‧‧主動半導體區域
154‧‧‧矽化物層
156‧‧‧矽化物層
162‧‧‧半導體區域
164‧‧‧硬遮罩層
170‧‧‧光阻遮罩層
180‧‧‧光阻遮罩層
210‧‧‧導電介層
212‧‧‧第二接觸介層
224‧‧‧溝渠隔離區域
310‧‧‧導電元件
326‧‧‧拉伸應力氮化物層
328‧‧‧唇部
332‧‧‧壓縮應力氮化物層
340‧‧‧NFET
364‧‧‧氧化物硬遮罩層
370‧‧‧光阻圖案
380‧‧‧光阻圖案
382‧‧‧矽化物層
384‧‧‧主動半導體區域
41oa‧‧‧導電介層
410b‧‧‧導電介層
414a‧‧‧唇部
414b‧‧‧唇部
416‧‧‧開口
418‧‧‧切割道
424‧‧‧STI區域
510‧‧‧防護環
514‧‧‧唇部
516‧‧‧唇部
518‧‧‧切割道
圖1顯示包含導電介層之習知結構之截面圖。
圖2-6顯示製造圖1所示之習知結構之方法之截面圖。
圖7A顯示根據本發明實施例包含複數個微電子元件且各具有防護環之基板之平面圖。
圖7B顯示根據本發明實施例具有防護環及導電介層之部分微電子元件之部分平面圖。
圖7C顯示根據本發明實施例具有導電介層之部分微電子元件之部分平面圖。
圖7D顯示根據本發明實施例圖7A或7B之微電子元 件之截面圖。
圖8-13顯示根據本發明實施例製造圖7D所示之微電子元件各階段之截面圖。
圖14顯示根據本發明圖7D所示之實施例變化之微電子元件之截面圖。
圖15-16顯示製造圖14所示之微電子元件各階段之截面圖。
圖17顯示根據本發明實施例另一變化之微電子元件之截面圖。
圖18顯示根據本發明實施例另一變化之微電子元件之截面圖。
76‧‧‧切割道
108‧‧‧單晶矽裝置層
110‧‧‧防護環
114‧‧‧層內介電層
116‧‧‧塊狀半導體區域
118‧‧‧埋式氧化物層
120‧‧‧SOI基板
124‧‧‧溝渠隔離區域
126‧‧‧第一共形層
127‧‧‧間隙壁
128‧‧‧開口
129‧‧‧共形層之頂表面
130‧‧‧壁
132‧‧‧第二共形層
140‧‧‧NFET
142‧‧‧主動半導體區域
144‧‧‧矽化物層
146‧‧‧矽化物層
150‧‧‧PFET
152‧‧‧主動半導體區域
154‧‧‧矽化物層
156‧‧‧矽化物層
210‧‧‧導電介層
212‧‧‧第二接觸介層
224‧‧‧溝渠隔離區域

Claims (18)

  1. 一種形成一導電介層接觸一絕緣體上半導體(“SOI”)基板之一塊狀半導體區域之方法,該塊狀半導體區域藉由一埋式介電層與該基板之一SOI層分隔,該方法包含:a)形成一第一開口於覆於一溝渠隔離區域之一共形層中,該溝渠隔離區域與該SOI層共享一邊緣;b)沉積一介電層於該共形層之一頂表面及該溝渠隔離區域上方;c)形成一第二開口延伸過該介電層及該共形層中之該第一開口,使得部份的該塊狀半導體區域及該共形層之該頂表面暴露於該第二開口內;以及d)以一金屬或一半導體之至少其中之一填塞該第二開口,以形成一導電元件接觸該暴露部份的該塊狀半導體區域及該共形層之該頂表面。
  2. 如請求項1所述之方法,其中該第一開口具有一第一寬度,該第二開口具有大於該第一寬度之一第二寬度,且該共形層之該頂表面之該暴露部份包含一左部份鄰近該第一開口之一左邊緣,以及一右部份鄰近該第一開口相對於該左邊緣之一右邊緣。
  3. 如請求項1所述之方法,其中該第一開口具有一第一寬度及一長度,各對準於該SOI基板之一主表面,其中該長度大於該寬度十倍以上。
  4. 如請求項3所述之方法,其中該SOI層包含一裝置區域,且該元件至少實質包圍該裝置區域。
  5. 如請求項2所述之半導體結構,其中一第一應力氮化層具有拉伸應力或壓縮應力之一第一者,係暴露於該頂表面之該左暴露部份,而一第二應力氮化層具有拉伸應力或壓縮應力之不同於該第一者之一第二者,係暴露於該頂表面之該右暴露部份。
  6. 如請求項1所述之方法,更包含於沉積該介電層前,延伸該第一開口過該埋式介電層,以暴露該塊狀半導體區域之一表面。
  7. 如請求項6所述之方法,其中於步驟b)沉積之該介電層覆蓋於該第一開口內之該塊狀半導體區域之該表面,且步驟c)包含僅暴露於該第一開口內之該塊狀半導體區域之該表面之一部份。
  8. 如請求項6所述之方法,其中於步驟b)沉積之該介電層覆蓋於該第一開口內之該塊狀半導體區域之該暴露表面,且步驟c)包含形成兩個相鄰的第二開口,係藉由該介電層之一部份彼此橫向分隔。
  9. 如請求項8所述之方法,其中該相鄰的第二開口其中之一個暴露該共形層之該頂表面之一左部份鄰近該第一開口之一左邊緣,而該相鄰的第二開口之另一個暴露該共形層之該頂表面之一右部份鄰近該第一開口之一右邊緣。
  10. 一種微電子結構,包含一導電元件接觸一基板的塊狀半導體區域,該塊狀半導體區域藉由一埋式介電層與該基板之一絕緣體上半導體(“SOI”)層分隔,該微電子結構包含:一溝渠隔離區域覆於該埋式介電層,該溝渠隔離區域與該SOI層共享一邊緣;一共形層覆於該溝渠隔離區域,該共形層具有一頂表面及一開口界定自該頂表面朝該溝渠隔離區域延伸之一壁,該頂表面包含一唇部鄰近該壁;以及一介電層覆於該共形層之該頂表面;其中該導電元件與該塊狀半導體區域導電通訊,該導電元件實質由一半導體、一金屬、以及一金屬的導電化合物之至少其中之一所構成,且延伸過該介電層、該共形層中之該開口、該溝渠隔離區域以及該埋式介電層,該導電元件接觸該唇部;以及其中該開口具有一第一寬度,該導電元件具有大於該第一寬度之一第二寬度,且該導電元件接觸於鄰近該開口之左及右邊緣位置之該唇部,該右邊緣與該左邊緣於該開口相對。
  11. 如請求項10所述之微電子結構,其中該導電元件具有一寬 度及一長度,各對準於該SOI基板之一主表面,其中該長度大於該寬度十倍以上。
  12. 如請求項11所述之微電子結構,其中該SOI層包含一裝置區域,且該導電元件至少實質包圍該裝置區域。
  13. 如請求項10所述之微電子結構,其中該導電元件於鄰近該開口之該唇部接觸一應力氮化層。
  14. 如請求項10所述之微電子結構,其中一第一應力氮化層具有拉伸應力或壓縮應力之一第一者,係於鄰近該頂表面之該左邊緣之該唇部與該導電元件接觸,而一第二應力氮化層具有拉伸應力或壓縮應力之不同於該第一者之一第二者,係於鄰近該暴露的頂表面之該右邊緣之該唇部與該導電元件接觸。
  15. 如請求項13所述之微電子結構,其中該導電元件接觸該唇部於鄰近該開口之一左邊緣與該開口遠離該左邊緣之一右邊緣其中之一單一者。
  16. 如請求項15所述之微電子結構,其中該介電層接觸該開口中鄰近該導電元件之該塊狀半導體區域。
  17. 如請求項16所述之微電子結構,其中該相鄰的導電元件其中之一個暴露該共形層之該頂表面之一左部份鄰近該開口之 一左邊緣,而該相鄰的導電元件之另一個暴露該共形層之該頂表面之一右部份鄰近該開口之一右邊緣。
  18. 如請求項13所述之微電子結構,其中該導電元件包含兩個相鄰的導電元件,係藉由該介電層之一部份彼此橫向分隔。
TW097123642A 2007-06-28 2008-06-25 形成防護環或接觸至絕緣體上矽(soi)基板之方法 TWI428990B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/769,912 US7718514B2 (en) 2007-06-28 2007-06-28 Method of forming a guard ring or contact to an SOI substrate

Publications (2)

Publication Number Publication Date
TW200924070A TW200924070A (en) 2009-06-01
TWI428990B true TWI428990B (zh) 2014-03-01

Family

ID=40159344

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097123642A TWI428990B (zh) 2007-06-28 2008-06-25 形成防護環或接觸至絕緣體上矽(soi)基板之方法

Country Status (6)

Country Link
US (2) US7718514B2 (zh)
JP (1) JP5414669B2 (zh)
KR (1) KR101103959B1 (zh)
CN (1) CN101681801B (zh)
TW (1) TWI428990B (zh)
WO (1) WO2009006197A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5096055B2 (ja) * 2007-07-02 2012-12-12 ローム株式会社 Cmos型半導体集積回路の製造方法
US8680617B2 (en) * 2009-10-06 2014-03-25 International Business Machines Corporation Split level shallow trench isolation for area efficient body contacts in SOI MOSFETS
KR101959715B1 (ko) * 2012-11-06 2019-03-20 삼성전자 주식회사 반도체 장치
US9799557B2 (en) * 2014-01-22 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with metal ring on silicon-on-insulator (SOI) substrate
US9887123B2 (en) * 2014-10-24 2018-02-06 Newport Fab, Llc Structure having isolated deep substrate vias with decreased pitch and increased aspect ratio and related method
TWI575651B (zh) * 2016-08-25 2017-03-21 世界先進積體電路股份有限公司 半導體結構及其製造方法
US10438902B2 (en) 2017-09-07 2019-10-08 Globalfoundries Inc. Arc-resistant crackstop

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5276338A (en) * 1992-05-15 1994-01-04 International Business Machines Corporation Bonded wafer structure having a buried insulation layer
US6121661A (en) * 1996-12-11 2000-09-19 International Business Machines Corporation Silicon-on-insulator structure for electrostatic discharge protection and improved heat dissipation
US6521947B1 (en) * 1999-01-28 2003-02-18 International Business Machines Corporation Method of integrating substrate contact on SOI wafers with STI process
US6573565B2 (en) * 1999-07-28 2003-06-03 International Business Machines Corporation Method and structure for providing improved thermal conduction for silicon semiconductor devices
US6303414B1 (en) * 2000-07-12 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of forming PID protection diode for SOI wafer
US6429502B1 (en) * 2000-08-22 2002-08-06 Silicon Wave, Inc. Multi-chambered trench isolated guard ring region for providing RF isolation
JP2002110990A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置およびその製造方法
KR100389929B1 (ko) * 2001-07-28 2003-07-04 삼성전자주식회사 트렌치 소자분리막을 구비하는 soi 소자 및 그 제조 방법
US6498057B1 (en) * 2002-03-07 2002-12-24 International Business Machines Corporation Method for implementing SOI transistor source connections using buried dual rail distribution
JP2003282878A (ja) * 2002-03-20 2003-10-03 Citizen Watch Co Ltd 半導体装置およびその製造方法
DE10219107B4 (de) * 2002-04-29 2011-03-31 Globalfoundries Inc. SOI-Transistorelement mit einem verbesserten Rückseitenkontakt und ein Verfahren zur Herstellung desselben und Verfahren zur Herstellung eines Ohmschen Kontaktes auf einem Substrat
DE10260616B3 (de) * 2002-12-23 2004-09-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Simultanen Bildung von Bauelementenkontakten und Rückseitenkontakten auf Wafern mit einer vergrabenen Isolatorschicht
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US7851860B2 (en) * 2004-03-26 2010-12-14 Honeywell International Inc. Techniques to reduce substrate cross talk on mixed signal and RF circuit design

Also Published As

Publication number Publication date
US7718514B2 (en) 2010-05-18
JP2010532103A (ja) 2010-09-30
TW200924070A (en) 2009-06-01
KR20100028576A (ko) 2010-03-12
KR101103959B1 (ko) 2012-01-13
JP5414669B2 (ja) 2014-02-12
WO2009006197A1 (en) 2009-01-08
US7888738B2 (en) 2011-02-15
US20100109119A1 (en) 2010-05-06
CN101681801B (zh) 2012-06-13
CN101681801A (zh) 2010-03-24
US20090001465A1 (en) 2009-01-01

Similar Documents

Publication Publication Date Title
US10374046B2 (en) Structure for reduced source and drain contact to gate stack capacitance
US7332793B2 (en) Semiconductor device
TWI428990B (zh) 形成防護環或接觸至絕緣體上矽(soi)基板之方法
US7867893B2 (en) Method of forming an SOI substrate contact
US10319679B2 (en) Semiconductor device
TWI382533B (zh) 改良式雙重應力襯墊
KR100773353B1 (ko) 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
US6844223B2 (en) Semiconductor device having silicon on insulator and fabricating method therefor
US7205190B2 (en) Semiconductor device fabrication method
US6395598B1 (en) Semiconductor device and method for fabricating the same
US20170140984A1 (en) Interconnect structure including middle of line (mol) metal layer local interconnect on etch stop layer
US20200328116A1 (en) Semiconductor device and method for fabricating the same
US7449749B2 (en) Semiconductor device for limiting leakage current
CN110729343B (zh) 半导体元件及其制作方法
US10115637B2 (en) Method for fabricating auto-aligned interconnection elements for a 3D integrated circuit
KR100422468B1 (ko) 에스 오 아이 소자 및 그 제조방법
KR20220145124A (ko) 집적회로 장치 및 그 제조 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees