TWI423302B - 自行對準間隔縮減 - Google Patents

自行對準間隔縮減 Download PDF

Info

Publication number
TWI423302B
TWI423302B TW095143225A TW95143225A TWI423302B TW I423302 B TWI423302 B TW I423302B TW 095143225 A TW095143225 A TW 095143225A TW 95143225 A TW95143225 A TW 95143225A TW I423302 B TWI423302 B TW I423302B
Authority
TW
Taiwan
Prior art keywords
sacrificial layer
shrink
filler
deposit
layer
Prior art date
Application number
TW095143225A
Other languages
English (en)
Other versions
TW200731340A (en
Inventor
Ji Soo Kim
Sangheon Lee
Dae-Han Choi
S M Reza Sadjadi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/291,303 external-priority patent/US7560388B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200731340A publication Critical patent/TW200731340A/zh
Application granted granted Critical
Publication of TWI423302B publication Critical patent/TWI423302B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Bipolar Transistors (AREA)

Description

自行對準間隔縮減
本發明關係於半導體裝置的形成。
於半導體晶圓處理時,使用了已知的圖案化與蝕刻製程,半導體裝置的特性被界定在晶圓內。於這些製程中,光阻(PR)材料被沈積在晶圓上,然後,曝露至經光罩過濾的光。光罩大致為一玻璃板,其被以例示特性幾何加以作出圖案,該特性幾何阻擋光,防止穿過光罩。
在通過光罩後,光接觸光阻材料的表面。光改變了光阻材料的化學組成,使得顯影劑可以移除部份之光阻材料。在正光阻材料時,曝光區域係被移除,及在負光阻材料時,未曝光區域被移除。隨後,晶圓被蝕刻,以從未為光阻材料所保護的區域移除下層材料,藉以在晶圓中,界定想要的特性。
各種世代的光阻係為已知的。光阻圖案具有一關鍵尺寸(CD),其可以為最小特性的寬度。由於光學特性取決於波長,所以由較長波長的光所曝光的光阻具有較大的理論最小關鍵尺寸。特性係經由光阻圖案加以蝕刻。理想上,特性的CD(特性寬度)等於在光阻中之特性的CD。在實際上,由於切面作用、光阻的侵蝕或底切,而使得特性的CD可能較光阻的CD為長。特性也可以是推拔狀,其中特性的CD至少與光阻的CD一樣大,但當特性變尖,以在接近特性底部具有較小寬度時。此等推拔可能提供不可靠的特性。
為了提供具有更小CD的特性,進行了使用較短波長所加以形成的特性。193nm光阻係為193nm光所曝光。使用相移光罩及其他技術,可以使用193nm光阻,來形成90至100nm的CD光阻圖案。這將能提供具有90-100nmCD的特性。157nm光阻係為157nm光所曝光。使用相移光罩及其他技術,可以形成次90nmCD光阻圖案。這將能提供具有次90nmCD的特性。
相對於使用較長波長,較短波長光阻的使用可能在光阻上造成其他問題。為了取得接近理論限制的CD,微影設備應更精確,這將需要更昂貴的微影設備。現行193nm光阻與157nm光阻可能不具有與較長波長光阻一樣高的選擇性,並可能在電漿蝕刻狀態下,容易變形。
在蝕刻導電層時,例如形成記憶體裝置時,我們想要增加裝置密度。
為完成前述並依據本發明之目的,本案提供一種在蝕刻層內提供特性的方法。一犧牲層係被形成在該蝕刻層上。一有圖案遮罩係被形成在犧牲層上。一組犧牲層特性被蝕刻入該犧牲層。第一組蝕刻層特性經由犧牲層被蝕刻入該蝕刻層內。第一組的蝕刻層特性及該組犧牲層特性係被填以一填料。犧牲層係被移除,使得部份之填料被保持在曝露在蝕刻層的表面上,其中空間係在該填料的曝露部份,及其中在先前為犧牲層所佔用的區域中之空間,其中空間係具有寬度。在部份填料間之空間寬度係被以一收縮側壁沈積加以收縮。第二組蝕刻層特性係經由該收縮側壁沈積,而蝕刻入該蝕刻層。填料與收縮側壁沈積係被移除。
在本發明之另一實施例中,提供了一種在蝕刻層中,提供特性的方法。一犧牲層係被形成在該蝕刻層上。一有圖案遮罩係被形成在該犧牲層上。一組犧牲層特性係被蝕刻經入犧牲層。犧牲層特性係藉由形成一包含至少一循環的收縮沈積加以收縮,其中每一循環包含一收縮沈積階段與一收縮輪廓整形階段,收縮沈積階段在犧牲層特性的側壁上形成沈積物,以收縮犧牲層特性,及收縮輪廓整形階段,則整形在犧牲層特性側壁上之沈積物。第一組蝕刻層特性經由收縮沈積被蝕刻入蝕刻層內。移除收縮沈積物。第一組蝕刻層特性與該組犧牲層特性係被填入以填料。犧牲層被移除,使得部份填料保持曝露於蝕刻層表面上,其中在填料曝露部份間保留有空間,其中,該等空間係事先為犧牲層所佔用的區域,其中,該等空間具有寬度。部份的填料間的空間寬度係以一收縮側壁沈積物加以收縮,其中收縮的空間寬度包含至少一收縮循環,其中每一收縮循環包含一收縮沈積階段與一收縮輪廓整形階段,該收縮沈積階段在填料的側壁上形成沈積物,以收縮該等空間,及該輪廓整形階段整形沈積在填料側壁上之沈積物。第二組蝕刻層特性經由收縮側壁沈積蝕刻入蝕刻層。填料與收縮側壁沈積係被移除。
在本發明之另一實施例中,提供一種用以在蝕刻層上形成特性的設備,該蝕刻層上放置有在圖案遮罩下之犧牲層。本案提供有電漿處理室,其包含:一室壁,用以形成電漿處理室密封體;一基材支撐件,用以支撐一基材於該電漿處理室密封體內;一壓力調整器,用以調整在該電漿處理室密封體內之壓力;至少一電極,用以提供電力至電漿處理室密封體,用以維持住一電漿;一氣體入口,用以提供氣體進入電漿處理室密封體;及一氣體出口,用以自該電漿處理室密封體排出氣體。一氣體源與該氣體入口相通並包含一犧牲層蝕刻劑源;一蝕刻層蝕刻劑源;一收縮沈積氣體源;及一收縮輪廓整形氣體源。一控制器,可控制地連接至氣體源與至少一電極,並包含至少一處理機及電腦可讀取媒體。該電腦可讀取媒體包含:電腦可讀取碼,用以蝕刻犧牲層特性進入犧牲層,而不會蝕刻到蝕刻層;電腦可讀取碼,用以以犧牲層側壁沈積,來收縮犧牲層特性;電腦可讀取碼,用以經由犧牲層側壁沈積物,來蝕刻第一組蝕刻特性進入蝕刻層;電腦可讀取碼,用以移除犧牲層側壁沈積物,其中該等特性隨後被填入填料;電腦可讀取碼,用以移除犧牲層,使得部份的填料保留曝在蝕刻層的表面上,其中空間係被形成在填料的曝露部份間;電腦可讀取碼,用以以收縮沈積物,來收縮於填料之部份間之空間的寬度,該收縮沈積包含至少一循環,其中每一循環包含電腦可讀取碼,用以由該收縮沈積氣體源提供收縮沈積氣體;電腦可讀取碼,用以自該收縮沈積氣體產生一電漿;電腦可讀取碼,用以停止來自收縮沈積氣體源的收縮沈積氣體;電腦可讀取碼,用以由收縮輪廓整形氣體源提供收縮輪廓整形氣體;電腦可讀取碼,用以自該收縮輪廓整形氣體,提供一收縮輪廓整形氣體;電腦可讀取碼,用以從收縮輪廓整形氣體,產生電漿;及電腦可讀取碼,用以停止來自收縮輪廓氣體源的收縮輪廓整形氣體;及電腦可讀取碼,用以經由該收縮沈積,蝕刻第二組特性進入該蝕刻層。
在本發明之另一實施例中,本案提供一種在具有一記憶體區及一週邊區的蝕刻層內提供特性的方法,其中在記憶體區內之特性密度係為在週邊區中之特性密度的至少兩倍。第一與第二犧牲層係形成在蝕刻層上。一記憶體有圖案化遮罩係被形成在犧牲層上,其中記憶體有圖案化遮罩提供特性在記憶體區上。第一組犧牲層特性被蝕刻入第一犧牲層及第二犧牲層,其中第一組犧牲層特性係在記憶體區內。第一組犧牲層特性的特性係被填入以填料。第一犧牲層被移除,使得部份之填料保持曝露在第二犧牲層表面上,其中空間係被形成在填料的曝雼部間,其中空間係在先前由第一犧牲層所佔用的區域中,其中空間具有寬度。於填料材料部份間之空間寬度係被收縮一收縮側壁沈積物,其中,收縮側壁沈積物提供整個記憶體區的沈積,其中收縮該等空間的寬度包含至少兩收縮循環,其中每一收縮循環包含一收縮沈積階段與一收縮輪廓整形階段,該收縮沈積階段在填料側壁上形成沈積物,以收縮空間,該收縮輪廓整形階段,整形在填料側壁上之沈積物。第二組犧牲層特性係經由收縮側壁沈積物被蝕刻入第二犧牲層,其中提供在該第二組犧牲層特性只在記憶體區而不在週邊區中。填料與收縮側壁沈積物係被移除。週邊有圖案遮罩係被形成在記憶體區與週邊區上,其中該等特性係被設在週邊區上,其中該等特性並未設在記憶體區上。第二犧牲層係被蝕刻經由週邊圖案遮罩,其中特性係被蝕刻入在週邊區內之第二犧牲層。週邊圖案遮罩係被移除。特性係由第二犧牲層被蝕刻入蝕刻層。
在本發明之另一實施例中,提供一種在具有記憶體區與週邊區的蝕刻層的特性,其中在記憶體區的特性密度係至少兩倍於在週邊區中之特性密度。第一與第二犧牲層係被形成在蝕刻層上。一記憶體圖案遮罩係被形成在犧牲層上,其中,記憶體圖案遮罩提供特性在記憶體區上,但在週邊區上並不提供特性。特性係被蝕刻進入第一犧牲層中。蝕刻入第一犧牲層之特性係以收縮沈積物加以收縮。第一組犧牲層特性係經由收縮沈積物被蝕刻入第二犧牲層。第一組犧牲層特性的特性係被填入以填料。第一犧牲層係被移除,使得部份的填料仍保留在第二犧牲層的表面上,其中,有空間形成在曝露部份的填料間,其中該等空間係在先前由第一犧牲層所佔用的區域中,其中該等空間具有寬度。於部份填料間之空間的寬度係以收縮側壁沈積物加以收縮,其中收縮側壁沈積物提供一沈積物在該記憶體區上,其中收縮該等空間的寬度包含至少兩收縮循環,其中每一收縮循環包含一收縮沈積階段及一收縮輪廓整形階段,該收縮沈積階段在填料的側壁上,形成沈積物,以收縮空間;及收縮輪廓整形階段整形在填料側壁上之沈積物。第二組犧牲層特性經由收縮側壁沈積,而蝕刻入第二犧牲層,其中第二組犧牲層特性只在記憶體區而不在週邊區。填料及收縮側壁被移除。週邊圖案遮罩係被形成在記憶體區與週邊區上,其中特性係被設在週邊區上,及其中特性未設在記憶體區上。第二犧牲層係經由週邊有圖案遮罩蝕刻,其中特性係被蝕刻入在週邊區中之第二犧牲層。週邊圖案遮罩係被移除。特性係被由第二犧牲層蝕刻入蝕刻層。
本發明之這些與其他特性將參考以下之本發明實施方式配合上附圖加以詳細說明。
本發明將參考其較佳實施例加以詳細說明,其中實施例係如附圖所示。於以下說明中,各種特定細節係加以說明,以提供對本發明的整個了解。然而,明顯地,對於熟習於本技藝者,本發明可以在沒有部份或所有這些細節下加以實施。另外,已知製程步驟及/或結構並未加以說明,以避免對本發明作不必要的阻礙。
為了容易了解,第1圖為可以用於本發明實施例中之製程的流程圖。一犧牲層被形在介電層上(步驟104)。第2A圖為形成在介電層208上之犧牲層212的剖面圖,該介電層係在形成堆疊200的基材204上。在此例子中,基材204為矽晶圓。一蝕刻停止層210係被安置在犧牲層212與介電層208之間。在此例子中,蝕刻停止層210係至少為氮化物及碳化矽之一。在其他例子中,蝕刻停止層210可以為其他材料。介電層208為低k介電質,例如有機矽玻璃。在此實施例中,犧牲層為氧化矽。在其他實施例中,犧牲層為SiC、SiN、SiOC、摻氫之SiOC、TiN、TaN、Ti、Ta、Si及SiO2 之一。通常,犧牲層為任一可以相對於填料被選擇蝕刻或移除的材料。
遮罩214係被形成在犧牲層上(步驟218),如第2B圖所示。較佳地,遮罩214係為光阻材料。犧牲層特性216係被蝕刻入犧牲層212(步驟112),如第2C圖所示。蝕刻停止層210允許犧牲層特性216被蝕刻進入犧牲層212,而不會蝕刻介電層208。在蝕刻特性216進入犧牲層212後,使用了一收縮程序,以藉由形成犧牲層收縮側壁218,而收縮在犧牲層212內之特性216的寬度,如第2D圖所示。
第4圖為可以用以形成收縮側壁的處理室400的示意圖。電漿處理室400包含侷限環402、上電極404、下電極408、氣體源410、及排氣泵420。氣體源410包含收縮沈積氣體源412及收縮輪廓氣體源416。氣體源可以包含其他氣體源,例如蝕刻氣體源418與剝離氣體源422,以允許蝕刻、剝離、及其他製程被執行在相同室內。在電漿處理室400內,基材204係定位在下電極408上。下電極408加入一適當基材夾持機制(例如靜電、機械夾持等等),用以固持該基材204。反應器頂部428加入上電極404,其定位在與下電極408相對處。上電極404、下電極408、及侷限環402界定侷限電漿體積。氣體為氣體源410所供給至侷限環並由經由侷限環402與排氣埠為排氣碼從侷限漿體積排出。一第一RF源444係電氣連接至上電極404。第二RF源448係電氣連接至下電極408。室壁452包圍住侷限環402、上電極404、及下電極408。第一RF源444及第二RF源448可以包含一27MHz電源及一2MHz電源。有可能完成將RF電力連接至電極的不同組合。在可以用在本發明較佳實施例中,由美國加州的佛雷蒙之Lam研究公司所完成的Lam研究公司之雙頻電容性(DFC)系統中,27MHz及2MHz電源可以作成連接至下電極的第二RF電源448,及上電極被接地。在其他實施例中,RF電源可以具有多達300MHz之頻率。一控制器435係可控制地連接至RF源444、448、排氣泵420、及氣體源410。當予以蝕刻之層208為一介電層時,例如氧化矽或有機矽酸玻璃時,可以使用DFC系統。
第5A及5B圖顯示一電腦系統1300,其係適用以執行用於本發明實施例中之控制器435。第5A圖顯示電腦系統之可能實體形式。當然,電腦系統可以具有很多範圍由積體電路、印刷電路板、及小手持裝置至一大的超級電腦實體形式。電腦系統1300包含一監視器1302、一顯示器1304、一外殼1306、一磁碟機1308、一鍵盤1310、一滑鼠1312。碟片1314為一電腦可讀取媒體,用以傳送資料進出電腦系統1300。
第5B圖為用於電腦1300的方塊圖例。附在系統匯流排1320的是各種子系統。處理機1322(也稱為中央處理單元或CPU)係連接至儲存裝置,其包含記憶體1324。記憶體1324包含隨機存取記憶體(RAM)及唯讀記憶體(ROM)。如同於本技藝所知,ROM作用以單向傳送資料及指令至CPU,及RAM係典型用以雙向傳送資料與指令。這兩類型記憶體均可以包含以下所述之電腦可讀取媒體。固定碟1326也是雙向耦接至CPU1322,以提供額外之資料儲存能力並可以包含任意以下所述之電腦可讀取媒體。固定碟1326可以用以儲存程式、資料等並典型為次儲存媒體(例如硬碟),其係慢於主儲存體。可以了解的是,包含在固定碟1326內之資訊在適當情形下可以以標準方式加入作為記憶體1324中之虛擬記憶體。可移除碟1314可以採用以下所述之任意形式。
CPU1322也連接至各種輸入/輸出裝置,例如顯示器1304、鍵盤1310、滑鼠1312及喇叭1330。一般而言,輸入/輸出裝置可以為:影像顯示器、軌跡球、滑鼠、鍵盤、麥克風、觸控顯示面板、換能讀卡機、磁或紙帶讀取機、平版電腦、印表機、語音或手寫識別器、生物值讀取器、或其他電腦。CPU1322可選用地使用網路介面1340連接至其他電腦或電信網路。以此網路介面,可以想出CPU可以於上述方法步驟執行時,自網路接收資訊,或者輸出資訊至網路。再者,本發明之方法實施例可以只執行於CPU1322上,或可以執行於一網路上,如網際網路上配合一遠端CPU,以共享一部份之處理。
另外,本發明之實施例更有關於電腦儲存產品,其具有一電腦可讀取媒體,其上具有電腦碼,用以執行各種電腦執行之操作。媒體與電腦碼可以為本發明之目的而作特殊設計與建構,或者,它們可以為熟習於本電腦軟體技藝者所知並可取用者。電腦可讀取媒體例子包含但並不限定於:例如硬碟、軟碟、及磁帶的磁媒體;例如CD-ROM及全相裝置之光學媒體;例如磁光碟的磁光媒體;及特別設計以儲存及執行程式碼的硬體裝置,例如特定應用程式積體電路(ASIC)、可程式邏輯裝置(PLD)及ROM與RAM裝置。電腦碼例子包含機器碼,例如由編譯器所產生,及包含較高階碼的檔案,其係為電腦使用一解譯器加以執行。電腦可讀取媒體也可以為內藏在載波中之電腦資料信號所傳送之電腦碼,並代表一連串可以為處理機所執行之指令。
第3圖為收縮犧牲層內之特性的步驟(步驟116)的更詳細流程圖。如於第3圖所示,特性的收縮包含多數循環處理,其包含一收縮沈積階段(步驟304)及一輪廓整形階段(步驟308)。
較佳地,收縮沈積階段(步驟304)使用一沈積氣體,其包含CF4 及H2 組合或CH3 F及N2 或Cx Fy 或Cx Hy Fz 組合之至少之一,以例如氫、氮或氧作為氧化或還原添加劑,及例如He、Ar、Ne、Kr、Xe等等作為載氣。通常,沈積氣體包含烴、碳氟化合物及氫氟碳化物之至少之一。更好,沈積氣體更包含一載氣,例如氬或氙。更明確地說,沈積氣體更包含至少氧化添加劑及還原添加劑之一,例如O2 、H2 或NH3
收縮沈積階段(步驟304)的例子提供150sccm的CH3 F、75sccm的N2 、及100sccm的Ar的流體。壓力被設定至80毫托耳。基材被維持在20℃的溫度。第二RF源448產生400瓦頻率27MHz及0瓦在2MHz的頻率。在沈積階段中,提供有沈積氣體,沈積氣體被轉換為電漿,然後,沈積氣體被停止。
較佳地,收縮輪廓整形階段使用與沈積氣體不同的輪廓整形氣體,並包含Cx Fy 及NF3 與Cx Hy Fz 之至少之一。更好,輪廓整形氣體更包含載氣,例如氬或氙。最好,輪廓整形氣體更包含至少氧化添加劑與還原添加劑之一,例如O2 、H2 或NH2
收縮輪廓整形階段的例子(步驟308)提供含鹵素(即氟、溴、氯)氣體,例如100sccm之CF4 。在此例子中,CF4 為在輪廓整形時所唯一提供的氣體。20毫托耳的壓力係被提供給該室。第二RF源448提供600瓦頻率27MHz,及0瓦頻率2MHz。在輪廓整形階段中,輪廓整形氣體被提供,輪廓整形氣體被轉換為電漿,然後,輪廓整形氣體被停止。
較佳地,該處理係被執行2至20次。最好是,該處理被執行3至10次。沈積與輪廓整形之組合多數次允許用於收縮的垂直側壁的形成。較佳地,垂直側壁為由底至頂與犧牲層特性底部完成於88度至90度的角度之側壁。
較佳地,收縮側壁使得犧牲層特性的寬度減少5至80%。更好是,收縮側壁使得犧牲層特性的寬度減少5至50%間。循環次數可以具有其他沈積及/或整形階段,或者,可以具有其他階段。
在其他實施例中,收縮可以在犧牲層被蝕刻前,在光阻遮罩中的特性所完成。在此時,犧牲層與介電層的蝕刻可以在單一步驟或在不同步驟中完成。
第一組特性的特性220然後經由在犧牲層中之收縮特性與有圖案遮罩214被蝕刻入介電層208,如第2E圖所示。使用傳統介電質蝕刻。
有圖案遮罩及收縮側壁係被剝離(步驟124),如第2F圖所示。遮罩與收縮側壁的移除可以為單一步驟或不同步驟處理。一去灰製程也可以在此步驟中加以使用。
特性然後被填以填料224(步驟128),如第2G圖所示。在此實施例中,填料為聚合物材料,例如光阻、烴、摻雜烴、。例如氟化烴,非晶碳、及鑽石狀碳。在說明書與申請專利範圍中,非晶碳與鑽石狀碳為聚合物狀材料,其具有較正常聚合物為少之氫。通常,填料可以被描述為Hx Cy 、Hx Cy Fz 、及Hx Cy Siz 。通常,填料可以被描述為C、H、F的組合,及矽的雜質或其他雜質。在本實施例中,填料為由至少旋塗、PECVD(電漿加強化學氣相沈積)、及LPCVD(低壓化學氣相沈積)製程之一所形成。
填料224被平坦化(步驟132),如第2H圖所示。平坦化可以藉由例如化學機械研磨(CMP)或回蝕之製程加以執行。在此實施例中,平坦化係被用以曝露犧牲層212。
在另一實施例中,沈積及整形階段的循環製程可以在一單一步驟中,將填料填入特性,平坦化、及曝光犧牲層。其他製程也可以以單一步驟,來替換步驟128與132。
犧牲層係被移除(步驟136),如第2I圖所示。填料224的平坦化以曝露出犧牲層212,以允許移除犧牲層。可以使用選擇性蝕刻製程,以選擇地移除犧牲層而不移除填料224。如第4圖所示之處理室400可以用以完成此作業。在此例子中,一氫氟酸(HF)濕式蝕刻(浸漬)係被用以移除氧化矽犧牲層。
由於移除犧牲層,所以,部份的填料224延伸於介電層208的表面上,而空間217係被形成在延伸超出介電層208表面的填料224部份之間,而空間217係為先前為犧牲層所佔用的區域。空間217具有寬度"w1",如第2I圖所示。為了移除犧牲層,而不移除填料224或介電層208,犧牲層必須為一可以被移除但不會移除填料224或介電層208的材料。
於聚合物材料224間之空間係藉由在曝露填料224的側壁上形成收縮側壁228加以收縮,如第2J圖所示,以形成具有減少寬度"w2"的減少空間232。形成收縮側壁228以形成較少空間的方法係藉由將基材置放於處理室內加以執行。另外,收縮程序也可以多步驟循環處理,如先前之收縮程序中所述者。
第二組特性的特性236係經由在收縮側壁228間之減少空間被蝕刻入介電層208中,如第2K圖所示。可以使用傳統用以蝕刻介電層208的蝕刻程式。
填料與填料的收縮側壁係被移除(步驟148),如第2L圖所示,具有第一組特性之特性220及第二組特性的特性236。
下表提供犧牲層材料與填料的各種組合。Duo為具有矽的烴材料。有機聚合物可以為非晶碳、光阻、或底反反射塗層(BARC)。該等組合可以藉由使用一電漿蝕刻或濕式蝕刻,以相對於填料及介電層,允許犧牲層被選擇地移除,以藉由使用氧化、還原、或濕式剝離,而允許填料予以相對於介電層選擇地移除。
SOG為旋塗玻璃在此例子中,O2 電漿剝離係被用以移除聚合物填料。
線路與週邊佈局例
為了容易了解,第6圖為本發明另一實施例之流程圖,其使用三個硬遮罩層並提供記憶體胞線圖案與週邊區域圖案。犧牲層係被形成在蝕刻層上(步驟604)。第7A圖為第一犧牲層710、第二犧牲層712、及第三犧牲層714被形成在蝕刻層708上之剖面圖,蝕刻層係在形成堆疊700的基材704上。在上例子中,基材704為矽晶圓。蝕刻停止層716被安排在第三犧牲層704與蝕刻層708之間。在此例子中,蝕刻停止層716係為氮化物及碳化矽之至少之一。在其他例子中,蝕刻停止層716係為其他材料。蝕刻層708係為低k介電質,例如有機矽酸玻璃。在此實施例中,第一犧牲層為SiO、第二犧牲層為SiN、及第三犧牲層為SiC或SiO。我們想要使第二犧牲層與第一及第三犧牲層不同,以允許在鄰近層間作選擇地蝕刻與移除。在其他實施例中,犧牲層係為SiC、SiN、SiOC、摻氫的SiOC、TiN、TaN、Ti、Ta、Si及SiO2 的組合。通常,犧牲層係為可以相對於鄰近增與填料作選擇地蝕刻或移除的材料之任意組合。
一記憶體圖案遮罩718係被形成在第一犧牲層710上(步驟608),如第7B圖所示。較佳地,遮罩718為一光阻材料。第8A圖為堆疊700與基材的俯視圖,顯示遮罩718在第一犧牲層710上。堆疊700形成記憶體區域804與由分割線812週邊區域808。週邊區域808係完成為記憶體圖案遮罩718所遮罩,使得特性將在記憶體區域被蝕刻,而在週邊區域中的特性則否。
犧牲層特性719係被蝕刻進入第一犧牲層710(步驟612),如第7C圖所示。在蝕刻特性719進入第一犧牲層710後,一收縮處理係藉由形成犧牲層收縮側壁720,而收縮在第一犧牲層710中之特性719的寬度,如第7D圖所示。
特性738然後被蝕刻經由收縮側壁720進入第二犧牲層712,如第7E圖所示(步驟620)。遮罩與收縮側壁係例如藉由剝離加以移除,如第7F圖所示(步驟624)。第8B圖為堆疊700的俯視圖,如第7F圖所示。在此實施例中,在週邊區域808中之第一犧牲層710並未被蝕刻,由於週邊區域808的完全遮罩之故。
在另一實施例中,記憶體圖案遮罩可以用以蝕刻特性進入第一犧牲層與第二犧牲層,而不必中間收縮步驟。
特性然後被填入以填料722(步驟628),如第7G圖所示。在此實施例中,填料為聚合物材料,例如光阻、烴、摻雜的烴、例如氟化烴、非晶碳、及鑽石狀碳。在說明書與申請專利範圍中,非晶碳及鑽石狀碳係為較正常聚合物為少之氫的聚合物狀材料。通常,填料可以被描述為Hx Cy 、Hx Cy Fz 、及Hx Cy Siz 。通常,填料可以被描述為C、H、F的組合,及矽的雜質或其他雜質。在本實施例中,填料為由至少旋塗、PECVD(電漿加強化學氣相沈積)、及LPCVD(低壓化學氣相沈積)製程之一所形成。
填料722被平坦化(步驟632),如第7G圖所示。平坦化可以藉由例如化學機械研磨(CMP)或回蝕之製程加以執行。在此實施例中,平坦化係被用以曝露犧牲層710。第8C圖為示於第7G圖之堆疊700的俯視圖。
在另一實施例中,沈積及整形階段的循環製程可以在一單一步驟中,將填料填入特性,平坦化、及曝光犧牲層。其他製程也可以以單一步驟,來替換步驟628與632。
第一犧牲層710係被移除(步驟636),如第7H及8D圖所示。填料722的平坦化以曝露出第一犧牲層710,以允許移除第一犧牲層710。可以使用選擇性蝕刻製程,以選擇地移除犧牲層而不移除填料722。如第4圖所示之處理室400可以用以完成此作業。在此例子中,一氫氟酸(HF)濕式蝕刻(浸漬)係被用以移除氧化矽犧牲層。
由於移除第一犧牲層710,所以,部份的填料722延伸於堆疊700的表面上,而空間732係被形成在延伸超出堆疊700表面之填料722部份之間,而空間732係為先前為第一犧牲層710所佔用的區域。空間732具有寬度"w1",如第7H圖所示。為了移除第一犧牲層,而不移除填料722或第二犧牲層712,第一犧牲層710必須為一可以被移除但不會移除填料722或第二犧牲層712的材料。
於填料722間之空間係藉由在曝露填料722的側壁上形成收縮側壁734加以收縮,如第7I及8E圖所示,以形成具有減少寬度"w2"的減少空間736。於填料間之空間的收縮可以藉由將基材放置於處理室加以執行。另外,收縮程序可以為多步驟循環程序,如參考先前收縮程序所述者。使用多步驟收縮程序,例如第3圖所示之製程,允許材料被沈積在週邊區域808的隔離區域上,及選擇地移除在記憶體區804中之密區域的特性底部的聚合物。此為多步驟循環程序所提供之選擇製程提供了一聚合物覆蓋層822整個週邊區域808,而記憶體區特性的底部具有很少或較佳地沒有沈積。較佳地,執行至少兩收縮循環。更好是,執行3至20收縮循環。
第二組特性的特性740係經由減少之空間被蝕刻入第二犧牲層712(步驟644),如第7J及8F圖所示。
填料及填料的收縮側壁係被移除(步驟648)如於第7K及8G圖所示,具有第一組特性的特性738及第二組特性的特性740。
一週邊圖案遮罩728係被形成在堆疊700上(步驟652),如於第7L及8H圖所示。在此實施例中,週邊圖案遮罩728遮罩住整個記憶體區804,並在週邊區域808中,形成線或裝置的圖案,使得在記憶體段中,沒有特性被蝕刻,而特性將經由週邊圖案遮罩被蝕刻入週邊區域。
週邊特性係被蝕刻入週邊區域中之第二犧牲層712,如第8I圖所示。因為在此實施例中,記憶體區域係被遮罩,所以,記憶體區並不會被蝕刻,使得特性並不會經由週邊遮罩蝕刻進入記憶體區域。遮罩係被剝離(步驟660),如第7M及8J圖所示。
特性係被由第二犧牲層被蝕刻至第三犧牲層(步驟664),如第7N圖所示。特性然後由第三犧牲層714被蝕刻進入蝕刻層708(步驟668),如第7O及8K圖所示。
也可以提供其他步驟,例如,以導電材料填入特性中。
在此例子中,第三犧牲層714與蝕刻停止層716係被提供,以保護在形成遮罩特性時之蝕刻層708。在其他實施例中,例如當在第二犧牲層與蝕刻層間有高選擇性時,並不需要第三犧牲層及/或阻障層。
在其他實施例中,步驟也可以省略或加入其他步驟。例如,如果想要的CD以該遮罩到達時,在蝕刻特性進入第一犧牲層後的收縮可以被省略。
此程序在週邊區域提供較不密間隔及在記憶體區域提供較高密度間隔。在此例子中,記憶體區域具有特性,其可以到達在週邊區域密度的兩倍。以另外的遮罩程序,使用本發明,記憶體區域可以具有一特性密度,其至少三倍大於週邊區域特性的密度。
此程序涉及蝕刻特性,具有一半的CD及一半的特性間隔,該特性係使用傳統蝕刻製程,以相同光阻遮罩加以形成。此製程允許單一光阻遮罩的使用,以使間隔一半,同時,提供第一組特性與第二組特性間之自動對準。
在上述較佳實施例之部份步驟可以被省略或改變,而不會增加CD及/或增加間隔。在較佳實施例中之其他步驟也可以省略或改變,但仍相對於傳統製程,降低了CD及/或降低間隔。
在其他實施例中,程序係被使用其他遮罩加以重覆,以進一步降低CD及降低間隔。例如,一第二遮罩也可以使用於另一半間隔降低。
在此例子中,蝕刻層可以為介電蝕刻層、導電蝕刻層、或半導體蝕刻層。
雖然本發明已經以較佳實施例加以描述,但其他替代、修改、變更及各種等效替換仍在本發明之範圍內。應了解的是,有很多替代方式可以實施本發明之方法與設備。因此,以下申請專利範圍係被解釋為包含所有這些替代、修改、變更及等效替換,以落在本發明之精神與範圍內。
200...堆疊
204...基材
208...介電層
210...蝕刻停止層
212...犧牲層
214...遮罩
216...犧牲層特性
218...收縮側壁
220...特性
224...填料
217...空間
228...收縮側壁
232...空間
236...特性
400...處理室
402...侷限環
404...上電極
408...下電極
410...氣體源
412...收縮沈積氣體源
416...收縮輪廓氣體源
418...蝕刻氣體源
420...排氣泵
422...剝離氣體源
428...反應器頂
435...控制器
444...第一RF源
448...第二RF源
452...室壁
700...堆疊
704...基材
708...蝕刻層
710...第一犧牲層
712...第二犧牲層
714...第三犧牲層
716...蝕刻停止層
718...遮罩
719...特性
720...收縮側壁
738...特性
722...填料
732...空間
734...收縮側壁
736...空間
740...特性
804...記憶體區域
808...週邊區域
812...分割線
822...聚合物覆蓋層
1300...電腦系統
1302...監視器
1304...顯示器
1306...外殼
1308...磁碟機
1310...鍵盤
1312...滑鼠
1314...碟片
1320...系統匯流排
1322...處理機
1324...記憶體
1326...固定碟
1330...喇叭
1340...網路介面
第1圖為用於本發明實施例之製程的流程圖;第2A-2L圖為依據本發明實施例之堆疊處理的剖面與俯視圖;第3圖為收縮特性步驟的更詳細流程;第4圖為可以用以實現本發明之電漿處理室的示意圖;第5A-5B圖顯示一電腦系統,其係適用以實施為用於本發明實施例之控制器;第6圖為本發明另一實施例的流程圖;第7A-7O圖為依據本發明實施例所處理之堆疊的剖面與俯視圖;及第8A-8K圖為依據本發明實施例處理之堆疊的部份俯視圖。
104...在介電層上,形成犧牲層
108...在犧牲層上形成送罩
112...蝕刻特性進入犧牲層
116...收縮特性
120...蝕刻特性進入介電層
124...剝離遮罩與收縮
128...以填料填入特性
132...平坦化填料
136...移除犧牲層
140...收縮空間
144...蝕刻特性
148...移除填料與收縮

Claims (36)

  1. 一種在一介電層中提供特性的方法,包含步驟:在該介電層上,形成一犧牲層;在該犧牲層上,形成一有圖案遮罩;蝕刻一組犧牲層特性,進入該犧牲層;藉由形成一收縮沈積物,以收縮該等犧牲層特性;經由該犧牲層,蝕刻第一組介電層特性進入該介電層;將填料填入該第一組介電層特性與該組犧牲層特性之特性;移除該犧牲層,使得部份的該填料保持曝露在該介電層的表面上,其中多數空間係在該填料的該等曝露部份之間,其中,該等空間係在先前為該犧牲層所佔用的區域中,其中該等空間具有寬度;以收縮側壁沈積物,收縮於該填料部份間之空間的寬度;經由該收縮側壁沈積物,蝕刻第二組介電層特性進入該介電層;及移除該填料與收縮側壁沈積物。
  2. 如申請專利範圍第1項所述之方法,更包含在蝕刻該第一組介電層特性後,移除該收縮沈積物。
  3. 如申請專利範圍第2項所述之方法,其中該移除該沈積物係在以該填料填入該第一組介電層特性與該組犧牲層特性之特性之前。
  4. 如申請專利範圍第3項所述之方法,更包含平坦化該填料。
  5. 如申請專利範圍第4項所述之方法,其中該收縮該等空間的寬度包含至少一收縮循環,其中每一收縮循環包含:一收縮沈積階段,其在該填料的側壁上,形成沈積物,以收縮該等空間;及一收縮輪廓整形階段,其整形在該填料的側壁上之沈積物。
  6. 如申請專利範圍第5項所述之方法,其中該收縮沈積階段包含:提供沈積氣體;由該沈積氣體形成電漿;及停止該沈積氣體流動。
  7. 如申請專利範圍第5項所述之方法,其中該收縮輪廓整形階段包含:提供一輪廓整形氣體,其係與該沈積氣體不同;由該輪廓整形氣體,形成電漿;及停止該輪廓整形氣體的流動。
  8. 如申請專利範圍第7項所述之方法,其中該沈積氣體包含烴、碳氟化合物及氫氟碳化物之至少之一及輪廓整形氣體包含Cx Fy 、NF3 、及Cx Hy Fz 之至少之一。
  9. 如申請專利範圍第8項所述之方法,其中該收縮該犧牲層特性包含至少一收縮循環,其中每一收縮循環包 含:一收縮沈積階段,其在該犧牲層的側壁上形成沈積物,以收縮該等犧牲層特性;及一收縮輪廓整形階段,其整形在該等犧牲層特性的側壁上之沈積物。
  10. 如申請專利範圍第9項所述之方法,其中該收縮沈積階段包含:提供一沈積氣體;由該沈積氣體,形成一電漿;及停止該沈積氣體的流動。
  11. 如申請專利範圍第10項所述之方法,其中該收縮輪廓整形階段包含:提供一輪廓整形氣體,其與該沈積氣體不同;由該輪廓整形氣體形成電漿;及停止該輪廓整形氣體的流動。
  12. 如申請專利範圍第11項所述之方法,其中該沈積氣體包含烴、碳氟化合物及氫氟碳化物之至少之一及輪廓整形氣體包含Cx Fy 、NF3 、及Cx Hy Fz 之至少之一。
  13. 如申請專利範圍第12項所述之方法,更包含以一導電材料填入該等特性。
  14. 如申請專利範圍第2項所述之方法,其中該收縮該等犧牲層特性包含至少一收縮循環,其中每一收縮循環包含:收縮沈積階段,其在該犧牲層的側壁上形成沈積物, 以收縮該犧牲層特性;及收縮輪廓整形階段,其整形在該等犧牲層特性側壁上之沈積物。
  15. 如申請專利範圍第1項所述之方法,更包含在該犧牲層與該介電層間設置一蝕刻停止層。
  16. 如申請專利範圍第1項所述之方法,其中該收縮該等空間的寬度包含至少一收循環,其中每一收縮循環包含:收縮沈積階段,其在該填料的側壁形成沈積物,以縮該等空間;及收縮輪廓整形階段,其整形在該填料的該等側壁上之沈積物。
  17. 一種在一介電層中設置特性的方法,包含:在該介電層上,形成一犧牲層;在該犧牲層上,形成一圖案遮罩;蝕刻一組犧牲層特性,進入該犧牲層;藉由形成一收縮沈積物,而收縮該等犧牲層特性,其包含至少一循環,其中每一循環包含:一收縮沈積階段,其在該等犧牲層特性的側壁上形成沈積物,以收縮該等犧牲層特性;及一收縮輪廓整形階段,其整形在該等犧牲層特性的該等側壁上之該沈積物;經由該收縮沈積物,蝕刻第一組介電層特性進入該介電層; 移除該收縮沈積物;以填料來填入該第一組介電層特性及該組犧牲層特性的特性;移除該犧牲層,使得該填料的部份保持曝露在該介電層的表面上,其中多數空間係在該填料的該等曝露部份間,其中該等空間係在為該犧牲層所先前佔用的區域中,其中該等空間具有寬度;以一收縮側壁沈積物,收縮在該填料的該等部份間之空間的寬度,其中該收縮該等空間之該等寬度包含至少一收縮循環,其中每一收縮循環包含:一收縮沈積階段,其在該填料的側壁上,形成沈積物,以收縮該等空間;及一收縮輪廓整形階段,其整形在該填料的該等側壁上之沈積物;經由該收縮側壁沈積物,蝕刻第二組介電層特性進入該介電層;及移除該填料與收縮側壁沈積物。
  18. 一種用以在一蝕刻層中設有特性的方法,該蝕刻層具有記憶體區域及週邊區域,其中在該記憶體區域中之特性密度係至少兩倍於在該週邊區域中之特性密度,該方法包含步驟:在該蝕刻層上,形成第一與第二犧牲層;在該犧牲層上,形成一記憶體圖案遮罩,其中該記憶 體圖案遮罩在記憶體區域上設有特性;蝕刻第一組犧牲層特性進入該第一犧牲層與該第二犧牲層,其中該第一組犧牲層特性係在該記憶體區域中;以填料填入該第一組犧牲層特性之特性中;移除該第一犧牲層,使得該填料的部份保持曝露在該第二犧牲層的表面上,其中多數空間係在該填料之該等曝露部份間,其中該等空間係在先前為該第一犧牲層所佔用的區域中,其中該等空間具有寬度;以一收縮側壁沈積物,收縮於該填料之該等部份間之該等空間的寬度,其中該收縮側壁沈積物在該記憶體區域上,提供一沈積;;經由該收縮側壁沈積物,蝕刻第二組犧牲層特性進入該第二犧牲層,其中該第二組犧牲層特性係只在該記憶體區域中不在該週邊區域中;移除該填料及收縮側壁沈積物;在該記憶體區域及週邊區域上,形成一週邊圖案遮罩,其中特性係設在該週邊區域上;經由該週邊圖案遮罩,蝕刻該第二犧牲層,其中特性係被蝕刻進入在該週邊區域中之該第二犧牲層;移除該週邊圖案遮罩;及由該第二犧牲層蝕刻特性進入該蝕刻層。
  19. 如申請專利範圍第18項所述之方法,其中該收縮該等空間的寬度包含至少一收縮循環,其中每一收縮循環包含: 一收縮沈積階段,其在該填料的側壁上形成沈積物,以收縮該等空間;及一收縮輪廓整形階段,其整形在該填料的該等側壁上之沈積物。
  20. 如申請專利範圍第18項所述之方法,其中該收縮該等空間的寬度包含至少三收縮循環,其中每一收縮循環包含:一收縮沈積階段,其在該填料的側壁上形成沈積物,以收縮該等空間;及一收縮輪廓整形階段,其整形在該填料的該等側壁上之沈積物。
  21. 如申請專利範圍第20項所述之方法,其中該記憶體圖案遮罩在該週邊區域上未設有特性,且其中該週邊圖案遮罩在該記憶體區域上未設有特性,且其中該蝕刻該第一組犧牲層特性未蝕刻在該週邊區域中的特性。
  22. 如申請專利範圍第21項所述之方法,其中該收縮沈積階段包含:提供一沈積氣體;由該沈積氣體提供一電漿;及停止該沈積氣體的流動。
  23. 如申請專利範圍第22項所述之方法,其中該收縮輪廓整形階段包含:提供一輪廓整形氣體,其與該沈積氣體不同;由該輪廓整形氣體形成電漿;及 停止該輪廓整形氣體的流動。
  24. 如申請專利範圍第23項所述之方法,其中該沈積氣體包含烴、碳氟化合物及氫氟碳化物之至少之一及輪廓整形氣體包含Cx Fy 、NF3 、及Cx Hy Fz 之至少之一。
  25. 如申請專利範圍第23項所述之方法,其中該蝕刻第一組犧牲層特性進入該第一犧牲層與該第二犧牲層,包含:蝕刻該特性進入該第一犧牲層;以收縮沈積物,收縮被蝕刻進入該第一犧牲層的該等特性;以及經由該收縮沈積物蝕刻該第一組犧牲層特性進入該第二犧牲層。
  26. 如申請專利範圍第25項所述之方法,更包含在蝕刻該第一組犧牲層特性之後移除該收縮沈積物。
  27. 如申請專利範圍第26項所述之方法,其中移除該收縮沈績物係在以該填料填入該第一組犧牲層特性之前。
  28. 如申請專利範圍第27項所述之方法,更包含平坦化該填料。
  29. 如申請專利範圍第28項所述之方法,其中該經由該第二犧牲層蝕刻特性進入該蝕刻層,包含:經由該第二犧牲層蝕刻特性進入第三犧牲層;以及經由該第三犧牲層蝕刻特性進入該蝕刻層。
  30. 如申請專利範圍第28項所述之方法,該收縮該 犧牲層特性包含至少一收縮循環,其中每一收縮循環包含:一犧牲層特性收縮沈積階段,其在該犧牲層的側壁上,形成沈積物,以收縮該犧牲層特性;以及一犧牲層特性收縮輪廓整形階段,其整形在該犧牲層特性的側壁上之沈積物。
  31. 如申請專利範圍第30項所述之方法,其中該犧牲層特性收縮沈積階段包含:提供沈積氣體;由該沈積氣體形成電漿;及停止該沈積氣體流動。
  32. 如申請專利範圍第31項所述之方法,其中該犧牲層特性收縮輪廓整形階段包含:提供一輪廓整形氣體,其係與該沈積氣體不同;由該輪廓整形氣體,形成電漿;及停止該輪廓整形氣體的流動。
  33. 一種半導體裝置,由如申請專利範圍第18項所述之方法加以形成。
  34. 一種在蝕刻層中設置特性的方法,該蝕刻層具有一記憶體區域與一週邊區域,其中在該記憶體區域中之特性密度係至少兩倍於在該週邊區域中之特性密度,該方法包含步驟:在該蝕刻層上,形成第一與第二犧牲層;在該犧牲層上,形成一記憶體圖案遮罩,其中該記憶 體圖案遮罩在該記憶體區域上設有特性但不在該週邊區域上設有特性;蝕刻第一組犧牲層特性進入該第一犧牲層及該第二犧牲層,其中該第一組犧牲層特性係在該記憶體區域中;以填料填入該第一組犧牲層特性的特性;移除該第一犧牲層,使得該填料的部份保持曝露在該第二犧牲層的表面上,其中空間係在該填料的該等曝露部份間,其中該等空間係在先前為該第一犧牲層所佔用的區域中,其中該等空間具有寬度;以一收縮側壁沈積物,收縮該填料之該等部份間之該等空間的寬度,其中該收縮側壁沈積物在該記憶體區域上提供一沈積,其中該收縮該等空間的寬度包含至少兩收縮循環,其中每一收縮循環包含:一收縮沈積階段,其在該填料的側壁上形成沈積物,以收縮該等空間;及一收縮輪廓整形階段,其整形在該填料的該等側壁上的沈積物;經由該收縮側壁沈積物,蝕刻第二組犧牲層特性進入第二犧牲層,其中該第二組犧牲層特性係只在該記憶體區域中不在該週邊區域中;移除該填料與收縮側壁沈積物;在該記憶體區域與週邊區域上,形成一週邊圖案遮罩,其中特性係設在該週邊區域上,以及,其中特性未設在該記憶體區域上; 經由該週邊圖案遮罩,蝕刻該第二犧牲層,其中特性係被蝕刻進入在該週邊區域中之該第二犧牲層;移除該週邊圖案遮罩;及由該第二犧牲層,蝕刻特性進入該蝕刻層。
  35. 如申請專利範圍第34項所述之方法,其中該收縮沈積階段包含:提供一沈積氣體;由該沈積氣體提供一電漿;及停止該沈積氣體的流動,及其中該收縮輪廓整形階段包含:提供一與該沈積氣體不同的輪廓整形氣體;由該輪廓整形氣體形成電漿;及停止該輪廓整形氣體的流動。
  36. 一種在蝕刻層中設置特性的方法,該蝕刻層具有一記憶體區域與一週邊區域,其中在該記憶體區域中之特性密度係至少兩倍於在該週邊區域中之特性密度,該方法包含步驟:在該蝕刻層上,形成第一與第二犧牲層;在該犧牲層上,形成一記憶體圖案遮罩,其中該記憶體圖案遮罩在該記憶體區域上設有特性但不在該週邊區域上設有特性;蝕刻該等特性進入該第一犧牲層;以一收縮沈積物,收縮該被蝕刻進入該第一犧牲層的該等特性; 經由該收縮沈積物,蝕刻該第一組犧牲層特性進入該第二犧牲層;以填料填入該第一組犧牲層特性的特性;移除該第一犧牲層,使得該填料的部份保持曝露在該第二犧牲層的表面上,其中空間係在該填料的該等曝露部份間,其中該等空間係在先前為該第一犧牲層所佔用的區域中,其中該等空間具有寬度;以一收縮側壁沈積物,收縮該填料之該等部份間之該等空間的寬度,其中該收縮側壁沈積物在該記憶體區域上提供一沈積,其中該收縮該等空間的寬度包含至少兩收縮循環,其中每一收縮循環包含:一收縮沈積階段,其在該填料的側壁上形成沈積物,以收縮該等空間;及一收縮輪廓整形階段,其整形在該填料的該等側壁上的沈積物;經由該收縮側壁沈積物,蝕刻第二組犧牲層特性進入第二犧牲層,其中該第二組犧牲層特性係只在該記憶體區域中不在該週邊區域中;移除該填料與收縮側壁沈積物;在該記憶體區域與週邊區域上,形成一週邊圖案遮罩,其中特性係設在該週邊區域上,以及,其中特性未設在該記憶體區域上;經由該週邊圖案遮罩,蝕刻該第二犧牲層,其中特性係被蝕刻進入在該週邊區域中之該第二犧牲層; 移除該週邊圖案遮罩;及由該第二犧牲層,蝕刻特性進入該蝕刻層。
TW095143225A 2005-11-30 2006-11-22 自行對準間隔縮減 TWI423302B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/291,303 US7560388B2 (en) 2005-11-30 2005-11-30 Self-aligned pitch reduction
US11/558,238 US7390749B2 (en) 2005-11-30 2006-11-09 Self-aligned pitch reduction

Publications (2)

Publication Number Publication Date
TW200731340A TW200731340A (en) 2007-08-16
TWI423302B true TWI423302B (zh) 2014-01-11

Family

ID=37698254

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095143225A TWI423302B (zh) 2005-11-30 2006-11-22 自行對準間隔縮減

Country Status (5)

Country Link
US (1) US7390749B2 (zh)
KR (1) KR101318976B1 (zh)
MY (1) MY150207A (zh)
TW (1) TWI423302B (zh)
WO (1) WO2007064499A1 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US20090209097A1 (en) * 2008-02-15 2009-08-20 Thomas Schulz Method of forming interconnects
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8691697B2 (en) 2010-11-11 2014-04-08 International Business Machines Corporation Self-aligned devices and methods of manufacture
US8742477B1 (en) * 2010-12-06 2014-06-03 Xilinx, Inc. Elliptical through silicon vias for active interposers
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8748297B2 (en) * 2012-04-20 2014-06-10 Infineon Technologies Ag Methods of forming semiconductor devices by singulating a substrate by removing a dummy fill material
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9059315B2 (en) * 2013-01-02 2015-06-16 International Business Machines Corporation Concurrently forming nFET and pFET gate dielectric layers
US8835328B2 (en) * 2013-02-08 2014-09-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved semiconductor fin structures
CN104681429B (zh) * 2013-11-27 2017-12-29 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10566531B2 (en) 2017-11-17 2020-02-18 International Business Machines Corporation Crosspoint fill-in memory cell with etched access device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030219988A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US20030232509A1 (en) * 2002-06-12 2003-12-18 Chia-Chi Chung Method for reducing pitch
US20030232474A1 (en) * 2002-06-12 2003-12-18 Jiun-Ren Lai Pitch reduction in semiconductor fabrication
US20040002217A1 (en) * 2002-06-27 2004-01-01 Martin Mazur Method of defining the dimensions of circuit elements by using spacer deposition techniques
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20040126705A1 (en) * 2002-12-30 2004-07-01 Zhijian Lu Pattern transfer in device fabrication

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US6297125B1 (en) 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
TW451402B (en) 1999-04-19 2001-08-21 United Microelectronics Corp Manufacturing method of inter-metal dielectric layer
US6413827B2 (en) 2000-02-14 2002-07-02 Paul A. Farrar Low dielectric constant shallow trench isolation
KR100428791B1 (ko) * 2002-04-17 2004-04-28 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
DE10220486C1 (de) * 2002-05-07 2003-09-18 Nbt Gmbh Alkalischer Akkumulator
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
EP1521301A1 (en) * 2003-09-30 2005-04-06 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Method of formation of airgaps around interconnecting line
US7018917B2 (en) 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
US7560388B2 (en) 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7432189B2 (en) 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7485581B2 (en) 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030219988A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US20030232509A1 (en) * 2002-06-12 2003-12-18 Chia-Chi Chung Method for reducing pitch
US20030232474A1 (en) * 2002-06-12 2003-12-18 Jiun-Ren Lai Pitch reduction in semiconductor fabrication
US20040002217A1 (en) * 2002-06-27 2004-01-01 Martin Mazur Method of defining the dimensions of circuit elements by using spacer deposition techniques
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20040126705A1 (en) * 2002-12-30 2004-07-01 Zhijian Lu Pattern transfer in device fabrication

Also Published As

Publication number Publication date
KR20080074203A (ko) 2008-08-12
TW200731340A (en) 2007-08-16
MY150207A (en) 2013-12-13
US20070122977A1 (en) 2007-05-31
KR101318976B1 (ko) 2013-10-16
WO2007064499A1 (en) 2007-06-07
US7390749B2 (en) 2008-06-24

Similar Documents

Publication Publication Date Title
TWI423302B (zh) 自行對準間隔縮減
US7560388B2 (en) Self-aligned pitch reduction
KR101353239B1 (ko) 피치 감소
TWI381427B (zh) 臨界尺寸縮減及粗糙度控制
TWI411040B (zh) 使用多重遮罩之特徵關鍵尺寸的減小
TWI353019B (en) Method of preventing damage to porous low-k materi
TWI447800B (zh) 光阻雙重圖形化
KR101338841B1 (ko) 에칭 프로세스를 위한 안정화된 포토레지스트 구조
TWI357094B (en) Reduction of feature critical dimensions
TWI420594B (zh) 去氟化製程
KR101711669B1 (ko) 측벽 형성 공정
KR101534883B1 (ko) 마스크 트리밍
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
KR101516455B1 (ko) Arl 에칭을 이용한 마스크 트리밍
TWI416664B (zh) 具有降低電容用自行對準間隙之裝置
JP2008060565A (ja) 三層レジストによる有機層エッチング
JP2009505421A (ja) ラインエッジ粗さを低減させた特徴のエッチング
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
TWI405265B (zh) 均勻控制的蝕刻
TWI420592B (zh) 具有降低電容用間隙之裝置
KR20070046095A (ko) 유전층 에칭 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees