TWI408779B - 半導體裝置之形成方法及其結構 - Google Patents

半導體裝置之形成方法及其結構 Download PDF

Info

Publication number
TWI408779B
TWI408779B TW095111826A TW95111826A TWI408779B TW I408779 B TWI408779 B TW I408779B TW 095111826 A TW095111826 A TW 095111826A TW 95111826 A TW95111826 A TW 95111826A TW I408779 B TWI408779 B TW I408779B
Authority
TW
Taiwan
Prior art keywords
region
forming
substrate
conductivity type
semiconductor material
Prior art date
Application number
TW095111826A
Other languages
English (en)
Other versions
TW200727416A (en
Inventor
Gordon M Grivna
Peter J Zdebel
Diann Dow
Original Assignee
Semiconductor Components Ind
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Components Ind filed Critical Semiconductor Components Ind
Publication of TW200727416A publication Critical patent/TW200727416A/zh
Application granted granted Critical
Publication of TWI408779B publication Critical patent/TWI408779B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • H01L21/8249Bipolar and MOS technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0623Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0635Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with bipolar transistors and diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type
    • H01L27/0676Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type comprising combinations of diodes, or capacitors or resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0646PN junctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Integrated Circuits (AREA)

Description

半導體裝置之形成方法及其結構
本發明大體上係關於電子學,且更特定言之,係關於半導體裝置之形成方法及其結構。
在過去,半導體工業利用各種半導體方法以在半導體晶粒上製造互補金屬氧化物半導體(MOS)電晶體及在相同半導體晶粒上製造MOS及雙極電晶體兩者。在兩半導體裝置之間之隔離一般為兩種類型之一:接面隔離或介電隔離或者其組合。接面隔離依賴於電晶體實現以使得在裝置之間總存在反偏壓接面,其阻斷裝置之間非吾人所樂見的電流。對阻斷非吾人所樂見之電流的第二約束為自一反偏壓接面散佈之空乏不能達到任何其他接面之空乏區域。對阻斷非吾人所樂見之電流的第三約束為任何寄生PNP或NPN裝置之雙極作用必須微小,換言之,需要針對栓鎖效應之免疫力。例如,兩個N-MOS裝置可置於相同P型井中且可使其相互接面隔離,只要P型井電壓等於或低於兩個N型源極區及兩個N型汲極區之間的電壓,且在兩裝置之間散佈之P-N接面空乏並不接觸即可。第二實例可為使N-MOS及P-MOS裝置相互接面隔離,只要P-MOS裝置之N型井區域處於比N-MOS裝置之P型井區域更高之電壓,且由N-MOS汲極、N-MOS P型井及P-MOS N型井組成之寄生裝置中的電流可忽略。第三實例為雙極裝置一般需要一特定區域,其經添加以確保在所有時間皆存在反偏壓接面,從而達成接面隔離,即,除射極/基極或基極/集極接面之外需要另一接面。此接面可為射極/隔離接面、基極/隔離接面或集極/隔離接面。
接面隔離式半導體裝置之實現係限於具有CMOS裝置之淺擴散場植入區域或深擴散隔離區域(常稱為振盪中斷區域)的薄場氧化物層。淺(通常深度小於一微米)擴散場植入物並不提供對包括MOS電晶體之裝置的充分隔離及栓鎖效應保護。振盪中斷區域需要對於擴散源之大遮罩開口以使得擴散並不變得受源限制。此外,摻雜區域之寬度通常為垂直擴散之約百分之一百四十(140%)。在操作期間,該寬度又增加約百分之三十以使得振盪中斷區域之電寬度大致與該振盪中斷區域之深度相同。因此,裝置間隔必須包括用於電空乏散佈之額外空間。此等要點限制積體密度及使用振盪中斷區域之方法的可量測性。另外,由於MOS裝置依賴於淺擴散場植入區域,因此為了限制栓鎖效應條件,對MOS裝置之設計規則有顯著制約。例如,栓鎖效應規則需要在N通道源極/汲極擴散與P通道源極/汲極擴散之間的大間隔。因此,源極及汲極擴散不可在其各自之井的邊緣上發生。此等規則對於接近於電路之輸入或輸出處之裝置而言尤其大。該等栓鎖效應規則亦限制MOS裝置之尺度且降低積體密度。
在一些雙極應用中使用氧化物線性渠溝隔離。氧化物線性渠溝提供與介電隔離相聯關之低寄生效應及更小之間隔規則。氧化物線性渠溝並不顯著減少在電晶體之間之串擾或交流載流子流動。另外,固有雙極裝置並非可量測,因此氧化物線性渠溝之使用並不導致尺寸減小及成本降低。
因此,存在對提供改良栓鎖效應保護、在半導體晶粒上提供電晶體之間之改良隔離、最小化空間利用以提供高積體密度的半導體裝置及其方法之需要。
圖1說明半導體裝置25一部分之一實施例的放大橫截面圖,該裝置具有經改良之栓鎖效應免疫力及在裝置25之元件之間的電隔離,該裝置具有高密度,且具有經改良之栓鎖效應保護。裝置25包括複數個主動式電裝置,該等電裝置包括形成在半導體基板40上之電晶體。裝置25亦包括複數個被動式電裝置,此將如下文中進一步所見。裝置25包括亦形成於基板40上之雙極電晶體26、第一MOS電晶體27及第二MOS電晶體28。彼等熟習此項技術者應瞭解裝置25可具有更多數量之電晶體26、27或28中的任一者,然而,為達成圖示之清楚性,僅舉例說明三個電晶體。另外,電晶體26、27及28可為裝置25之數位電路或類比電路之電晶體。裝置25亦可包括其他主動式元件(諸如其他類型之電晶體及二極體)及被動式元件(諸如電容器及電阻器),為達成圖示之清楚性,並未對其進行說明。
為了使電晶體26與電晶體27及28隔離,裝置25包括第一隔離渠溝34,該第一隔離渠溝係形成於基板40之第一部分的周邊周圍,在該第一部分處形成電晶體26。渠溝34通常延伸至基板40中且亦沿大體上與基板40之表面平行的方向穿過基板40延伸以便圍繞於基板40之第一部分的周邊,從而圍繞於電晶體26之周邊。電晶體28係在由第二隔離渠溝35圍繞之基板40的第二部分中形成。渠溝35通常垂直延伸至基板40中且亦沿大體上與基板40之表面平行的方向穿過基板40延伸以便圍繞於基板40之第二部分的周邊,從而圍繞於電晶體28之周邊。如將在下文中進一步所見,渠溝35改良對電晶體28之栓鎖效應保護。電晶體27一般係在基板40之第三部分中形成,該第三部分並不在分別由隔離渠溝34或35所圍繞之基板40之第一或第二部分的任一者之內。電晶體27通常並不為類似於渠溝34及35之隔離渠溝所圍繞。箭頭31、29及30以通用方式分別標識基板41之第一、第二及第三部分。裝置25及形成裝置25之方法的實施例係在下文中針對裝置25之一實施例來描述,其中電晶體26為NPN雙極電晶體,電晶體27為N通道MOS電晶體,且電晶體28為P通道MOS電晶體。然而,彼等熟習此項技術者應認識到可使半導體材料傳導類型反轉以形成互補類型之電晶體。
圖2說明半導體裝置25之一放大橫截面部分,其說明形成裝置25之方法之實施例的早期階段部分。此描述將參看圖1及圖2兩者。裝置25係在大塊半導體基板41上形成,該基板通常為具有固有值大約為1E19原子/立方公分之峰值摻雜濃度的p型基板。若內埋層之摻雜更輕微,則基板41之峰值摻雜可更高。第一內埋層43一般係在形成電晶體26之基板41第一部分處之內的基板41表面上形成,且第二內埋層44可在形成電晶體28之基板41第二部分處之內的基板41表面上形成。內埋層43及44通常為N型區域,其係藉由離子植入或彼等熟習此項技術者所熟知之其他類似技術在基板41表面上形成。在將用於形成層43及44之摻雜物置於基板41之內之後,對基板41進行退火處理以活化該等摻雜物。此後,磊晶層42一般係在基板41表面上形成且覆於內埋層43及44之上。層42通常為具有小於約1E19原子/立方公分之峰值摻雜濃度的N型層。
圖3說明在形成半導體裝置25之方法之實施例的後續階段時裝置25之一部分的放大橫截面圖。在形成層42之後,應用一遮罩以曝露層42覆於層43一部分之上的部分。使層42之經曝露部分摻雜以在層42及鄰接層43之內形成電晶體26的集極接觸區域46。通常將區域46摻雜為具有比層42更高之峰值摻雜濃度的N型。隨後,移除遮罩,且應用另一遮罩以曝露形成電晶體27之基板41第三部分處的部分。將基板40第三部分之曝露部分摻雜以形成P型區域48。隨後,移除遮罩,且應用第三遮罩以曝露在基板40第二部分之內部層42覆於層44之上的部分。將基板40之曝露部分摻雜以在層42及鄰接層44之內形成N型區域47。在形成區域47之後,移除第三遮罩,且應用第四遮罩以曝露基板40覆於區域48之外端或遠端之上的部分。場臨限值調整區域49係經由第四遮罩而形成以使得區域49係形成於層42之內且鄰接基板41及區域48之外端或遠端。移除第四遮罩,且應用第五遮罩以曝露待形成場氧化物區域之基板40之表面處,諸如在接觸區域46與電晶體26之其他部分之間以及在電晶體26、27及28之外部邊緣周圍。將基板40之曝露部分氧化以形成場氧化物區域或場氧化物51、52、53、54及55。場氧化物51、52、53、54及55一般係藉由LOCOS或多緩衝LOCOS技術或淺渠溝隔離或彼等熟習此項技術者所熟知之其他類似技術來形成。隨後移除第五遮罩以便於後續操作。
圖4說明在形成裝置25之方法之實施例的後續階段時裝置25之一部分的放大橫截面圖。可在基板40上形成遮罩層56以便於形成隔離渠溝34及35之開口。遮罩層56通常為氮化矽層或為由氧化物層所覆蓋之氮化矽層。第一開口可經由遮罩層56在場氧化物51及53以上形成,並在將形成電晶體26之基板40第一部分處的周邊周圍延伸,且第二開口可經由層56在場氧化物54及55以上形成並在將形成電晶體27之基板40第二部分處的周邊周圍延伸。使用層56中之第一開口,可形成開口58以經由場氧化物51及53、經由磊晶層42延伸,且在基板40內延伸第一距離63。同時,使用層56之第二開口以便於經由場氧化物54及55、經由磊晶層42形成開口59,且在基板40內延伸第一距離63。使用彼等熟習此項技術者熟知之渠溝形成方法來形成開口58及59。開口58及59通常具有非常小之寬度64。寬度64之範圍一般在用於形成裝置25之光微影設備之大體上最小解析度的最小值直至不大於場氧化物51-55之寬度的尺寸之間。在大多數實施例中,寬度64不大於且通常遠小於距離63之值的約百分之八十(80%)以使得渠溝34及35佔據非常小之區域且對裝置25之組裝密度的影響為最小。如將在下文中進一步所見,形成距離63以確保開口58及59延伸至至少接觸基板41,且通常在基板40內延伸約一至八微米。以高度摻雜之半導體材料60填充開口58及59,該半導體材料60具有與層42之摻雜類型相反的摻雜類型以便在層42與渠溝34及35之間形成P-N接面。摻雜半導體材料60的摻雜濃度大於相鄰材料(諸如層42之相鄰部分)之摻雜濃度,且所形成之摻雜濃度遍及材料60各處大體上恆定。大體上恆定之摻雜值的範圍通常為約1E18原子/立方公分至1E21原子/立方公分,且較佳為將其摻雜至用於材料60之材料的飽和度。目標為形成材料60以使得所形成之選定摻雜濃度在材料60之內大體上恆定。然而,如此項技術中所熟知,總存在阻止摻雜相同恆定的微小差異。在此項技術中有效地確定:根據精確恆定之理想目標將達至約百分之十(10%)之差異視作合理差異。另外,吾人熟知由於外擴散及其他熟知因素,摻雜濃度可沿著材料60與基板41及層42之界面沿外部邊緣而變化。材料60通常為摻雜多晶矽,但其可為其他導電材料(諸如磊晶矽)或導電聚合物。形成P-N接面鄰接區域48來改良栓鎖效應免疫力,此將如下文中進一步所見。材料60之高摻雜有助於使形成於材料60與層42之界面處的P-N接面具備大的勢井以便防止載流子在電晶體26與裝置25上之其他主動及被動式元件之間的流動且防止載流子自電晶體27經由基板40直至裝置25上之其他主動及被動式元件的流動。材料60向外延伸超過場氧化物51、53、54及55之上表面的部分可被移除(通常藉由蝕刻移除)以使得材料60可與其中形成渠溝34及35之材料的頂面大體上共平面,諸如與場氧化物51、53、54及55之頂面共平面。填充具有小至約0.1微米之開口之渠溝的技術在此項技術中為吾人所熟知。
圖5說明在形成裝置25之方法之實施例的另一後續階段時裝置25之一部分的放大橫截面圖。形成一絕緣體61,其覆蓋材料60以便使渠溝34及35與隨後將形成於場氧化物51、53、54及55之頂部的材料電隔離。在較佳實施例中,將材料60之一部分氧化以形成絕緣體61。稍後移除遮罩層56。基板40之表面在遮罩層56之下可具有一氧化物層。在該狀況中,通常移除該氧化物層。對電晶體27及28而言形成通道區域臨限值調整體。對於電晶體27之通道區域的臨限值調整體65係在區域47之表面上形成。為形成調整體65,通常將一遮罩應用至基板40上,該遮罩具有一開口以曝露在場氧化物54與55之間之區域47的至少一部分。隨後形成N型摻雜物,其經由該遮罩中之開口延伸至區域47中。為提供該裝置之正確操作,通常對調整體65之摻雜濃度進行選擇。移除該遮罩且應用另一遮罩,其曝露在場氧化物53與54之間之區域48的至少一部分。形成P型摻雜物,其經由該遮罩中之開口延伸至區域48中以便形成對於電晶體28之臨限值調整體66。移除該遮罩以曝露基板40之表面,且應用一閘極絕緣體遮罩,其曝露覆於區域47及48之上之基板40表面的至少一部分。在基板40之經曝露表面上形成對於電晶體27及28之閘極絕緣體62。亦可形成覆於區域46之上的絕緣體62。然後,將一閘極材料層68應用至基板40上且將保護層69應用至閘極材料層68上。在一較佳實施例中,層68之材料為多晶矽,且保護層69為二氧化矽。一旦形成閘極材料層68,即以不大於約攝氏九百度(900℃)歷時約三十(30)分鐘或者以攝氏一千零二十五度(1025℃)歷時約(30)秒之等效條件的時間及溫度之組合執行後續操作以便不干擾先前置於基板40之內的摻雜物,諸如層43及44、區域46、47、48及49之摻雜物及渠溝34及35之摻雜物,此將如下文中進一步所見。
圖6說明在形成裝置25之方法之實施例的進一步階段時裝置25之一部分之實施例的放大橫截面圖。將閘極材料層68及保護層69圖案化以移除除層68覆於區域48及47之上之部分71及72之外的層68及69,其中部分71及72係為電晶體27及28之閘極所要。通常該等閘極、如此之部分71及72大體上係位於區域47及48之中心,但在一些實施例中可自中心偏移,諸如在需要更高之崩潰電壓處。絕緣體73及74係分別在部分71及72之側壁及頂面上形成以便形成保護層以有助於形成裝置25之方法中的後續步驟。在較佳實施例中,藉由使各自之部分71及72的側壁及頂面氧化來形成絕緣體73及74。此後,形成覆蓋基板40之表面的氮化矽層75,包括覆蓋閘極部分71及72以及絕緣體73及74。部分71及72連同各自絕緣體73及74以及覆蓋絕緣體73及74之層75的部分形成各自電晶體27及28的各自之閘極結構70及80。另外,可將層68之部分留置於基板40表面上之其他處以便在基板40表面上形成電阻器(未圖示)。該等電阻器亦可由層75所覆蓋以在形成裝置25之方法中的後續步驟期間保護該等電阻器。圖6之描述中所解釋的步驟係在不大於約攝氏八百度(800℃)之溫度下執行以提供上文中所述之溫度優勢。
圖7說明在形成裝置25之方法之實施例的進一步階段時裝置25之一部分的放大橫截面圖。為形成雙極電晶體26,製備基板40之第一部分之區域。自將形成電晶體26之主動式部分的基板40之部分處移除層75。在較佳實施例中,自覆蓋於層43之上且在場氧化物51與52之間的基板40之部分移除層75。如由虛線所說明穿過基板40之第一、第二及第三部分應用一多晶矽層76。應用一遮罩77以保護層76介於場氧化物51與52之間的部分及穿過基板40橫向延伸至將形成層76之外部連接之點處的部分。在較佳實施例中,利用各向異性蝕刻以移除層76之未經保護之部分,留下層76與覆於層43之上之層42接觸的第一部分,且留下圍繞閘極結構70之間隔物78及圍繞閘極結構80之間隔物79。彼等熟習此項技術者將認識到亦可將層76之部分留置於層75之表面上且將其用於形成電阻器或電容器。通常將層76之該等部分摻雜以控制電阻值。圖7之描述中所解釋的步驟係在不大於約攝氏八百度(800℃)之溫度下執行以提供上文中所述之溫度優勢。
圖8說明根據形成裝置25之方法之一實施例在另一後續階段時裝置25之一部分的放大橫截面圖,該方法之實施例包括形成對於電晶體27的經輕度摻雜之源極及汲極區域。移除圖7之遮罩77,且應用遮罩81,其曝露在區域48之至少一部分之上的基板40。經由絕緣體62形成源極及汲極區域83以自基板40之表面延伸至調整體66中。間隔物78保護區域48及調整體66接近閘極結構70之邊緣的部分以防在此區域中形成摻雜物。移除間隔物78,且再次經由絕緣體62將電晶體27之曝露部分摻雜以便形成輕度摻雜之源極及汲極區域82。間隔物78在圖8中係以虛線來說明,此係因為在圖8之描述中所解釋的步驟期間將間隔物78移除。在較佳實施例中,以各向同性蝕刻移除間隔物78,其亦移除層75之水平部分,包括在結構70之頂部上及在間隔物78外部之基板40之表面上的部分。然而,在結構70之側面上及在間隔物78之下、絕緣體62表面之上的層75之部分通常保留為絕緣體87。稍後移除遮罩81。圖8之描述中所解釋的步驟係在不大於約攝氏八百度(800℃)之溫度下執行以提供上文中所述之溫度優勢。
圖9說明根據形成裝置25之方法之一實施例在另一後續階段時裝置25之一部分的放大橫截面圖,該方法之實施例包括形成電晶體28的經輕度摻雜之源極及汲極區域。應用遮罩84,其曝露在區域47之至少一部分之上的基板40。經由絕緣體62及層75形成源極及汲極區域86,而間隔物79保護在間隔物79及閘極結構80以下之區域47之部分。移除間隔物79且再次經由絕緣體62及層75將電晶體28之曝露部分摻雜以便形成經輕度摻雜之源極及汲極區域85。間隔物79在圖9中係以虛線來說明,此係因為在圖9之描述中所解釋的步驟期間將間隔物79移除。在較佳實施例中,以各向同性蝕刻移除間隔物79,其亦移除層75之水平部分,包括在結構80之頂部上及在間隔物79外部之基板40之表面上的部分。然而,在結構80之側壁上及在間隔物79之下、絕緣體62表面之上的層75之部分通常保留為絕緣體88。移除遮罩84。區域82、83、85及86通常係藉由彼等熟習此項技術者所熟知之離子植入技術來形成,但可藉由其他摻雜技術而形成。圖9之描述中所解釋的步驟係在不大於約攝氏八百度(800℃)之溫度下執行以提供上文中所述之溫度優勢。
圖10說明在形成裝置25之方法之實施例的另一後續階段時裝置25之一部分的放大橫截面圖。在移除遮罩84之後,氮化物層75保留於將形成雙極電晶體26之基板40之第一部分處之中。然而,已自將形成電晶體27及28之基板40第二及第三部分處移除層75。應用另一保護層90以覆蓋將形成電晶體26、27及28之基板40之部分處以便封裝電晶體26、27及28且保護電晶體26、27及28之部分免受後續操作。圖10中將層75之此等部分標識為層75/90。層90之材料通常與層75之材料相同。圖10之描述中所解釋的步驟係在不大於約攝氏八百度(800℃)之溫度下執行以提供上文中所述之溫度優勢。
圖11說明根據形成裝置25之方法之實施例在稍後階段時裝置25之一部分的放大橫截面圖。將基板40之第一部分的區域用於形成雙極電晶體26,包括形成電晶體26之主動式結構120。以通用方式藉由箭頭標識主動式結構120。在基板40上形成層間介電層91。通常藉由氧化方法經由層91、層90及層76形成開口92以曝露覆於層43之上且在場氧化物51與52之間的基板40表面之一部分。經由開口92將基板40之曝露表面的一部分摻雜以形成電晶體26之基極區域94。沿著開口92之側壁且穿過覆於區域94之上之基板40的曝露表面形成絕緣體93。在較佳實施例中,絕緣體93係藉由使層76之曝露側壁及基板40之曝露表面氧化而形成。在此較佳實施例中,氧化係在低於大約攝氏八百度之溫度下執行以防止干擾電晶體26、27及28之內之摻雜物。接著,形成電晶體26之主動式基極區域。形成覆蓋絕緣體93之氮化矽層96。在曝露層96之一部分的開口92內部形成間隔物’通常為多晶矽。經由材料97、層96及絕緣體93形成第二開口以曝露區域94之一部分。通常使用各向異性蝕刻來形成該第二開口。第二開口之寬度遠小於開口92之寬度。圖11之描述中所解釋的步驟係在不大於約攝氏八百度(800℃)之溫度下執行以提供上文中所述之溫度優勢。
圖12說明在形成裝置25之方法之實施例中的另一後續階段時裝置25之一部分的放大橫截面圖。以摻雜半導體材料98(諸如摻雜多晶矽)填充第二開口。隨後對基板40進行加熱以將電晶體26、27及28之摻雜區域內的摻雜物活化。在較佳實施例中,利用快速熱退火以將在雙極電晶體26以及MOS電晶體27及28中之摻雜物活化。為使在雙極電晶體及MOS電晶體兩者中之摻雜物活化,使用一高溫步驟來提供對該等電晶體之主動式區域的更大控制,且改良該等電晶體之性能。以來自材料98之摻雜物摻雜區域94之一部分,以形成電晶體26之射極區域99。
應注意若未使電晶體26形成為裝置25之一部分,則跳過在形成層75/90與形成層91之間之步驟,且可在形成層91之後隨即執行使摻雜物活化之一次退火。將層91及層90圖案化以曝露電晶體之諸等區域,在該等區域處導體將與電晶體26、27及28之部分電接觸。導體材料在開口之內形成,且延伸至開口之外以有助於形成與電晶體26、27及28之電接觸。該等開口包括有助於形成與層76之第一部分電接觸之基極116、有助於形成與區域99電接觸之射極110、有助於形成與集極接觸區域46電接觸之集極111、有助於形成與電晶體27之源極及汲極區域83電接觸之源極及汲極112及113以及有助於形成與電晶體28之源極及汲極區域86電接觸之源極及汲極114及115的開口。使諸如鈦、鎢或鋁之導體材料形成於該等開口之內,且與電晶體26、27及28之所述部分接觸。
圖13直至圖15說明根據形成裝置25之方法之一替代性實施例在各個階段時裝置25之一部分的放大橫截面圖。
圖13說明根據形成電晶體26、27及28之部分的方法之一替代性實施例的裝置25之一部分之放大橫截面圖。回頭參看圖11,材料97係在電晶體26之結構120中於穿透層76之開口之內形成。再參看圖13,在材料97在電晶體26之結構120之內形成該開口之內之後移除圖11之層91。在移除層91之後,層96及材料97之部分向外延伸超過保護層90。
圖14說明在圖13中說明之階段之後的一製造階段時裝置25之一部分的放大橫截面圖。應用一以虛線說明之絕緣體層123,其覆蓋電晶體26、27及28。至少在將形成電極之區域部分周圍形成間隔物。通常利用各向異性蝕刻來移除絕緣體層123之部分而留下絕緣體層123之其他部分以作為在主動式結構120之曝露部分周圍的間隔物101、在層76之側面周圍的間隔物102、沿著閘極結構70之側壁的間隔物103及沿著閘極結構80之側壁的間隔物104。可應用一遮罩(未圖示)以曝露希望形成複合低電阻電極結構(諸如矽化鈦、矽化鉑或類似電極)之區域。例如,可希望形成接觸結構120之材料97以及閘極結構70及80的如此結構。該遮罩曝露結構120及圍繞結構120之層76之部分、閘極結構70及圍繞結構70之層90之部分以及結構80連同圍繞結構80之層90之部分。自水平表面移除層90之曝露部分。例如,使用各向異性蝕刻來移除層90之水平部分而留下非水平部分。
圖15說明根據形成電晶體26、27及28之部分之方法的一替代性實施例在後續階段時裝置25之一部分的放大橫截面圖。形成電極材料以接觸所要連接點。電極材料係在部分71、72、區域83及86、層76及材料97上形成以形成各自之電極126、127、129、130、124及125。
參看圖16,將層間介電層91應用至基板40之上,且如上文在圖12之描述中所述形成電極。
鑒於上述全部內容,顯然吾人揭示一種新穎裝置及方法。隔離渠溝34及35改良裝置25之栓鎖效應保護。例如,在較佳實施例中,區域83為N型,區域48為P型,且區域49為N型。在沒有鄰接區域48之渠溝35的情況下,區域83、48及49形成寄生NPN電晶體。在沒有渠溝35之情況下,基極(區域48)之積體摻雜濃度低,而射極(區域83)之積體濃度高,其導致寄生NPN電晶體之高β。由於渠溝35之摻雜濃度通常較高,因此基極之積體摻雜濃度增加,由此降低β。通常該β約為一,藉此使栓鎖效應免疫力改良至少兩倍。如將藉由以下實例所見,渠溝35改良裝置25內部中之電晶體的栓鎖效應免疫力而未改變裝置25之密度,且改良I/O單元之栓鎖效應免疫力同時亦增加裝置25之密度。另外,渠溝(諸如渠溝35)之小尺寸使其可用於先前不能使用接面隔離之應用中,諸如用於通道止件。對於當電晶體27及28係在輸入/輸出(I/O)單元中時之狀況,渠溝35亦減少了形成電晶體27及28所需要之尺寸或表面積。在沒有渠溝35之情況下,在區域83與區域86之間之區域必須較大以降低栓鎖效應。然而,將渠溝35鄰接至區域48使區域83緊密接近於區域86。例如,對於一例示性主動式或被動式元件而言,其在輸入型裝置或輸出型裝置或其他可觸發栓鎖效應之高雜訊源的附近處形成,且該例示性元件係在無渠溝35之情況下形成的並係以0.36微米之設計規則而形成,將類似於區域83及86之區域必須以大於二十微米之距離隔開。然而,在具有渠溝35之情況下,區域83與86可接近至約三至四微米。對於不具有渠溝35且以0.25微米設計規則而形成之類似例示性裝置的狀況,在類似於區域83及86之區域之間的距離必須為約二十微米。然而,在具有渠溝35之情況下,對於0.25微米設計規則之區域83及86可靠近至一至二微米。
渠溝34及35之高摻雜濃度亦提供裝置25之低電阻基板觸點。使渠溝34及35延伸至至少接觸基板41且與其形成電接觸,此有助於形成低電阻觸點。通常,對渠溝34及35而言,距離63足以比層42或區域48中之任一者更深地延伸於基板41中。通常渠溝34及35超過層42於基板41中延伸1至8微米之間以便提供非常低之電阻基板觸點。寬度64可小至0.8至1.5微米之間。藉由渠溝34及35形成之低電阻基板觸點使必須在裝置25之表面上形成的體接觸點數減少。在不具有渠溝34及35之情況下,通常必須約每隔五十微米形成體觸點,但在具有渠溝34及35之情況下,使該距離增加至約三百微米,藉此進一步增加裝置25之積體密度。當基板41經高度摻雜時,渠溝34及35僅需要接觸基板41且與其形成電接觸。
圖17示意性地說明作為裝置25之一部分形成於基板40上的被動式裝置之部分的實施例。裝置25包括藉由類似於渠溝34及35之隔離渠溝相互隔離且與電晶體26、27及28隔離的二極體145、電容器150及電阻器160。在與電晶體28相鄰之基板40的一部分中舉例說明該等被動式裝置’然而,可在基板40之其他區域中,包括在包括電晶體26、27或28之一的隔離區域內形成該等被動式裝置中之任一者。
二極體145係在與形成電晶體26、27及28之基板40之部分處以及其中形成電容器150及電阻器160之基板40之部分處隔離的基板40之一部分中形成。隔離渠溝135圍繞其中形成二極體145的基板40之部分。渠溝135係以類似於渠溝34及35之方式來形成。然而,導體148係經由介電層91及層75來形成以接觸渠溝135之一部分的頂面以便與其形成電接觸。二極體145包括形成於由渠溝135所圍繞之基板40表面一部分之上的摻雜區域146。較佳地,區域146係在由渠溝135所圍繞之區域之內的磊晶層42表面上形成。以與其中形成區域146之區域之摻雜類型相反的摻雜類型將區域146摻雜。較佳地,將區域146摻雜為P型並形成二極體145之陰極,且層42之相鄰部分形成二極體145之陽極。導體147係經由介電層91及層75來形成以與區域146形成電接觸。渠溝135提供在導體148與其中形成區域146之基板40之部分之間的電接觸。在較佳實施例中,渠溝135及層42為相同傳導類型,為此,渠溝135提供在導體148與二極體145之陰極之間的電傳導。所說明之實施例僅為可如何形成二極體145之一實例,二極體145在藉由渠溝135所形成之區域內可具有各種其他實施例。
類似地,隔離渠溝136圍繞其中形成電容器150的基板40之一部分以便將電容器150與除二極體145及電阻器160之外的電晶體26、27及28隔離。渠溝136係以類似於渠溝34、35及135之方式來形成。電容器150具有可形成為基板40之表面上且較佳層42之表面上之摻雜區域151的底板。電容器150之頂板可為導體152,該導體152形成於覆於區域151之上的層75之一部分上。導體153係經由層91中之開口來形成以提供與渠溝136之一部分的電接觸。由於渠溝136與層42電接觸,因此渠溝136提供在導體153與由區域151形成之底板之間的電連接。導體152通常沿著基板40之表面延伸以形成與裝置25其他部分之電接觸。在導體152與區域151之間之層75之部分形成電容器150之介電體。圖17中所說明之例示性實施例僅為電容器150之實施例的一實例。電容器150在藉由渠溝136形成之隔離區域之內可具有各種實施例。
以類似方式,隔離渠溝137圍繞其中形成電阻器160的基板40之一部分,以便將電阻器160與電容器150及二極體145以及電晶體26、27及28隔離。摻雜區域162係在基板40中形成,其傳導性與形成電阻器160之基板40部分的傳導性相反。通常將該等區域稱為井。摻雜區域161係在區域162之內形成以便形成電阻器160之電阻性部分。通常,使區域161形成為穿過其中形成電阻器160之基板40表面之部分延伸的蛇形圖案。電阻器導體163與摻雜區域161之一端形成電接觸以形成電阻器160之一終端,導體164則與區域161之第二端形成電接觸以形成電阻器160之另一終端。所說明之實施例僅為電阻器160之一示例實施例。
通常,渠溝135、136及137係經由類似於場氧化物51-55之場氧化物141、142及143來形成。此種實施例使由渠溝135、136及137所使用之空間最小化。渠溝34、35、135、136及137可形成於基板40之其他部分中。例如,渠溝34、35、135、136及137中之任一者可穿透層42且在基板40之內之其他位置處於基板41中形成。
由與被動式元件之電連接可見,渠溝135、136及137不僅提供與經由基板41之電傳導的電隔離,而且提供達至在基板40中形成之裝置25之電元件的電通路。該等隔離渠溝可提供達至裝置25之其他元件(諸如達至主動式裝置之內埋層)的額外通路,該等其他元件具有與隔離渠溝之摻雜類型相同的摻雜類型。
返回參看渠溝34、35、135、136及137,大體而言,由於寬度64係由用於形成裝置25之設備的最小線解析度來決定,因此當具有更小線解析度之新型設備變得可利用時可按比例調整渠溝34、35、135、136及137。在不具有渠溝34及35之情況下,不具有渠溝34及35之裝置的栓鎖效應規則並非按比例調整,為此在電晶體27與28之間之間距並不直接以更小設備能力來按比例調整,因此必須加以再設計而非僅僅按比例調整該裝置之部分。然而,渠溝34、35、135、136及137與設備解析度成比例,藉此有助於以設備能力按比例調整裝置25。為此,渠溝34、35、135、136及137提供加工靈活性及更低製造成本。另外,距離63允許改變層42之厚度而不改變由渠溝34及35提供之低電阻基板觸點。此外,渠溝34、35、135、136及137通常比可藉由摻雜物之植入及後續活化來形成的形成者更深地延伸於基板之41中且比可藉由摻雜物之擴散來形成的形成者延伸更深。
另外,渠溝34、35、135、136及137收集可試圖經由基板40在裝置25之電晶體及其他元件(諸如電晶體26、27及28、二極體145、電容器150以及電阻器160)之間流動的載流子且減少在該等電晶體之間及在裝置25之任何其他主動或被動式元件之間的雜訊耦合。由於渠溝34及35圍繞各自電晶體26及28之周邊,因此渠溝34及35進一步阻斷載流子在電晶體之間的流動,藉此減少該等電晶體之間之雜訊耦合。使渠溝34、35、135、136及137經由層42延伸於基板41中,此有助於經由基板41與渠溝34、35、135、136及137形成電接觸。通常將基板41連接至其中使用裝置25之系統的最低電位處。在該狀況中,基板41提供與渠溝34、35、135、136及137之電接觸以向由渠溝34、35、135、136及137形成之P-N接面加偏壓。該偏壓改良渠溝34、35、135、136及137之勢井及載流子收集能力。在其他實施例中,渠溝34、35、135、136及137可具有對於頂部形成之電連接(諸如藉由省略覆於渠溝之上之絕緣體部分)或自側面形成之電連接(諸如藉由經由層42延伸以接觸來自側面之渠溝的區域)。當數位電路與類比電路係在相同半導體裝置上時此載流子阻斷作用尤其重要。在該等狀況中,諸如渠溝34及35之隔離渠溝改良類比電路之精確度同時允許數位電路在高頻率下操作。如上文所述,由於渠溝之寬度64非常小,因此渠溝34及35不消耗額外區域且並不對積體半導體裝置之積體密度有負性影響。
一旦場氧化物(諸如場氧化物51、52、53、54、55、141、142及143)形成,該方法中之所有步驟及操作即為在不大於約攝氏八百度(800℃)之溫度下執行直至如圖12之描述中所述之使雙極及MOS電晶體兩者中之摻雜物活化的步驟為止。僅使用一個摻雜物活化操作來改良裝置25之主動及被動式元件的性能。另外,由於並非必須將渠溝34、35、135、136及137之摻雜材料退火,因此渠溝34、35、135、136及137可在形成裝置25之方法期間的任何時間時(包括在場氧化物區域形成之後)形成,且甚至可經由場氧化物區域來形成,藉此增加裝置25之密度。對典型先前技術之裝置而言,必須在形成場氧化物區域之前形成擴散隔離區域,此係因為使摻雜物擴散所需要之高溫及長久擴散時間對場氧化物區域以及電晶體及其他主動式裝置之主動式區域的摻雜物有不利影響。因此,不利地影響到主動式元件之性能。
雖然已藉由特定較佳實施例描述了本發明,但是顯然對於彼等熟習半導體技術者而言,多種替代及更改將變得顯而易見。同樣,可在具有或不具有層42之情況下使用層43或44中之任一者,且層43及44彼此可具有相同或相反之傳導性。在一些實施例中,層42可具有與基板41相同之摻雜類型或層42可根本不存在。在一如此之實施例中,基板41可為經輕度摻雜之P型材料,且層42可為經中度摻雜之P型材料。可藉由諸如高能植入(例如兆電子伏特(Mev)植入物)之方法將層42之一部分相反地摻雜為N型以形成P型層42成為N型區域之一部分,其具有不小於本文中對於N型層42所述之摻雜的峰值摻雜概況。裝置之剩餘部分將如本文中諸如對於裝置25所描述。在另一類似實施例中,可自基板41省略層42且諸如以兆電子伏特植入將基板41之部分摻雜以形成本文中所述之內埋層,且為在基板41之表面上形成N型區域,其具有不小於本文中對於N型層42所述之摻雜的峰值摻雜概況。裝置之剩餘部分將如本文中諸如對於裝置25所描述。另外,雖然該方法可直接適用於其他雙極電晶體且適用於其他MOS電晶體、金屬半導體FET(MESFET)、HFET及其他電晶體結構,但已就特殊NPN、N-通道及P-通道電晶體結構對本發明進行了描述。
25...半導體裝置
26...雙極電晶體
27...第一MOS電晶體
28...第二MOS電晶體
29...箭頭
30...箭頭
31...箭頭
34...第一隔離渠溝
35...第二隔離渠溝
40...半導體基板
41...大塊半導體基板
42...磊晶層
43...第一內埋層
44...第二內埋層
46...集極接觸區域
47...N型區域
48...P型區域
49...場臨限值調整區域
51...場氧化物
52...場氧化物
53...場氧化物
54...場氧化物
55...場氧化物
56...遮罩層
58...開口
59...開口
60...高度摻雜之半導體材料
61...絕緣體
62...絕緣體
63...第一距離
64...開口寬度
65...臨限值調整體
66...臨限值調整體
68...閘極材料層
69...保護層
70...閘極結構
71...閘極部分
72...閘極部分
73...絕緣體
74...絕緣體
75...氮化矽層
75/90...覆蓋有保護層90之氮化矽層75
76...多晶矽層
77...遮罩
78...間隔物
79...間隔物
80...閘極結構
81...遮罩
82...源極及汲極區域
83...源極及汲極區域
84...遮罩
85...源極及汲極區域
86...源極及汲極區域
87...絕緣體
88...絕緣體
90...保護層
91...層間介電層
92...開口
93...絕緣體
94...基極區域
96...氮化矽層
97...材料
98...摻雜半導體材料
99...射極區域
101...間隔物
102...間隔物
103...間隔物
104...間隔物
110...射極
111...集極
112...源極
113...汲極
114...源極
115...汲極
116...基極
120...主動式結構
123...絕緣體層
124...電極
125...電極
126...電極
127...電極
129...電極
130...電極
135...隔離渠溝
136...隔離渠溝
137...隔離渠溝
141...場氧化物
142...場氧化物
143...場氧化物
145...二極體
146...摻雜區域
147...導體
148...導體
150...電容器
151...摻雜區域
152...導體
153...導體
160...電阻器
161...摻雜區域
162...摻雜區域
163...導體
164...導體
圖1說明依照本發明半導體裝置之一部分之實施例的放大橫截面部分。
圖2說明圖1半導體裝置之一放大橫截面部分,其說明依照本發明形成圖1半導體裝置之方法之實施例的早期階段部分。
圖3至圖12說明圖1半導體裝置之放大橫截面部分,其說明依照本發明根據製造圖1半導體裝置之方法之實施例的後續階段部分。
圖13至圖16說明圖1半導體裝置之放大橫截面部分,其說明依照本發明形成圖1半導體裝置之方法之替代性實施例的階段部分。
圖17示意性地說明被動式裝置之部分的實施例。
為達成說明之簡潔性及清楚性,該等圖中之元件無需按比例繪製,且在不同圖中相同參考數字表示相同元件。另外,為描述之簡潔性,省略熟知步驟及元件之描述及細節。雖然在本文中將該等裝置解釋為特定N通道或P通道裝置,但是普通熟習此項技術者應瞭解依照本發明互補裝置亦係可能的。為達成圖示之清楚性,將裝置結構之摻雜區域說明為一般具有直線邊緣及精確角度之角落。然而,彼等熟習此項技術者瞭解由於摻雜物之擴散及活化,摻雜區域之邊緣一般並非直線且角落並非具精確角度。
25...半導體裝置
26...雙極電晶體
27...第一MOS電晶體
28...第二MOS電晶體
29...箭頭
30...箭頭
31...箭頭
34...第一隔離渠溝
35...第二隔離渠溝
40...半導體基板
41...大塊半導體基板
42...磊晶層
43...第一內埋層
44...第二內埋層
46...集極接觸區域
47...N型區域
48...P型區域
49...場臨限值調整區域
51...場氧化物
52...場氧化物
53...場氧化物
54...場氧化物
55...場氧化物
65...臨限值調整體
66...臨限值調整體
71...閘極部分
72...閘極部分
73...絕緣體
74...絕緣體
75...氮化矽層
75/90...覆蓋有保護層90之氮化矽層75
76...多晶矽層
82...源極及汲極區域
83...源極及汲極區域
85...源極及汲極區域
86...源極及汲極區域
91...層間介電層
93...絕緣體
94...基極區域
96...氮化矽層
98...摻雜半導體材料
99...射極區域
110...射極
111...集極
112...源極
113...汲極
114...源極
115...汲極
116...基極

Claims (27)

  1. 一種形成一半導體裝置之方法,其包含:提供一第一傳導類型之一第一半導體材料的一基板;在該基板之一表面上形成一第二傳導類型之一第一區域;形成一第一開口,該第一開口自該第一區域之一表面延伸進入該基板達一第一距離且圍繞該第一區域之一第一部分;在該第一開口內形成該第一傳導類型之一第二半導體材料,以形成一第一隔離渠溝,其中該第二半導體材料具有一實質上恆定之摻雜濃度且深入該第一渠溝之一深度,且其中該第二半導體材料之一部分與該第一區域之一部分形成一P-N接面;在該第一區域之該第一部分上形成一第一電裝置;及在該第一區域之一第二部分上形成一第二電裝置,該第一區域之該第二部分係在該第一區域之該第一部分之外部。
  2. 如請求項1之方法,其中形成該第一電裝置包括形成一為一MOS電晶體、一雙極電晶體、一二極體、一電容器或一電阻器之其中一者的裝置。
  3. 如請求項1之方法,其中形成該第二電裝置包括形成一為一MOS電晶體、一雙極電晶體、一二極體、一電容器或一電阻器之其中一者的裝置。
  4. 如請求項1之方法,其中形成該第一傳導類型之該第二半 導體材料包括在該第一開口內形成經摻雜之多晶矽,其中該經摻雜之多晶矽之一部分與該第一區域形成該P-N接面。
  5. 如請求項4之方法,其中形成自該基板之該表面向該第一區域中延伸該第一距離的該開口包括形成寬度不大於約1.5微米且深度為該寬度之至少約三倍的該開口。
  6. 如請求項1之方法,其中提供該第一傳導類型之該第一半導體材料的該基板包括:提供該第一傳導類型之一大塊半導體基板、在該大塊半導體基板之一表面上且於該第一區域之該第一部分之下形成該第二傳導類型之一第一內埋層區域及形成覆於該第一內埋層區域之上之該第二傳導類型的一磊晶層。
  7. 如請求項1之方法,其中形成該第一傳導類型之該第二半導體材料包括:在該基板之一表面上形成一場隔離區域、形成穿透該場隔離區域且深入至該基板之中的該第一開口及在該第一開口內形成該第二半導體材料。
  8. 如請求項1之方法,其進一步包括使該第一區域之一第三部分由經該第一傳導類型之該第二半導體材料填充的一第二隔離渠溝所圍繞,包括形成在該第一區域之該第一部分外部的該第三部分及在該第一區域之該第三部分上形成一雙極電晶體之至少一部分及形成一第一MOS電晶體之至少一部分作為該第一電裝置。
  9. 如請求項8之方法,其進一步包括在該基板之一表面上且於該第一區域之該第三部分之下形成該第二傳導類型之 一內埋層區域及在該基板之該表面上形成一覆蓋該內埋層區域之磊晶層。
  10. 如請求項8之方法,其中在該第一區域之該第三部分中形成該雙極電晶體之該部分包括:在低於用於形成該雙極電晶體之該部分的摻雜物之活化溫度的溫度下形成該雙極電晶體之該部分及隨後活化用於形成該雙極電晶體之該部分的該等摻雜物及活化用於形成在該第一區域中形成之該第一MOS電晶體的摻雜物。
  11. 如請求項8之方法,其中在該第一區域之該第三部分上形成該雙極電晶體之該部分包括在形成該第一MOS電晶體之一主動式區域之後於該基板上形成一覆於該雙極電晶體之該部分之一主動式區域及該第一MOS電晶體之該主動式區域之上的保護層;穿過該保護層形成該第一開口且曝露該第一區域之一部分,其中該第一開口位於該雙極電晶體之該部分之上,且保持該保護層覆於該第一MOS電晶體之該主動式區域之上。
  12. 如請求項8之方法,其中使該第一區域之該第三部分由經該第二半導體材料填充的該第二隔離渠溝所圍繞包括:形成自該第一區域之該表面延伸進入該基板達一距離的一第二開口;及在該開口內形成經摻雜之多晶矽,其中該經摻雜之多晶矽之一部分與該第一區域形成另一P-N接面。
  13. 如請求項1之方法,其中形成該第一傳導類型之該第二半導體材料包括形成具有不小於約每立方公分1E18個原子 至每立方公分1E21個原子之實質上之摻雜濃度的該第二半導體材料。
  14. 一種形成一半導體裝置之方法,其包含:提供一第一傳導類型之一第一半導體材料的一基板;在該基板之一表面上形成與該第一傳導類型相反之一第二傳導類型的一第一區域;使該第一區域之一第一部分由經該第一傳導類型之一第二半導體材料填充的一第一隔離渠溝所圍繞,包括形成穿過一場隔離區域之一部分延伸且向該基板中延伸一第一距離的該渠溝,其中該第二半導體材料之至少一部分與該第一區域之一部分形成一P-N接面;在該第一區域之該第一部分中形成一第一雙極電晶體之至少一部分;在該第一區域之一第二部分中形成一第一MOS電晶體之至少一部分,該第一區域之該第二部分係在該第一區域之該第一部分之外部;在形成該第一MOS電晶體之一主動式區域之後於該第一區域上形成一覆於該第一雙極電晶體之該部分之一主動式區域及該第一MOS電晶體之該主動式區域之上的保護層;及穿過該保護層形成一第一開口且曝露該第一區域之一部分,其中該第一開口位於該第一雙極電晶體之該部分之上,且留下該保護層覆於該第一MOS電晶體之一主動式區域之上。
  15. 如請求項14之方法,其中使該第一區域之該第一部分由經該第一傳導類型之該第二半導體材料填充的該第一隔離渠溝所圍繞包括形成具有約每立方公分1E18個原子至每立方公分1E21個原子之實質上恆定之摻雜濃度的該第二半導體材料。
  16. 如請求項14之方法,其中在該第一區域之該第二部分中形成該第一MOS電晶體之至少該部分包括使該第一區域之該第二部分由經該第二半導體材料填充之一第二隔離渠溝所圍繞,且進一步包括在該第一區域之一第三部分中形成一第二MOS電晶體之至少一部分,該第一區域之該第三部分係在該第一區域之該第一部分及該第一區域之該第二部分兩者之外部。
  17. 一種形成一半導體裝置之方法,其包含:提供一第一傳導類型之一第一半導體材料的一基板;在該基板之一第一部分的一表面上形成一場隔離區域;使該基板之該第一部分由經與該第一傳導類型相反之一第二傳導類型之一第二半導體材料填充的一第一隔離渠溝所圍繞,包括形成穿透該場隔離區域之一開口及在該開口之一部分內形成經由該場隔離區域之一部分延伸且向該基板中延伸一第一距離的該渠溝,其中該第二半導體材料之至少一部分與該基板之一部分形成一P-N接面;在該基板之該第一部分中形成一第一MOS電晶體之至 少一部分;及在該基板之一第二部分中形成一第一電裝置,該基板之該第二部分係在該基板之該第一部分之外部。
  18. 如請求項17之方法,其中形成一第一電裝置之至少一部分包括形成為一MOS電晶體、一雙極電晶體、一二極體、一電容器或一電阻器之其中一者的該第一電裝置。
  19. 如請求項17之方法,其中提供該基板包括:提供一大塊半導體基板、在該大塊半導體基板之一表面上且在該基板之該第一部分之內形成該第一傳導類型之一第一內埋層區域及形成覆於該第一內埋層區域及在該基板之該第一部分之內的一磊晶層。
  20. 如請求項19之方法,其進一步包括使該基板之一第三部分由經該第二傳導類型之該第二半導體材料填充的一第二隔離渠溝所圍繞,包括形成向該基板中延伸該第一距離之該第二隔離渠溝,其中該第二半導體材料之至少一部分與該基板之一部分形成一P-N接面。
  21. 如請求項20之方法,其進一步包括在該基板之該第三部分中形成一雙極電晶體。
  22. 如請求項17之方法,其中使該基板之該第一部分由經與該第一傳導類型相反之該第二傳導類型之該第二半導體材料填充的該第一隔離渠溝所圍繞包括在該開口之內形成經摻雜之多晶矽。
  23. 如請求項17之方法,其進一步包括在該基板之該第一部分中形成複數個MOS電晶體。
  24. 一種形成一半導體裝置之方法,其包含:提供一第一傳導類型之一半導體基板;在該半導體基板之一表面上形成與該第一傳導類型相反之一第二傳導類型的一第一區域;在該第一區域與該半導體基板中形成一開口;及藉由以一具有該第一傳導類型之半導體材料填充該開口而形成一隔離渠溝,其中該隔離渠溝內的該半導體材料與該第一區域形成一P-N接面,以隔離一第一電裝置與經由該第一區域流至一第二電裝置之電流。
  25. 如請求項24之方法,其中形成該隔離渠溝包括使該第一區域之一第一部分由經該第一傳導類型之該半導體材料填充的一第一隔離渠溝所圍繞及在該第一區域之該第一部分上形成該第一電裝置。
  26. 如請求項25之方法,其進一步包括在該半導體基板之一第二部分上形成該第二電裝置,該半導體基板之該第二部分係在該第一區域之該第一部分之外部。
  27. 如請求項24之方法,其中形成其內具有該第一傳導類型之半導體材料以使該第一電裝置與電流隔離的該隔離渠溝包括形成如一MOS裝置、一雙極裝置、一二極體、一電容器或一電阻器之其中一者的該第一電裝置。
TW095111826A 2005-05-02 2006-04-03 半導體裝置之形成方法及其結構 TWI408779B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/119,106 US7638385B2 (en) 2005-05-02 2005-05-02 Method of forming a semiconductor device and structure therefor

Publications (2)

Publication Number Publication Date
TW200727416A TW200727416A (en) 2007-07-16
TWI408779B true TWI408779B (zh) 2013-09-11

Family

ID=37234984

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095111826A TWI408779B (zh) 2005-05-02 2006-04-03 半導體裝置之形成方法及其結構

Country Status (5)

Country Link
US (1) US7638385B2 (zh)
KR (1) KR101232662B1 (zh)
CN (1) CN100552919C (zh)
HK (1) HK1097104A1 (zh)
TW (1) TWI408779B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1654765A2 (en) * 2004-01-10 2006-05-10 Hvvi Semiconductors, Inc. Power semiconductor device and method therefor cross reference to related applications
US8530963B2 (en) * 2005-01-06 2013-09-10 Estivation Properties Llc Power semiconductor device and method therefor
US7511346B2 (en) * 2005-12-27 2009-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Design of high-frequency substrate noise isolation in BiCMOS technology
US7656003B2 (en) * 2006-08-25 2010-02-02 Hvvi Semiconductors, Inc Electrical stress protection apparatus and method of manufacture
US7666750B2 (en) * 2006-09-13 2010-02-23 Agere Systems Inc. Bipolar device having improved capacitance
US7888746B2 (en) * 2006-12-15 2011-02-15 Hvvi Semiconductors, Inc. Semiconductor structure and method of manufacture
US7608538B2 (en) * 2007-01-05 2009-10-27 International Business Machines Corporation Formation of vertical devices by electroplating
US7846789B2 (en) * 2007-10-16 2010-12-07 Texas Instruments Incorporated Isolation trench with rounded corners for BiCMOS process
US7880269B2 (en) * 2008-03-27 2011-02-01 Qimonda Ag Integrated circuit including a capacitor and method
CN102754227B (zh) * 2010-01-22 2015-09-23 因西亚瓦(控股)有限公司 硅发光器件及其制造方法
US8542465B2 (en) * 2010-03-17 2013-09-24 Western Digital Technologies, Inc. Suspension assembly having a microactuator electrically connected to a gold coating on a stainless steel surface
CN101847663B (zh) * 2010-04-30 2012-08-15 上海新进半导体制造有限公司 一种瞬间电压抑制器及形成瞬间电压抑制器的方法
JP2016009808A (ja) * 2014-06-25 2016-01-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN113725215A (zh) * 2021-09-03 2021-11-30 电子科技大学 一种具有隔离结构的氮化镓集成电路

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5177576A (en) * 1990-05-09 1993-01-05 Hitachi, Ltd. Dynamic random access memory having trench capacitors and vertical transistors
US6025225A (en) * 1998-01-22 2000-02-15 Micron Technology, Inc. Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same
US20050002003A1 (en) * 2003-05-19 2005-01-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4090254A (en) * 1976-03-01 1978-05-16 International Business Machines Corporation Charge injector transistor memory
JPS5586151A (en) * 1978-12-23 1980-06-28 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacture of semiconductor integrated circuit
US4574469A (en) 1984-09-14 1986-03-11 Motorola, Inc. Process for self-aligned buried layer, channel-stop, and isolation
NL8500526A (nl) * 1985-02-25 1986-09-16 Philips Nv Werkwijze voor het als vertragingslijn adresseren van een geheugen met willekeurige toegankelijkheid en signaalverwerkingsinrichting voorzien van zo een vertragingslijn.
US4663831A (en) * 1985-10-08 1987-05-12 Motorola, Inc. Method of forming transistors with poly-sidewall contacts utilizing deposition of polycrystalline and insulating layers combined with selective etching and oxidation of said layers
US4696097A (en) * 1985-10-08 1987-09-29 Motorola, Inc. Poly-sidewall contact semiconductor device method
US5057895A (en) * 1990-08-06 1991-10-15 Harris Corporation Trench conductor and crossunder architecture
US5196373A (en) * 1990-08-06 1993-03-23 Harris Corporation Method of making trench conductor and crossunder architecture
JPH0653311A (ja) * 1992-07-31 1994-02-25 Kawasaki Steel Corp 半導体集積回路装置
US6249030B1 (en) * 1992-12-07 2001-06-19 Hyundai Electronics Industries Co., Ltd. BI-CMOS integrated circuit
JP3324832B2 (ja) * 1993-07-28 2002-09-17 三菱電機株式会社 半導体装置およびその製造方法
US5789776A (en) * 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
CN1263637A (zh) * 1997-07-11 2000-08-16 艾利森电话股份有限公司 制作用于射频的集成电路器件的工艺
US6271070B2 (en) 1997-12-25 2001-08-07 Matsushita Electronics Corporation Method of manufacturing semiconductor device
KR100285701B1 (ko) * 1998-06-29 2001-04-02 윤종용 트렌치격리의제조방법및그구조
JP3532770B2 (ja) * 1998-07-08 2004-05-31 松下電器産業株式会社 半導体装置及びその製造方法
US7186609B2 (en) * 1999-12-30 2007-03-06 Siliconix Incorporated Method of fabricating trench junction barrier rectifier
SE0103036D0 (sv) * 2001-05-04 2001-09-13 Ericsson Telefon Ab L M Semiconductor process and integrated circuit
JP4306162B2 (ja) * 2001-08-22 2009-07-29 株式会社デンソー 半導体装置およびその製造方法
EP1353368A1 (en) * 2002-04-11 2003-10-15 AMI Semiconductor Belgium BVBA Semiconductor structure and method for manufacturing the same
US6855985B2 (en) * 2002-09-29 2005-02-15 Advanced Analogic Technologies, Inc. Modular bipolar-CMOS-DMOS analog integrated circuit & power transistor technology
US7041572B2 (en) * 2002-10-25 2006-05-09 Vanguard International Semiconductor Corporation Fabrication method for a deep trench isolation structure of a high-voltage device
US7285458B2 (en) * 2004-02-11 2007-10-23 Chartered Semiconductor Manufacturing Ltd. Method for forming an ESD protection circuit
US7253477B2 (en) * 2005-02-15 2007-08-07 Semiconductor Components Industries, L.L.C. Semiconductor device edge termination structure
US7482220B2 (en) * 2005-02-15 2009-01-27 Semiconductor Components Industries, L.L.C. Semiconductor device having deep trench charge compensation regions and method
US7176524B2 (en) * 2005-02-15 2007-02-13 Semiconductor Components Industries, Llc Semiconductor device having deep trench charge compensation regions and method
US7285823B2 (en) * 2005-02-15 2007-10-23 Semiconductor Components Industries, L.L.C. Superjunction semiconductor device structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5177576A (en) * 1990-05-09 1993-01-05 Hitachi, Ltd. Dynamic random access memory having trench capacitors and vertical transistors
US6025225A (en) * 1998-01-22 2000-02-15 Micron Technology, Inc. Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same
US20050002003A1 (en) * 2003-05-19 2005-01-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Also Published As

Publication number Publication date
TW200727416A (en) 2007-07-16
KR101232662B1 (ko) 2013-02-13
KR20060114655A (ko) 2006-11-07
CN100552919C (zh) 2009-10-21
US20060246652A1 (en) 2006-11-02
US7638385B2 (en) 2009-12-29
CN1858899A (zh) 2006-11-08
HK1097104A1 (en) 2007-06-15

Similar Documents

Publication Publication Date Title
TWI408779B (zh) 半導體裝置之形成方法及其結構
US5420061A (en) Method for improving latchup immunity in a dual-polysilicon gate process
KR100564890B1 (ko) 바이폴라/cmos집적회로의제조
KR100735654B1 (ko) 디커플링 캐패시터 및 그 제조 방법
US5618688A (en) Method of forming a monolithic semiconductor integrated circuit having an N-channel JFET
JP4608133B2 (ja) 縦型mosfetを備えた半導体装置およびその製造方法
JP3520973B2 (ja) 半導体装置
US7285830B2 (en) Lateral bipolar junction transistor in CMOS flow
US6570229B1 (en) Semiconductor device
CA2652889A1 (en) Scalable process and structure for jfet for small and decreasing line widths
WO2008057671A2 (en) Electronic device including a conductive structure extending through a buried insulating layer
US10177045B2 (en) Bulk CMOS RF switch with reduced parasitic capacitance
US11521961B2 (en) Back ballasted vertical NPN transistor
US6376870B1 (en) Low voltage transistors with increased breakdown voltage to substrate
US6071763A (en) Method of fabricating layered integrated circuit
US5965928A (en) Semiconductor device with MOS capacitor and fabrication method thereof
EP0078571A1 (en) Semiconductor device and method of manufacturing the same
US5512769A (en) High breakdown voltage semiconductor device and method of fabricating the same
US5122855A (en) Semiconductor device with latch-up prevention structure
JP6299390B2 (ja) 半導体装置
JP5463698B2 (ja) 半導体素子、半導体装置および半導体素子の製造方法
EP2997601A1 (en) An insulated gate bipolar transistor amplifier circuit
JP4794142B2 (ja) 半導体装置の製造方法
US6225662B1 (en) Semiconductor structure with heavily doped buried breakdown region
JPH05218321A (ja) 電界効果型トランジスタおよびその製造方法