TWI394212B - Substrate structure and manufacturing method thereof - Google Patents

Substrate structure and manufacturing method thereof Download PDF

Info

Publication number
TWI394212B
TWI394212B TW98105510A TW98105510A TWI394212B TW I394212 B TWI394212 B TW I394212B TW 98105510 A TW98105510 A TW 98105510A TW 98105510 A TW98105510 A TW 98105510A TW I394212 B TWI394212 B TW I394212B
Authority
TW
Taiwan
Prior art keywords
insulating layer
trench pattern
substrate
trench
pattern
Prior art date
Application number
TW98105510A
Other languages
Chinese (zh)
Other versions
TW201032274A (en
Inventor
Chih Cheng Lee
Original Assignee
Advanced Semiconductor Eng
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Semiconductor Eng filed Critical Advanced Semiconductor Eng
Priority to TW98105510A priority Critical patent/TWI394212B/en
Publication of TW201032274A publication Critical patent/TW201032274A/en
Application granted granted Critical
Publication of TWI394212B publication Critical patent/TWI394212B/en

Links

Description

基板結構及其製造方法Substrate structure and manufacturing method thereof

本發明是有關於一種基板結構及其製造方法,且特別是有關於一種具有埋入式線路(embedded pattern)之基板結構及其製造方法。The present invention relates to a substrate structure and a method of fabricating the same, and more particularly to a substrate structure having an embedded pattern and a method of fabricating the same.

請參照第1圖,其繪示習知具有埋入式線路之基板結構示意圖。習知之基板結構100包括一基材102、一絕緣層104及一埋入式線路層106,並具有一溝槽108。埋入式線路層106形成於溝槽108。一般來講,製作溝槽108之方式都是採用雷射加工方式。Please refer to FIG. 1 , which illustrates a schematic structural view of a substrate having a buried line. The conventional substrate structure 100 includes a substrate 102, an insulating layer 104, and a buried wiring layer 106, and has a trench 108. A buried wiring layer 106 is formed in the trench 108. In general, the manner in which the trenches 108 are formed is by laser processing.

然而,雷射光束之加工路徑只有沿著單軸向,即Z軸方向來進行,而無法同時往Z軸之兩邊對絕緣層104加工,因此導致溝槽108之槽寬會隨著其槽深縮小的現象。如此,製作完成之溝槽108,其槽底面110自然呈一弧面。而呈弧面之槽底面110的表面積被受限,使後續形成的埋入式線路層106的電性品質是不佳的。However, the processing path of the laser beam is only performed along the uniaxial direction, that is, the Z-axis direction, and the insulating layer 104 cannot be processed to both sides of the Z-axis at the same time, thereby causing the groove width of the groove 108 to follow the groove depth. Shrinking phenomenon. Thus, the groove 108 of the finished groove has a substantially curved surface. The surface area of the grooved bottom surface 110 is limited, so that the electrical quality of the subsequently formed buried wiring layer 106 is not good.

此外,雷射加工一次只能於基材102的一面進行溝槽加工,待此面的溝槽製作完成後,才能於另一面繼續製作出溝槽,相當地費時及不便。In addition, the laser processing can only perform groove processing on one side of the substrate 102 at a time. After the groove on the surface is completed, the groove can be continuously formed on the other side, which is quite time consuming and inconvenient.

本發明係有關於一種基板結構及其製造方法,以電漿蝕刻製作埋入式線路之溝槽,使溝槽之槽底面形成平面。如此,槽底面之面積係增加,使得後續形成之埋入式線路層具有比較大的電性連接表面,增進了埋入式線路層之電性。The invention relates to a substrate structure and a manufacturing method thereof, wherein a trench of a buried circuit is formed by plasma etching, so that a bottom surface of the groove of the groove is formed into a plane. Thus, the area of the bottom surface of the groove is increased, so that the subsequently formed buried circuit layer has a relatively large electrical connection surface, which improves the electrical conductivity of the buried circuit layer.

根據本發明,提出一種基板結構,包括一基材及一第一埋入式線路層。基材包括一第一絕緣層。第一絕緣層具有一第一溝槽圖案,第一溝槽圖案之一槽底面為平面。第一埋入式線路層形成於第一溝槽圖案。According to the present invention, a substrate structure is proposed comprising a substrate and a first buried wiring layer. The substrate includes a first insulating layer. The first insulating layer has a first trench pattern, and a groove bottom surface of the first trench pattern is a flat surface. The first buried wiring layer is formed in the first trench pattern.

根據本發明,提出一種基板結構之製造方法。製造方法包括以下步驟。提供一基材,基材包括一第一絕緣層。提供一第一光罩。提供一電漿,透過第一光罩於第一絕緣層上蝕刻出一第一溝槽圖案,第一溝槽圖案之一槽底面為平面。形成一第一埋入式線路層於第一溝槽圖案上。According to the present invention, a method of fabricating a substrate structure is proposed. The manufacturing method includes the following steps. A substrate is provided, the substrate comprising a first insulating layer. A first photomask is provided. A plasma is provided, and a first trench pattern is etched through the first mask on the first insulating layer, and a bottom surface of the first trench pattern is planar. Forming a first buried wiring layer on the first trench pattern.

為讓本發明之上述內容能更明顯易懂,下文特舉一較佳實施例,並配合所附圖式,作詳細說明如下:In order to make the above-mentioned contents of the present invention more comprehensible, a preferred embodiment will be described below, and in conjunction with the drawings, a detailed description is as follows:

在本發明之基板結構及其製造方法中,係利用電漿蝕刻製作出埋入式線路之溝槽,使溝槽之槽底面形成平面。如此,槽底面之面積係增加,使得後續形成之埋入式線路層具有比較大的電性連接表面,增進了埋入式線路層之電性品質。以下以二個較佳實施例來作說明。In the substrate structure of the present invention and the method of manufacturing the same, the trench of the buried wiring is formed by plasma etching to form a flat surface of the groove of the trench. Thus, the area of the bottom surface of the groove is increased, so that the subsequently formed buried circuit layer has a relatively large electrical connection surface, which improves the electrical quality of the buried circuit layer. The following description is made with two preferred embodiments.

第一實施例First embodiment

請參照第2圖,其繪示依照本發明第一實施例之基板結構之示意圖。基板結構200包括一基材202及一第一埋入式線路層206。基材202具有一第一表面208且包括一第一絕緣層204及一金屬層214,例如是銅層。第一絕緣層204,例如是介電層,形成於第一表面208上並與金屬層214接觸。第一絕緣層204具有一第一溝槽圖案210,第一埋入式線路層206形成於第一溝槽圖案210。Referring to FIG. 2, a schematic diagram of a substrate structure according to a first embodiment of the present invention is shown. The substrate structure 200 includes a substrate 202 and a first buried wiring layer 206. The substrate 202 has a first surface 208 and includes a first insulating layer 204 and a metal layer 214, such as a copper layer. The first insulating layer 204, such as a dielectric layer, is formed on the first surface 208 and in contact with the metal layer 214. The first insulating layer 204 has a first trench pattern 210, and the first buried wiring layer 206 is formed on the first trench pattern 210.

本實施例之第一溝槽圖案210係以電漿蝕刻方式製作,請參照第3圖,其繪示第2圖中第一溝槽圖案之局部A之放大示意圖。為了清楚說明以電漿蝕刻製作第一溝槽圖案之特色,於第3圖中省略第2圖之第一埋入式線路層206。由於電漿加工方向不只有Z軸方向,也往Z軸之兩邊方向D1及D2對第一絕緣層204進行蝕刻,所以第一溝槽圖案210之槽側壁218的斜度會比較緩和。並且,也可使第一溝槽圖案210之槽底面212形成平面,如此,使得第一溝槽圖案210之截面形狀可以是梯形,甚至接近矩形。相較於第1圖之習知的溝槽108,本實施例之第一溝槽圖案210的溝槽表面積較大,有助於後續形成的第一埋入式線路層206的電性品質。The first trench pattern 210 of the present embodiment is fabricated by plasma etching. Referring to FIG. 3, an enlarged schematic view of a portion A of the first trench pattern in FIG. 2 is illustrated. In order to clarify the feature of the first trench pattern by plasma etching, the first buried wiring layer 206 of FIG. 2 is omitted in FIG. Since the plasma processing direction is not only the Z-axis direction, but also the first insulating layer 204 is etched in the two directions D1 and D2 of the Z-axis, the slope of the groove sidewall 218 of the first trench pattern 210 is relatively moderate. Moreover, the groove bottom surface 212 of the first groove pattern 210 may be formed into a plane, such that the cross-sectional shape of the first groove pattern 210 may be trapezoidal or even rectangular. Compared with the conventional trench 108 of FIG. 1, the first trench pattern 210 of the present embodiment has a larger trench surface area, which contributes to the electrical quality of the subsequently formed first buried wiring layer 206.

此外,第一溝槽圖案210於第一絕緣層204之上表面218露出一第一槽開口220。在適當地控制電漿製程參數下,第一溝槽圖案210之槽底面212之寬度W1小於或實質上等於第一槽開口220之寬度W2,本實施例之寬度W1係以寬度W2的三分之一為例作說明。因此,相較於第1圖習知之溝槽108,本實施例使用電漿製作出的第一溝槽圖案210具有更大的溝槽表面積,且第一溝槽圖案210之槽側壁218的斜度也較緩和。如此,第一溝槽圖案210使後續形成的第一埋入式線路層206具有較佳的電性品質。在實務上,寬度W1與寬度W2之尺寸比例可視對電性品質之要求、製程成本及製程時間而定,本實施例並非用以限定寬度W1與寬度W2的比例。In addition, the first trench pattern 210 exposes a first trench opening 220 on the upper surface 218 of the first insulating layer 204. Under the proper control of the plasma process parameters, the width W1 of the groove bottom surface 212 of the first groove pattern 210 is less than or substantially equal to the width W2 of the first groove opening 220, and the width W1 of the embodiment is three points of the width W2. One is an example. Therefore, the first trench pattern 210 fabricated using the plasma in this embodiment has a larger trench surface area and the slope of the trench sidewall 218 of the first trench pattern 210 is compared to the trench 108 conventionally illustrated in FIG. The degree is also moderate. As such, the first trench pattern 210 enables the subsequently formed first buried wiring layer 206 to have a better electrical quality. In practice, the ratio of the width W1 to the width W2 may depend on the requirements of the electrical quality, the process cost, and the process time. This embodiment is not intended to limit the ratio of the width W1 to the width W2.

此外,雖然本實施例之第一溝槽圖案210未貫穿第一絕緣層,然而另一實施例之第一溝槽圖案也可以貫穿第一絕緣層204。請參照第4圖,其繪示另一實施態樣之第一溝槽圖案的示意圖。第一絕緣層204之一第一溝槽圖案224的一部分226貫穿第一絕緣層204並露出第一表面208之一部份228,且於第一絕緣層204之上表面216露出一第二槽開口230。如此,金屬層214可以藉由第一溝槽圖案224之此部分226與其它階層的電路進行電性連接。In addition, although the first trench pattern 210 of the embodiment does not penetrate the first insulating layer, the first trench pattern of another embodiment may also penetrate the first insulating layer 204. Please refer to FIG. 4 , which illustrates a schematic diagram of a first trench pattern of another embodiment. A portion 226 of the first trench pattern 224 of the first insulating layer 204 penetrates the first insulating layer 204 and exposes a portion 228 of the first surface 208, and a second trench is exposed on the upper surface 216 of the first insulating layer 204. Opening 230. As such, the metal layer 214 can be electrically connected to other levels of circuitry by the portion 226 of the first trench pattern 224.

由於電漿蝕刻方向是多方向,雖然電漿在碰到金屬層214時無法對金屬層214蝕刻,但還是會往Z軸兩邊繼續蝕刻第一絕緣層204,使得第一表面208之一部份228的寬度愈來愈寬。所以,第一表面208之一部份228的寬度W3可接近或等於第二槽開口230之寬度W4,此有助提升後續形成的第一埋入式線路層的電性品質。Since the plasma etching direction is multi-directional, although the plasma cannot etch the metal layer 214 when it hits the metal layer 214, the first insulating layer 204 is further etched on both sides of the Z-axis, so that one part of the first surface 208 The width of 228 is getting wider and wider. Therefore, the width W3 of one portion 228 of the first surface 208 can be close to or equal to the width W4 of the second slot opening 230, which helps to improve the electrical quality of the subsequently formed first buried wiring layer.

以下係以第5圖並搭配第6A至6C圖,詳細說明依照本發明第一實施例之基板結構的製造方法。請參照第5圖,其繪示依照本發明第一實施例之基板結構之製造方法流程圖,製造方法包括以下步驟。Hereinafter, a method of manufacturing a substrate structure according to a first embodiment of the present invention will be described in detail with reference to Fig. 5 in conjunction with Figs. 6A to 6C. Referring to FIG. 5, a flow chart of a method for manufacturing a substrate structure according to a first embodiment of the present invention is shown. The manufacturing method includes the following steps.

首先,請同時參照第6A圖,其繪示第一實施例之基板結構之基材示意圖。於步驟S502中,提供基材202,基材202具有第一表面208且包括第一絕緣層204及金屬層214。First, please refer to FIG. 6A at the same time, which shows a schematic diagram of the substrate of the substrate structure of the first embodiment. In step S502, a substrate 202 is provided. The substrate 202 has a first surface 208 and includes a first insulating layer 204 and a metal layer 214.

接著,請同時參照第6B圖,其繪示第6A圖中提供有第一光罩之示意圖。於步驟S504中,提供一第一光罩222,第一光罩222具有一摟空圖案234。Next, please refer to FIG. 6B at the same time, which shows a schematic diagram of the first photomask provided in FIG. 6A. In step S504, a first mask 222 is provided, and the first mask 222 has a hollow pattern 234.

再來,請同時參照第6C圖,其繪示第6B圖中提供有電漿之示意圖。於步驟S506中,提供一電漿P,透過第一光罩222之摟空圖案234,而於第一絕緣層204上蝕刻出第一溝槽圖案210。完成本步驟的作業環境係在一電漿室(未繪示)內。Next, please refer to FIG. 6C at the same time, which shows a schematic diagram of the plasma provided in FIG. 6B. In step S506, a plasma P is provided to pass through the hollow pattern 234 of the first mask 222 to etch the first trench pattern 210 on the first insulating layer 204. The working environment in which this step is completed is in a plasma chamber (not shown).

此外,於本步驟S506之後,尚須清除(desmear)附著於第一溝槽圖案210上之雜質,以利接下來的步驟S508中的形成第一埋入式線路層206。而清除方式例如是採用電漿設備,以乾蝕刻方式完成。因此,可於本步驟S506之後,移除第一光罩222,然後在相同的電漿設備內,使用電漿清除附著於第一溝槽圖案210上之雜質。更進一步地說,在習知的雷射方式中,於溝槽製作完成後,須費時、費力地移動基材至一可執行清除動作的電漿設備或其它溼製程設備,此已經影響到整個製程的流暢性。反觀本實施例,在不需變更設備且不需移動基材202的情況下,可於移除第一光罩222後,直接以原電漿設備執行清除動作,使整個製程在操作上變得相當流暢、便利及省時。In addition, after the step S506, the impurities attached to the first trench pattern 210 must be removed (desmear) to facilitate the formation of the first buried wiring layer 206 in the next step S508. The cleaning method is, for example, performed by dry etching using a plasma device. Therefore, after the step S506, the first mask 222 can be removed, and then the plasma attached to the first trench pattern 210 can be removed by using plasma in the same plasma device. Furthermore, in the conventional laser mode, after the groove is completed, it takes time and labor to move the substrate to a plasma device or other wet process device capable of performing a cleaning action, which has affected the whole process. The fluency of the process. In contrast, in this embodiment, after the first mask 222 is removed, the cleaning operation can be directly performed by the original plasma device without changing the device and without moving the substrate 202, so that the entire process becomes equivalent in operation. Smooth, convenient and time saving.

此外,於步驟S506中,若蝕刻出的第一溝槽圖案210包含了一如第4圖之貫穿部位,即第一溝槽圖案224之一部分226,則清除對象當然地也包含了此貫穿部位。In addition, in step S506, if the etched first trench pattern 210 includes a through portion of FIG. 4, that is, a portion 226 of the first trench pattern 224, the clearing object of course also includes the through portion. .

然後,於步驟S508中,形成第一埋入式線路層206於第一溝槽圖案210上。形成第一埋入式線路層206的方式例如是採用無電鍍(electroless plating)方式或化學沉積方式。至此,完成如第2圖所示之基板結構200。Then, in step S508, the first buried wiring layer 206 is formed on the first trench pattern 210. The manner in which the first buried wiring layer 206 is formed is, for example, an electroless plating method or a chemical deposition method. So far, the substrate structure 200 as shown in FIG. 2 is completed.

此外,另一實施例之製造方法也可以製造出如第4圖之第一溝槽圖案224。舉例來說,請參照第7圖並同時參照第8A圖,第7圖繪示另一實施例之製造方法流程圖,第8A圖繪示第7圖之製造方法中第二光罩之示意圖。步驟S502、S504、S506及S508於第5圖已說明過,在此便不再贅述,此處從步驟S702開始說明。如第8A圖所示,於步驟S702,提供一第二光罩232。第二光罩232具有一摟空圖案238。Further, the manufacturing method of another embodiment can also manufacture the first trench pattern 224 as shown in FIG. For example, refer to FIG. 7 and refer to FIG. 8A simultaneously. FIG. 7 is a flow chart of a manufacturing method of another embodiment, and FIG. 8A is a schematic view of a second photomask in the manufacturing method of FIG. Steps S502, S504, S506, and S508 have been described in FIG. 5, and will not be described again here. Here, description will be made from step S702. As shown in FIG. 8A, in step S702, a second mask 232 is provided. The second mask 232 has a hollow pattern 238.

然後,請同時參照第8B圖,其繪示第8A圖中提供有電漿之示意圖。於步驟S704,提供電漿P,透過第二光罩232的之摟空圖案238,於第一絕緣層204上蝕刻出一貫穿第一絕緣層204之貫穿部,貫穿部係成為第一溝槽圖案224之一部分226。至此,完成如第4圖所示之第一溝槽圖案224。Then, please refer to FIG. 8B at the same time, which shows a schematic diagram of the plasma provided in FIG. 8A. In step S704, the plasma P is supplied through the hollow pattern 238 of the second mask 232, and a through portion penetrating through the first insulating layer 204 is etched on the first insulating layer 204, and the through portion becomes the first trench. One portion 226 of pattern 224. So far, the first groove pattern 224 as shown in FIG. 4 is completed.

此外,一般來講,在製造過程中,基材202因受到製程環境的作用力,例如是壓力、溫度或腐蝕對基材202所產生的力量而產生變形。在另一實施態樣的製造方法中,可使用多個第一光罩來配合基材202之變形後尺寸。舉例來說,請參照第9圖,其繪示本實施例之基材的變形示意圖。基材202’是基材202變形後之外觀,其上的線路尺寸,例如是金屬層214上的線路圖案之尺寸(未繪示)隨著基材202’之變形而被拉伸或縮短,而與原本尺寸產生偏差。而本實施例可設計多個第一光罩,例如是第一光罩236a、236b及236c分別對應至基材202’中不同變形比例的多個部份,例如是分別對應至基材202’的第一部份202a’、第二部份202b’及第三部份202c’。此些第一光罩236a、236b及236c的尺寸係配合基材202’的變形比例設計,以使後續形成的第一溝槽圖案精確地對應至變形後的基材202’。Moreover, in general, during the manufacturing process, the substrate 202 is deformed by the force of the process environment, such as pressure, temperature, or the forces generated by the substrate 202. In another embodiment of the fabrication method, a plurality of first reticles can be used to match the deformed dimensions of the substrate 202. For example, please refer to FIG. 9 , which illustrates a schematic diagram of deformation of the substrate of the embodiment. The substrate 202' is the appearance of the deformed substrate 202, and the line size thereon, for example, the size of the line pattern on the metal layer 214 (not shown) is stretched or shortened as the substrate 202' is deformed. There is a deviation from the original size. In this embodiment, a plurality of first masks can be designed. For example, the first masks 236a, 236b, and 236c respectively correspond to portions of different deformation ratios in the substrate 202', for example, corresponding to the substrate 202'. The first portion 202a', the second portion 202b', and the third portion 202c'. The dimensions of the first reticles 236a, 236b, and 236c are designed to match the deformation ratio of the substrate 202' such that the subsequently formed first groove pattern accurately corresponds to the deformed substrate 202'.

以下係以第一光罩236a與基材202’之第一部分202a’的對應關係為例作說明。請同時參照第10圖,其繪示第9圖之基材的第一部分變形示意圖。基材202’的第一部份202a’在變形前是區域202a的部份。變形後之基材202’的第一部份202a’的邊長L4在變形前是邊長L2,所以變形比例是L4/L2;而邊長L3在變形前是邊長L1,所以變形比例是L3/L1。而本實施態樣之第一光罩236a可配合基材202’之第一部份202a’的變形比例設計。也就是說,第一光罩236a上的摟空圖案(第一光罩236a之摟空圖案未繪示)可配合上述變形比例L4/L2及L3/L1作對應調整,使第一光罩236a上之摟空圖案的尺寸與變形後之基材202’之第一部份202a’的尺寸實質上相同,以確保後續形成之第一溝槽圖案能夠精確地對應至變形後的基材202’。Hereinafter, the correspondence relationship between the first photomask 236a and the first portion 202a' of the substrate 202' will be described as an example. Please also refer to FIG. 10, which illustrates a first partial deformation diagram of the substrate of FIG. The first portion 202a' of the substrate 202' is part of the region 202a prior to deformation. The side length L4 of the first portion 202a' of the deformed substrate 202' is the side length L2 before the deformation, so the deformation ratio is L4/L2; and the side length L3 is the side length L1 before the deformation, so the deformation ratio is L3/L1. The first mask 236a of the present embodiment can be designed to match the deformation ratio of the first portion 202a' of the substrate 202'. That is, the hollow pattern on the first mask 236a (the hollow pattern of the first mask 236a is not shown) can be adjusted correspondingly to the deformation ratios L4/L2 and L3/L1, so that the first mask 236a The size of the upper hollow pattern is substantially the same as the size of the deformed first portion 202a' of the substrate 202' to ensure that the subsequently formed first groove pattern can accurately correspond to the deformed substrate 202'. .

第二實施例Second embodiment

請參照第11圖,其繪示依照本發明第二實施例之基板結構之示意圖。第二實施例與第一實施例不同之處在於,第二實施例之基板結構300之相對兩面皆具有溝槽圖案。其它相同之處沿用相同標號,在此並不再贅述。以下係詳細說明第二實施例之基板結構300之特徵。Please refer to FIG. 11 , which is a schematic diagram showing the structure of a substrate according to a second embodiment of the present invention. The second embodiment is different from the first embodiment in that the opposite sides of the substrate structure 300 of the second embodiment have a groove pattern. Other similarities are denoted by the same reference numerals and will not be described again. The features of the substrate structure 300 of the second embodiment will be described in detail below.

基板結構300之基材302更包括一金屬層308,例如是銅層及一第二絕緣層304。第二絕緣層304係形成於基材302之第二表面306上且第二絕緣層304具有一第二溝槽圖案322,而第二表面306係相對於第一表面208。The substrate 302 of the substrate structure 300 further includes a metal layer 308, such as a copper layer and a second insulating layer 304. The second insulating layer 304 is formed on the second surface 306 of the substrate 302 and the second insulating layer 304 has a second trench pattern 322, and the second surface 306 is opposite to the first surface 208.

相似於第一溝槽圖案224,第二溝槽圖案322具有一貫穿第二絕緣層304之孔320。此外,第二溝槽圖案322之槽底面310亦為平面。並且,第二溝槽圖案322於第二絕緣層304之上表面312露出一第三槽開口314,第二溝槽圖案322之槽底面310之寬度W6係小於或實質上等於第三槽開口314之寬度W5,本實施例之寬度W6係以寬度W5的三分之一為例作說明。Similar to the first trench pattern 224, the second trench pattern 322 has a hole 320 penetrating through the second insulating layer 304. In addition, the groove bottom surface 310 of the second groove pattern 322 is also a flat surface. The second trench pattern 322 exposes a third trench opening 314 on the upper surface 312 of the second insulating layer 304. The width W6 of the trench bottom surface 310 of the second trench pattern 322 is less than or substantially equal to the third trench opening 314. The width W5, the width W6 of the present embodiment is described by taking one third of the width W5 as an example.

此外,本實施例之基板結構300的兩面都具有電路結構,而熟知此技術領域者應當了解,金屬層214與308之間可透過一貫孔(via)(未繪示)進行電性導通。如此,基板結構300兩面的電路結構可透過此貫孔、基板結構300之第一溝槽圖案224之一部分226及第二溝槽圖案322之孔320來電性連接。In addition, the substrate structure 300 of the present embodiment has a circuit structure on both sides, and those skilled in the art should understand that the metal layers 214 and 308 can be electrically connected through a common via (not shown). As such, the circuit structure on both sides of the substrate structure 300 can be electrically connected through the through hole, the portion 226 of the first trench pattern 224 of the substrate structure 300, and the hole 320 of the second trench pattern 322.

此外,雖然本實施例之基板結構300之雙面上的結構層數係以單層為例作說明,然熟知此技術領域者應當了解,本實施例之基板結構300也可製作成雙面多層結構。In addition, although the number of structural layers on both sides of the substrate structure 300 of the present embodiment is described by taking a single layer as an example, those skilled in the art should understand that the substrate structure 300 of the present embodiment can also be fabricated into a double-sided multilayer. structure.

當然,雖然第11圖之第二溝槽圖案322上未形成一埋入式線路層。然而,此技術領域中具有通常知識者應明瞭,一第二埋入式線路層(未繪示)也可形成於第二溝槽圖案322上。Of course, although a buried wiring layer is not formed on the second trench pattern 322 of FIG. However, it should be apparent to those skilled in the art that a second buried wiring layer (not shown) may also be formed on the second trench pattern 322.

以下係以第12圖並搭配第13A~13B圖,詳細介紹第11圖之基板結構300的製造方法。請參照第12圖,其繪示依照本發明第二實施例之基板結構之製造方法流程圖。步驟S502、S504及S508於第一實施例之製造方法中皆已說明過,在此便不再贅述。以下係由步驟S802開始說明。Hereinafter, a method of manufacturing the substrate structure 300 of Fig. 11 will be described in detail with reference to Fig. 12 in conjunction with Figs. 13A to 13B. Referring to FIG. 12, a flow chart of a method of fabricating a substrate structure in accordance with a second embodiment of the present invention is shown. Steps S502, S504, and S508 have been described in the manufacturing method of the first embodiment, and will not be described again. The following is explained starting from step S802.

請同時參照第13A圖,其繪示第12圖中之第三光罩之示意圖。於步驟S802中,提供一第三光罩316,第三光罩316具有一摟空圖案318。第一光罩222係鄰近第一絕緣層204設置,而第二光罩232係鄰近第二絕緣層304設置。Please refer to FIG. 13A at the same time, which shows a schematic view of the third reticle in FIG. In step S802, a third mask 316 is provided, and the third mask 316 has a hollow pattern 318. The first mask 222 is disposed adjacent to the first insulating layer 204, and the second mask 232 is disposed adjacent to the second insulating layer 304.

然後,請同時參照第13B圖,其繪示第13A圖中提供有電漿之示意圖。於步驟S802中,電漿P同時透過第一光罩222的摟空圖案234及第三光罩314的摟空圖案318,分別於第一絕緣層204及第二絕緣層304上蝕刻出第一溝槽圖案210及第二溝槽圖案322。Then, please refer to FIG. 13B at the same time, which shows a schematic diagram of the plasma provided in FIG. 13A. In step S802, the plasma P is simultaneously etched through the hollow pattern 234 of the first mask 222 and the hollow pattern 318 of the third mask 314, and is first etched on the first insulating layer 204 and the second insulating layer 304, respectively. The groove pattern 210 and the second groove pattern 322.

至於孔320的形成方式係相似於第一溝槽圖案224之一部分226的形成方式,此於上述第7圖中已說明,在此便不再贅述。然後,於步驟S508完成後,完成第11圖之基板結構300。The manner in which the holes 320 are formed is similar to the manner in which the portion 226 of the first groove pattern 224 is formed, which has been described in the above-mentioned FIG. 7, and will not be described again. Then, after the step S508 is completed, the substrate structure 300 of FIG. 11 is completed.

當然,此技術領域中具有通常知識者應明瞭,於步驟S508後,也可以形成第二埋入式線路層(未繪示)於第二溝槽圖案上322上。Of course, it should be understood by those skilled in the art that after the step S508, a second buried circuit layer (not shown) may be formed on the second trench pattern 322.

由於電漿係分佈於整個電漿室內,應用此一特色,本實施例之第一溝槽圖案210與第二溝槽圖案322可同時形成,相當地省時。Since the plasma system is distributed throughout the plasma chamber, the first trench pattern 210 and the second trench pattern 322 of the embodiment can be simultaneously formed, which is quite time-saving.

本發明上述實施例所揭露之基板結構及其製造方法,至少具有如下優點:The substrate structure and the manufacturing method thereof disclosed in the above embodiments of the present invention have at least the following advantages:

(1).採用電漿蝕刻所製作出的溝槽圖案,其槽底面寬度可實質上等於其槽開口的寬度。如此,溝槽的表面積增加,有助於後續形成的埋入式線路層之電性品質。(1) A groove pattern formed by plasma etching, the groove bottom surface width being substantially equal to the width of the groove opening. As such, the surface area of the trench increases, contributing to the electrical quality of the subsequently formed buried wiring layer.

(2).在不需變更設備且不需移動基材的情況下,可於移除光罩後,直接以原電漿設備執行清除(desmear)動作,相當便利且省時。(2). Without removing the device and without moving the substrate, the desmear action can be performed directly on the original plasma device after removing the mask, which is quite convenient and time-saving.

(3).形成溝槽圖案的光罩可以設計成多個,分別對應變形後的基材中不同變形比例的多個部份,以使後續形成的溝槽圖案精確對應至變形後的基材。(3) The reticle forming the groove pattern may be designed in plurality, corresponding to a plurality of portions of different deformation ratios in the deformed substrate, so that the subsequently formed groove pattern accurately corresponds to the deformed substrate .

綜上所述,雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明。本發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾。因此,本發明之保護範圍當視後附之申請專利範圍所界定者為準。In conclusion, the present invention has been disclosed in the above preferred embodiments, and is not intended to limit the present invention. A person skilled in the art can make various changes and modifications without departing from the spirit and scope of the invention. Therefore, the scope of the invention is defined by the scope of the appended claims.

100、200、300...基板結構100, 200, 300. . . Substrate structure

102、202...基材102, 202. . . Substrate

104...絕緣層104. . . Insulation

106...埋入式線路層106. . . Buried circuit layer

108...溝槽108. . . Trench

110、212、310...槽底面110, 212, 310. . . Groove bottom

202’...變形後之基材202’. . . Deformed substrate

202a...區域202a. . . region

202a’...第一部份202a’. . . first part

202b’...第二部份202b’. . . Second part

202c’...第三部份202c’. . . Part III

204...第一絕緣層204. . . First insulating layer

206...第一埋入式線路層206. . . First buried circuit layer

208...第一表面208. . . First surface

210、224...第一溝槽圖案210, 224. . . First groove pattern

214、308...金屬層214, 308. . . Metal layer

216...第一絕緣層之上表面216. . . Upper surface of the first insulating layer

218...第一溝槽圖案之槽側壁218. . . Slot sidewall of the first groove pattern

220...第一槽開口220. . . First slot opening

222、236、236a、236b、236c...第一光罩222, 236, 236a, 236b, 236c. . . First mask

226...第一溝槽圖案之一部分226. . . One part of the first groove pattern

228...第一表面之一部份228. . . One part of the first surface

230...第二槽開口230. . . Second slot opening

232...第二光罩232. . . Second mask

234、238、318...摟空圖案234, 238, 318. . . Openwork pattern

304...第二絕緣層304. . . Second insulating layer

306...第二表面306. . . Second surface

322...第二溝槽圖案322. . . Second groove pattern

312...第二絕緣層之上表面312. . . Upper surface of the second insulating layer

314...第三槽開口314. . . Third slot opening

320...孔320. . . hole

D1、D2...方向D1, D2. . . direction

L1、L2、L3、L4...邊長L1, L2, L3, L4. . . Side length

P...電漿P. . . Plasma

W1、W2、W3、W4、W5、W6...寬度W1, W2, W3, W4, W5, W6. . . width

Z...軸向Z. . . Axial

第1圖繪示習知具有埋入式線路之基板結構示意圖。FIG. 1 is a schematic view showing the structure of a substrate having a buried line.

第2圖繪示依照本發明第一實施例之基板結構之示意圖。2 is a schematic view showing the structure of a substrate in accordance with a first embodiment of the present invention.

第3圖繪示第2圖中第一溝槽圖案之局部A之放大示意圖。FIG. 3 is an enlarged schematic view showing a portion A of the first groove pattern in FIG. 2 .

第4圖繪示另一實施態樣之第一溝槽圖案的示意圖。FIG. 4 is a schematic view showing a first trench pattern of another embodiment.

第5圖繪示依照本發明第一實施例之基板結構之製造方法流程圖。FIG. 5 is a flow chart showing a method of manufacturing a substrate structure according to a first embodiment of the present invention.

第6A圖繪示第一實施例之基板結構之基材示意圖。FIG. 6A is a schematic view showing the substrate of the substrate structure of the first embodiment.

第6B圖繪示第6A圖中提供有第一光罩之示意圖。FIG. 6B is a schematic view showing the first photomask provided in FIG. 6A.

第6C圖繪示第6B圖中提供有電漿之示意圖。Figure 6C is a schematic view showing the plasma provided in Figure 6B.

第7圖繪示另一實施例之製造方法流程圖。FIG. 7 is a flow chart showing a manufacturing method of another embodiment.

第8A圖繪示第7圖之製造方法中第二光罩之示意圖。FIG. 8A is a schematic view showing the second photomask in the manufacturing method of FIG. 7.

第8B圖繪示第8A圖中提供有電漿之示意圖。FIG. 8B is a schematic view showing the plasma provided in FIG. 8A.

第9圖繪示本實施例之基材的變形示意圖。FIG. 9 is a schematic view showing the deformation of the substrate of the embodiment.

第10圖繪示第9圖之基材的第一部分變形示意圖。Figure 10 is a schematic view showing the deformation of the first portion of the substrate of Figure 9.

第11圖繪示依照本發明第二實施例之基板結構之示意圖。11 is a schematic view showing the structure of a substrate in accordance with a second embodiment of the present invention.

第12圖繪示依照本發明第二實施例之基板結構之製造方法流程圖。Figure 12 is a flow chart showing a method of fabricating a substrate structure in accordance with a second embodiment of the present invention.

第13A圖繪示第12圖中之第三光罩之示意圖。FIG. 13A is a schematic view showing the third photomask in FIG. 12.

第13B圖繪示第13A圖中提供有電漿之示意圖。Figure 13B is a schematic view showing the provision of plasma in Figure 13A.

S502-S508...步驟S502-S508. . . step

Claims (11)

一種基板結構之製造方法,包括:提供一基材,該基材包括一第一絕緣層,其中該基材內具有一第一表面,該第一絕緣層設置於該第一表面上;提供一第一光罩;提供一電漿,透過該第一光罩於該第一絕緣層上蝕刻出一第一溝槽圖案,該第一溝槽圖案之一槽底面為平面;提供一第二光罩;以及提供該電漿,透過該第二光罩於該第一絕緣層上蝕刻出一貫穿該第一絕緣層之貫穿部,該貫穿部為該第一溝槽圖案之一部分,該貫穿部係露出該第一絕緣層所設置於上之該第一表面之一部分;以及形成一第一埋入式線路層於該第一溝槽圖案上。 A method for fabricating a substrate structure, comprising: providing a substrate, the substrate comprising a first insulating layer, wherein the substrate has a first surface, the first insulating layer is disposed on the first surface; a first mask; a plasma is provided, and a first trench pattern is etched on the first insulating layer through the first mask, wherein a groove bottom surface of the first trench pattern is flat; and a second light is provided And providing the plasma, through the second mask, etching a through portion of the first insulating layer, the through portion being a part of the first trench pattern, the through portion Forming a portion of the first surface on which the first insulating layer is disposed; and forming a first buried wiring layer on the first trench pattern. 如申請專利範圍第1項所述之製造方法,其中該第一溝槽圖案於該第一絕緣層之上表面露出一第一槽開口,該第一溝槽圖案之該槽底面之寬度係小於或實質上等於該第一槽開口之寬度。 The manufacturing method of claim 1, wherein the first trench pattern exposes a first slot opening on an upper surface of the first insulating layer, and a width of the bottom surface of the first trench pattern is smaller than Or substantially equal to the width of the first slot opening. 如申請專利範圍第1項所述之製造方法,其中在透過該第二光罩進行蝕刻之步驟中,更於該第一絕緣層之上表面露出一第二槽開口,該第一表面之該部份的寬度係小於或實質上等於該第二槽開口之寬度。 The manufacturing method of claim 1, wherein in the step of etching through the second mask, a second slot opening is exposed on the upper surface of the first insulating layer, and the first surface is The width of the portion is less than or substantially equal to the width of the second slot opening. 如申請專利範圍第1項所述之製造方法,其中於蝕刻出該第一溝槽圖案之該步驟與形成該第一埋入式線 路層之該步驟之間,該製造方法更包括:移除該第一光罩;以及提供該電漿,清除(desmear)附著於該第一溝槽圖案上之雜質。 The manufacturing method of claim 1, wherein the step of etching the first trench pattern and forming the first buried line Between the steps of the road layer, the manufacturing method further includes: removing the first mask; and providing the plasma to remove impurities attached to the first trench pattern. 如申請專利範圍第1項所述之製造方法,其中該基材內具有相對於該第一表面之一第二表面及一第二絕緣層,而該第二絕緣層形成於該第二表面上,該製造方法更包括:提供一第三光罩;於提供該電漿之該步驟中,該製造方法更包括:該電漿同時透過該第三光罩於該第二絕緣層上蝕刻出一第二溝槽圖案,該第二溝槽圖案之一槽底面為平面;以及該製造方法更包括:形成一第二埋入式線路層於該第二溝槽圖案上。 The manufacturing method of claim 1, wherein the substrate has a second surface opposite to the first surface and a second insulating layer, and the second insulating layer is formed on the second surface The manufacturing method further includes: providing a third mask; in the step of providing the plasma, the manufacturing method further comprises: etching the plasma through the third mask to etch a second insulating layer a second trench pattern, wherein a bottom surface of the trench pattern is a plane; and the manufacturing method further comprises: forming a second buried wiring layer on the second trench pattern. 如申請專利範圍第1項所述之製造方法,其中於該提供該第一光罩之該步驟中,該製造方法包括:提供複數個第一光罩,該些第一光罩之其中一者的尺寸係對應於該基材之一部分變形後的尺寸。 The manufacturing method of claim 1, wherein in the step of providing the first photomask, the manufacturing method comprises: providing a plurality of first photomasks, one of the first photomasks The dimensions correspond to the deformed dimensions of a portion of the substrate. 一種基板結構,包括:一基材,包括一第一絕緣層,該第一絕緣層具有一第一溝槽圖案,該第一溝槽圖案之一槽底面為平面,其中該基材內具有一第一表面,該第一絕緣層設置於該第一表面上,該第一溝槽圖案之一部分貫穿該第一絕緣層並露出該第一絕緣層所設置於上之該第一表面之一部 份;以及一第一埋入式線路(embedded pattern)層,形成於該第一溝槽圖案。 A substrate structure comprising: a substrate comprising a first insulating layer, the first insulating layer having a first trench pattern, wherein a groove bottom surface of the first trench pattern is a plane, wherein the substrate has a a first surface, the first insulating layer is disposed on the first surface, and one of the first trench patterns partially penetrates the first insulating layer and exposes a portion of the first surface on which the first insulating layer is disposed And a first buried pattern layer formed on the first trench pattern. 如申請專利範圍第7項所述之基板結構,其中該第一溝槽圖案於該第一絕緣層之上表面露出一第一槽開口,該第一溝槽圖案之該槽底面之寬度係小於或實質上等於該第一槽開口之寬度。 The substrate structure of claim 7, wherein the first trench pattern exposes a first trench opening on an upper surface of the first insulating layer, and a width of the bottom surface of the first trench pattern is less than Or substantially equal to the width of the first slot opening. 如申請專利範圍第8項所述之基板結構,其中該第一絕緣層之上表面露出一第二槽開口,該第一表面之該部份的寬度係小於或實質上等於該第二槽開口之寬度。 The substrate structure of claim 8, wherein a surface of the first insulating layer exposes a second slot opening, the portion of the first surface having a width less than or substantially equal to the second slot opening The width. 如申請專利範圍第7項所述之基板結構,其中該基材內具有相對於該第一表面之一第二表面及一第二絕緣層,該第一絕緣層形成於該第一表面上,該第二絕緣層形成於該第二表面上,該第二絕緣層具有一第二溝槽圖案,該第二溝槽圖案之一槽底面為平面,該基板結構更包括:一第二埋入式線路層,形成於該第二溝槽圖案。 The substrate structure of claim 7, wherein the substrate has a second surface opposite to the first surface and a second insulating layer, the first insulating layer is formed on the first surface, The second insulating layer is formed on the second surface. The second insulating layer has a second trench pattern. The bottom surface of the second trench pattern is a flat surface. The substrate structure further includes: a second buried layer. The circuit layer is formed in the second trench pattern. 如申請專利範圍第10項所述之基板結構,其中該第二溝槽圖案於該第二絕緣層之上表面露出一第三槽開口,該第二溝槽圖案之該槽底面之寬度係小於或實質上等於該第三槽開口之寬度。 The substrate structure of claim 10, wherein the second trench pattern exposes a third slot opening on an upper surface of the second insulating layer, and a width of the bottom surface of the second trench pattern is smaller than Or substantially equal to the width of the third slot opening.
TW98105510A 2009-02-20 2009-02-20 Substrate structure and manufacturing method thereof TWI394212B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW98105510A TWI394212B (en) 2009-02-20 2009-02-20 Substrate structure and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW98105510A TWI394212B (en) 2009-02-20 2009-02-20 Substrate structure and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201032274A TW201032274A (en) 2010-09-01
TWI394212B true TWI394212B (en) 2013-04-21

Family

ID=44854841

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98105510A TWI394212B (en) 2009-02-20 2009-02-20 Substrate structure and manufacturing method thereof

Country Status (1)

Country Link
TW (1) TWI394212B (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200610074A (en) * 2004-09-01 2006-03-16 Phoenix Prec Technology Corp Semiconductor electrical connecting structure and method for fabricating the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200610074A (en) * 2004-09-01 2006-03-16 Phoenix Prec Technology Corp Semiconductor electrical connecting structure and method for fabricating the same

Also Published As

Publication number Publication date
TW201032274A (en) 2010-09-01

Similar Documents

Publication Publication Date Title
US11063070B2 (en) Substrate and fabricating method thereof, and display apparatus
TWI573506B (en) Method for manufacturing printed circuit board
KR20050030100A (en) Method for forming alignment mark
CN101351092B (en) Inside imbedded type line board technique with conductive hole
TWI394212B (en) Substrate structure and manufacturing method thereof
JP2008078316A (en) Pattern forming method
CN101772273B (en) Base plate structure and manufacture method thereof
JP2008205122A (en) Semiconductor device and its manufacturing method
CN113597124A (en) Hyperfine FPC circuit manufacturing process suitable for thick copper
CN101026095A (en) Method for manufacturing semiconductor device
TWI531293B (en) Circuit board and manufacturing method of same
US10332783B2 (en) Method of manufacturing semiconductor device, and semiconductor device
TW201635876A (en) Circuit board and manufacturing method thereof
TWI478212B (en) Method for forming patterns
WO2023127157A1 (en) Touch panel and touch panel manufacturing method
JP2007149773A (en) Method of manufacturing semiconductor device
KR100755126B1 (en) Method for forming cu line of semiconductor device
KR20100042925A (en) Method of fabricating semiconductor device using damascene process
TWI394500B (en) Method for fabricating printed circuit board
KR100736146B1 (en) Method for fabricating the flexible circuit board
US20190131142A1 (en) Manufacturing method for trench
JP2001298081A (en) Semiconductor device and its manufacturing method
JP2007088012A (en) Semiconductor device and its designing method
KR100390941B1 (en) Method of forming a dual damascene pattern in a semiconductor device
JPH0637427A (en) Manufacture of copper polyimide wiring board and structure thereof