TWI390032B - 用於微電子基板之清潔組合物 - Google Patents

用於微電子基板之清潔組合物 Download PDF

Info

Publication number
TWI390032B
TWI390032B TW094122749A TW94122749A TWI390032B TW I390032 B TWI390032 B TW I390032B TW 094122749 A TW094122749 A TW 094122749A TW 94122749 A TW94122749 A TW 94122749A TW I390032 B TWI390032 B TW I390032B
Authority
TW
Taiwan
Prior art keywords
weight
composition
group
metal
water
Prior art date
Application number
TW094122749A
Other languages
English (en)
Other versions
TW200606249A (en
Inventor
Sean M Kane
Original Assignee
Avantor Performance Mat Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Avantor Performance Mat Inc filed Critical Avantor Performance Mat Inc
Publication of TW200606249A publication Critical patent/TW200606249A/zh
Application granted granted Critical
Publication of TWI390032B publication Critical patent/TWI390032B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2058Dihydric alcohols aromatic
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3227Ethers thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern

Landscapes

  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)
  • Epoxy Compounds (AREA)

Description

用於微電子基板之清潔組合物
本發明係關於用於微電子基板及特別用於清除含鋁微電子組件上的含金屬殘餘物而不會造成過度鋁腐蝕的清潔及剝離組合物。本發明亦係關於穿過微電子組件金屬層(例如鈦或氮化鈦層)之通道之清潔,同時其與底層鋁結構相容,即引起較少或不引起微電子組件之金屬腐蝕。本發明進一步係關於亦能清潔其他通道及金屬線之灰化後殘餘物及清潔或剝離微電子基板之未灰化光阻劑之清潔組合物。本發明之另一態樣係一種清潔或剝離含鋁微電子組件上的光阻劑及殘餘物而不會造成過度鋁腐蝕之方法。
在微電子裝置製造過程中,採用光阻劑將圖像轉移至微電子基板以製作期望的電路層。許多微電子裝置鍍有鋁。微電子基板亦可採用金屬例如鈦、氮化鈦、鎢及類似物質作為黏結促進劑及擴散阻止劑。
人們已提出使用許多鹼性微電子剝離及清潔組合物去除該等光電子基板上之交聯及硬化光阻劑及其他殘餘物,例如蝕刻後殘餘物。然而,此類剝離及清潔組合物存在一個問題,即由於使用此類清潔組合物可能造成金屬腐蝕。此類腐蝕可導致金屬線出現金屬鬚、蝕斑、凹口,此至少部分係由裝置基板中的金屬與所採用之鹼性剝離劑反應導致。美國專利第5,308,745號揭示一種此類鹼性微電子剝離及清潔組合物。雖然商業上已採用該專利之剝離及清潔組合物來剝離基板上之硬化及交聯光阻劑,但人們已發現使用該專利之清潔組合物試圖清潔鍍有鋁並含有來自諸如鈦層、氮化鈦層、鎢層及類似物質層之金屬殘餘物的微電子基板時,可導致顯著鋁腐蝕或金屬殘餘物清潔不徹底。因此,該專利之清潔組合物於清潔穿過鈦、氮化鈦、鎢及類似物質底層的通道之使用中受到限制。
因此,需要可有效去除該等金屬殘餘物並且不會在去除金屬殘餘物時由剝離及清潔組合物導致任何明顯鋁腐蝕之微電子剝離及清潔組合物。亦需要除可清潔該等金屬殘餘物外,亦可有效清除其他通道及金屬線之灰化後殘餘物及清除基板上之未經灰化之光阻劑之剝離及清潔組合物。
本發明提供用於清潔微電子基板之剝離及清潔組合物,及使用該等組合物清潔微電子基板之方法。該組合物包括:至少一種有機剝離溶劑;至少一種親核胺:至少一種不含氮之弱酸,其量足以中和自約3重量%至約75重量%且較佳自約19重量%至約75重量%之親核胺,以使該剝離組合物具有自約9.6至約10.9之水溶液pH,該弱酸具有2.0或以上之水溶液pK值及小於140之當量;至少一種去除金屬之化合物,其選自由二乙二醇及二乙二醇胺組成之群;及水。
本發明之用於清潔微電子基板之剝離及清潔組合物包括:a)至少一種有機剝離溶劑,b)至少一種親核胺,c)至少一種不含氮之弱酸,其量足以中和自約3重量%至約75重量%且較佳自約19重量%至約75重量%之親核胺,以使該剝離組合物具有自約9.6至約10.9之水溶液pH,該弱酸具有2.0或以上之水溶液pK值及小於140之當量,d)至少一種去除金屬之組合物,其選自由二乙二醇及二乙二醇胺組成之群,及e)水。
該組合物可額外包括一種或多種諸如金屬-錯合/抗腐蝕化合物、其他腐蝕抑制劑及表面活性劑等組份。
本發明之用於清潔微電子基板之方法包括一種清潔微電子基板而不會產生任何實質性金屬腐蝕之方法,該基板含有至少一種光阻劑聚合材料、蝕刻殘餘物及金屬殘餘物,該方法包括使該基板與一清潔組合物接觸一段足以清潔該基板之時間,其中該清潔組合物包括:a)至少一種有機剝離溶劑,b)至少一種親核胺,c)至少一種不含氮之弱酸,其量足以中和自約3重量%至約75重量%且較佳自約19重量%至約75重量%之親核胺,以使該剝離組合物具有自約9.6至約10.9之水溶液pH,該弱酸具有2.0或以上之水溶液pK值及小於140之當量,d)至少一種去除金屬之組合物,其選自由二乙二醇及二乙二醇胺組成之群,及e)水。
本發明之方法中所使用之組合物可額外包括一種或多種諸如金屬-錯合/抗腐蝕化合物、其他腐蝕抑制劑及表面活性劑等之組份。本發明之清潔微電子基板之方法尤其用於清潔包括一具有通道及含有金屬殘餘物之鍍鋁基板的基板,其中該等金屬殘餘物來自至少鈦及/或氮化鈦層之一。
本發明提供用於清潔微電子基板之剝離及清潔組合物,及使用該等組合物清潔微電子基板之方法。該組合物包括:至少一種有機剝離溶劑;至少一種親核胺:至少一種不含氮之弱酸,其量足以中和自約3重量%至約75重量%且較佳自約19重量%至約75重量%之親核胺,以使該剝離組合物具有自約9.6至約10.9之水溶液pH,該弱酸具有2.0或以上之水溶液pK值及小於140之當量;至少一種去除金屬之化合物,其選自由二乙二醇及二乙二醇胺組成之群;及水。
本發明之用於清潔微電子基板之剝離及清潔組合物包括:a)至少一種有機剝離溶劑,b)至少一種親核胺,c)至少一種不含氮之弱酸,其量足以中和自約3重量%至約75重量%且較佳自約19重量%至約75重量%之親核胺,以使該剝離組合物具有自約9.6至約10.9之水溶液pH,該弱酸具有2.0或以上之水溶液pK值及小於140之當量,d)至少一種去除金屬之組合物,其選自二乙二醇及二乙二醇胺組成之群,及e)水。
該組合物可額外包括一種或多種諸如金屬-錯合/抗腐蝕化合物、其他腐蝕抑制劑及表面活性劑等組份。
該至少一種有機剝離溶劑於該組合物中之含量通常為自約20至約80重量%,較佳自約30至約75重量%,更佳自約40至約60重量%。該有機剝離溶劑通常具有自約8至約15之溶解參數,該溶解參數值可藉由取三種Hansen溶解度參數(分散、極性及氫鍵結)之和之平方根獲得。該溶劑系統可包括一種或多種該等溶劑。適宜溶劑包括(但不限於):2-吡咯啶酮、1-甲基-2-吡咯啶酮、1-乙基-2-吡咯啶酮、1-丙基-2-吡咯啶酮、1-羥基乙基-2-吡咯啶酮、1-羥基丙基-2-吡咯啶酮,及類似物質;二乙二醇單烷基醚,例如彼等式HOCH2 .CH2 -O-CH2 -CH2 -O-R者,其中R係一具有自1至4個碳原子之烷基;含有硫氧化物基團之化合物,例如式R1 -S(O)(O)-R2 之二烷基碸,其中R1 及R2 係具有1至4個碳原子之烷基,二甲基亞碸(DMSO);四氫噻吩-1,1-二氧化物化合物,例如環丁碸、甲基環丁碸及烷基環丁碸;二甲基乙醯胺及二甲基甲醯胺。較佳之溶劑係N-甲基吡咯啶酮。
本發明組合物中的該至少一種親核胺組份在該組合物中之量通常為自約1至約50重量%,較佳自約10至約45重量%,及更佳自約20至約30重量%。本發明可使用之鹼性剝離劑組份亦涵蓋多種結構類型。β-氧或-氮取代胺之離解常數(表示為pK值)通常介於約9至約11之間,二級胺之pK值約為8.3,嗎啉及羥基胺及羥基胺衍生物具有稍微低之pK值。可提及之可用鹼性組份親核胺較佳係(例如)烷醇胺,且尤其係單乙醇胺、1-胺基-2-丙醇、2-(2-胺基乙氧基)乙醇、2-胺基乙醇、2-(2-胺基乙基胺基)乙醇、2-(2-胺基乙基胺基)乙基胺及類似物質。較一胺之實際pK值更為重要的是其親核性,該親核性應較高。最佳之親核胺係單乙醇胺或1-胺基-2-丙醇。
本發明組合物之不含氮之弱酸組份在該組合物中之含量通常為自約0.5至約10重量%,較佳自約1至約8重量%,且更佳自約2至約6重量%。本發明可採用之不含氮之弱酸包括有機物(例如羧酸類或酚類)及無機酸(例如碳酸或氫氟酸)之鹽。弱酸係指具有至少2.0或以上且較佳2.5或以上之強度(表示為在水溶液中之離解常數"pK")的酸。特別有用者係pK>2.0且較佳具有小於約140之當量之弱酸。作為該等不含氮之弱酸之實例,可提及(舉例而言)羧酸,例如乙酸、鄰苯二甲酸、苯氧基乙酸及類似物質;有機酸,例如2-巰基苯甲酸、2-巰基乙醇及類似物質;通常具有介於9至10間之pK值之酚,例如苯酚、兒茶酚、1,3,5-三羥基苯、聯苯三酚、間苯二酚、4-第三丁基兒茶酚及類似物質;及無機酸,例如碳酸、氫氟酸及類似物質。本發明剝離組合物所採用之弱酸量係一中和自約3重量%至約75重量%且較佳自約19重量%至約75重量%之該剝離組合物中所含胺的量,以使該剝離組合物具有約pH 9.6至約10.9之水洗pH值。最佳之弱酸係兒茶酚。
該至少一種去除金屬之組份係二乙二醇或二乙二醇胺或其混合物。該組份在該組合物中之含量通常為自約0.5至約40重量%,較佳自約1至約20重量%,更佳自約5至約15重量%。該組份較佳為二乙二醇。
本發明之清潔及剝離組合物係鹼性水溶液組合物且該組合物中所含水量通常為自約0.5至約50重量%,較佳自約1至約35重量%,且更佳自約5至約20重量%。
本發明之組合物亦可視情況含有其他額外組份。該等可選額外組份包括金屬-錯合/抗腐蝕化合物,其他腐蝕抑制劑及表面活性劑。
本發明之組合物無需但視情況可包括有機或無機螯合劑或金屬錯合劑/腐蝕抑制劑,該等物質可提供實質性益處,舉例而言,當將其併入本發明之清潔組合物水溶液中時可改進產品之穩定性。適宜之螯合劑或錯合劑之實例包括(但不限於)反-1,2-環己烷二胺四乙酸(CyDTA)、乙二胺四乙酸(EDTA)、錫酸鹽、焦磷酸鹽、亞烷基-二膦酸衍生物(例如乙烷-1-羥基-1,1-二膦酸鹽)、含有乙二胺、二伸乙基三胺或三伸乙基四胺官能部分之膦酸鹽[例如乙二胺四(亞甲基磷酸)(EDTMP)、二伸乙基三胺五(亞甲基磷酸)、三伸乙四胺六(亞甲基膦酸)]。該組合物中所含該螯合劑之量以該組合物總重量計係自0至約5重量%,較佳自約0.1至約2重量%。
本發明之清潔組合物水溶液亦可視情況含有其他腐蝕抑制劑及於微電子清潔劑組合物中採用之類似非腐蝕性組份。該等化合物可包括間苯二酚、沒食子酸、沒食子酸丙酯、連苯三酚、對苯二酚、苯并三唑及苯并三唑之衍生物,及多官能羧酸例如檸檬酸、酒石酸、葡萄糖酸、葡糖二酸、甘油酸、草酸、鄰苯二酸、馬來酸、扁桃酸、丙二酸、乳酸及水楊酸。該等其他腐蝕抑制劑可以任何適宜之量存在,通常其量為自約0至約5重量%,較佳自約0.1至約3重量%,且更佳自約0.2至約2重量%。
本發明之組合物亦可視情況含有任一適宜之水溶性表面活性劑、非離子表面活性劑、陽離子表面活性劑或陰離子表面活性劑。加入表面活性劑可降低調配物之表面張力且可改進待清潔表面之濕潤程度從而改良該組合物之清潔作用。若期望進一步之鋁腐蝕抑制,亦可加入表面活性劑以降低鋁腐蝕率。用於本發明之組合物的兩性表面活性劑包括甜菜鹼及磺基甜菜鹼,例如烷基甜菜鹼、醯胺基烷基甜菜鹼、烷基磺基甜菜鹼及醯胺基烷基磺基甜菜鹼;胺基羧酸衍生物,例如兩性甘胺酸酯、兩性丙酸酯、兩性二甘胺酸酯,及兩性二丙酸酯;亞胺基二酸,例如烷氧基烷基亞胺基二酸或烷氧基烷基亞胺基二酸;胺氧化物,例如烷基胺氧化物及烷基醯胺基烷基胺氧化物;氟代烷基磺酸鹽及經氟化之烷基兩性劑;及其混合物。較佳地,該兩性表面活性劑係椰油醯胺基丙基甜菜鹼、椰油醯胺基二甲基甜菜鹼、椰油醯胺基丙基羥基磺基甜菜鹼、辛基兩性二丙酸酯、椰油醯胺基二丙酸酯、椰油兩性丙酸酯、椰油兩性羥基乙基丙酸酯、異癸氧基丙基亞胺基二丙酸、月桂基亞胺基二丙酸酯、椰油醯胺基丙基胺氧化物及椰油胺氧化物及經氟化之烷基兩性劑。用於本發明之組合物的非離子型表面活性劑包括炔二醇、乙氧基化炔二醇、經氟化烷基烷氧基化物、經氟化烷基酯、經氟化聚氧乙烯烷醇、多羥基醇之脂肪酸酯、聚氧乙烯單烷基酯、聚氧乙烯二醇,矽氧烷型表面活性劑,及伸烷基二醇單烷基醚。較佳地,該非離子型表面活性劑係炔二醇或乙氧基化炔二醇。用於本發明之組合物的陰離子表面活性劑包括羧酸鹽、N-醯基肌胺酸鹽、磺酸鹽、硫酸鹽、及正磷酸之單及二酯,例如磷酸癸基酯。較佳地,該等陰離子表面活性劑係無金屬表面活性劑。用於本發明之組合物的陽離子表面活性劑包括胺乙氧基化物、二烷基二甲基銨鹽、二烷基嗎啉鎓鹽、烷基苄基二甲基銨鹽、烷基三甲基銨鹽,及烷基吡啶鎓鹽。較佳地,該等陽離子表面活性劑係無鹵素表面活性劑。特別適宜之表面活性劑之實例包括(但不限於)3,5-二甲基-1-己炔-3-醇(Surfynol-61)、乙氧基化2,4,7,9-四甲基-5-癸炔-4,7-二醇(Surfynol-465)、聚四氟乙烯十六烷氧基丙基甜菜鹼(Zonyl FSK)、Zonyl FSH、Triton X-100即辛基苯氧基聚乙氧基乙醇,及類似物質。該組合物中所含表面活性劑的量以該組合物總重量計通常係自0至約5重量%,較佳為0.001至約3重量%。
本發明之清潔組合物之實例包括(但不限於)下表1、2、3及4中所列組合物。表1、2、3及4中及下表5至9中所採用之簡稱如下:NMP=N-甲基吡咯啶酮DMSO=二甲基亞碸DMAC=二甲基乙醯胺DMF=二甲基甲醯胺DEG=二乙二醇DEGA=二乙二醇胺CAT=兒茶酚MEA=單乙醇胺AMP=1-胺基-2-丙醇
以下清潔實例1至11證實本發明清潔組合物與具有其他多羥基化合物來代替DEG及DEGA之類似組合物相比具有極佳清潔及無腐蝕性質。藉由混合26克NMP、20克單乙醇胺、1克兒茶酚、4克DI水,及8克選自下列之清潔化合物製備幾種組合物:二乙二醇(DEG)、二乙二醇胺(DEGA)、三乙二醇、四乙二醇、乙二醇、丙二醇、N-甲基乙醇胺、2-(2-胺基乙基胺基)乙醇、2-丁烯-1,4-二醇及2-(2-甲氧基乙氧基)乙醇。將具有"穿孔"通道結構(穿過Si及TiN至Al層蝕刻之通道)之圖案化Al技術晶圓樣品置於該等加熱至85℃之溶液中20分鐘,此後移取出該等晶圓樣品,用DI水沖洗兩分鐘並用氮吹乾。作為比較,將相同晶圓於美國專利第5,308,745號之市售剝離組合物(含有NMP、環丁碸、MEA、兒茶酚及DI水)中加以清潔。繼而評價經清潔晶圓之灰化殘餘物去除情況(0=無去除至10=100%去除)及鋁腐蝕情況(0=無腐蝕至10=腐蝕),如下表5中所注釋。
僅DEG及DEGA提供極好之灰化殘餘物去除及鋁腐蝕抑制效果。以下實例12至29例示在不同時間及溫度的清潔條件下具各不相同之配方的本發明清潔組合物的有用性。
實例12至16
以下文所示量混合1-甲基-2-吡咯啶酮(NMP)、單乙醇胺(MEA)、二乙二醇胺(DEGA)、兒茶酚(CAT)及DI水製備數種清潔組合物。將與實例1至11中所採用具有"穿孔"通道之圖案化Al技術晶圓樣品類型相同的晶圓樣品置於該等加熱至85℃之溶液中20分鐘,此後移取出晶圓樣品,用DI水沖洗兩分鐘並用氮吹乾。繼而評價經清潔晶圓之灰化殘餘物去除情況(0=無去除至10=100%去除)及鋁腐蝕情況(0=無腐蝕至10=嚴重腐蝕),如下表6中所注釋。
實例17至22
藉由混合26克NMP、15克單乙醇胺(MEA)、5克二乙二醇胺(DEGA)或二乙二醇(DEG)、3克兒茶酚(CAT)及7克DI水製備數種清潔組合物。將與以上實例中所採用具有"穿孔"通道之圖案化Al技術晶圓樣品類型相同的晶圓樣品置於該等溶液中,加熱至以下指定溫度20分鐘,此後移取出晶圓樣品,用DI水沖洗兩分鐘並用氮吹乾。繼而評價經清潔晶圓之灰化殘餘物去除情況(0=無去除至10=100%去除)及鋁腐蝕情況(0=無腐蝕至10=腐蝕),如下表7中所注釋。
實例23至25
藉由按如下所示量混合1-甲基-2-吡咯啶酮(NMP)、單乙醇胺(MEA)、二乙二醇胺(DEG)、兒茶酚(CAT)及DI水製備數種清潔組合物。將與以上實例中所採用具有"穿孔"通道之圖案化Al技術晶圓樣品類型相同的晶圓樣品置於該等加熱至65℃之溶液中20分鐘,此後移取出晶圓樣品,用DI水沖洗兩分鐘並用氮吹乾。繼而評價經清潔晶圓之灰化殘餘物去除情況(0=無去除至10=100%去除)及鋁腐蝕情況(0=無腐蝕至10=嚴重腐蝕),如下表8中所注釋。
實例26至29
藉由混合46克一選自1-甲基-2-吡咯啶酮(NMP)、N,N-二甲基乙醯胺(DMAC)或二甲基亞碸(DMSO)之溶劑、23克單乙醇胺(MEA)或1-胺基-2-丙醇(AMP)、11克二乙二醇(DEG)、5克兒茶酚(CAT)及15克DI水製備數種清潔組合物。將與以上實例中所採用具有"穿孔"通道之圖案化Al技術晶圓樣品類型相同之晶圓置於該等加熱至65℃之溶液中20分鐘,此後移取出晶圓樣品,用DI水沖洗兩分鐘並用氮吹乾。繼而評價經清潔晶圓之灰化殘餘物去除情況(0=無去除至10=100%去除)及鋁腐蝕情況(0=無腐蝕至10=腐蝕),如下表9中所注釋。
儘管已參照本發明之特定實施例對本發明加以闡釋,但應瞭解可對本發明加以變化、修改及變動,此並不背離本文所揭示之本發明概念之精神及範圍。因此,本發明意欲涵蓋在隨附申請專利範圍之精神及範圍內的所有該等變化形式、修改形式及變動形式。

Claims (28)

  1. 一種用於清潔微電子基板之剝離及清潔組合物,該組合物包括:a)至少一種有機剝離溶劑,其包含選自由以下組成之群之溶劑:2-吡咯啶酮、1-甲基-2-吡咯啶酮、1-乙基-2-吡咯啶酮、1-丙基-2-吡咯啶酮、1-羥基乙基-2-吡咯啶酮、1-羥基丙基-2-吡咯啶酮、式HO-CH2 -O-CH2 -CH2 -O-R之二乙二醇單烷基醚,其中R係一具有自1至4個碳原子之烷基、式R1 -S(O)(O)-R2 之二烷基碸,其中R1 及R2 係具有1至4個碳原子之烷基、二甲基亞碸(DMSO)、環丁碸、甲基環丁碸、烷基環丁碸、二甲基乙醯胺及二甲基甲醯胺,b)至少一種親核烷醇胺,c)至少一種不含氮之弱酸,其量足以中和自約3重量%至約75重量%之親核烷醇胺,以使該剝離組合物具有一自約9.6至約10.9之水溶液pH,該弱酸具有一2.0或以上之水溶液pK值及一小於140之當量重量,d)至少一種去除金屬之化合物,其選自由二乙二醇及二乙二醇胺組成之群,及e)水,及視情況一種或多種選自由以下組成之群的組份:金屬-錯合/抗腐蝕化合物、其他腐蝕抑制劑及表面活性劑。
  2. 如請求項1之組合物,其中該至少一種有機剝離溶劑包括一選自由N-甲基吡咯啶酮、二甲基乙醯胺及二甲基亞碸 組成之群之溶劑。
  3. 如請求項1之組合物,其中該烷醇胺係選自由單乙醇胺及1-胺基-2-丙醇組成之群。
  4. 如請求項3之組合物,其中該至少一種弱酸包括兒茶酚。
  5. 如請求項4之組合物,其中該至少一種有機剝離溶劑包括N-甲基吡咯啶酮,該烷醇胺包括單乙醇胺,及該至少一種去除金屬之化合物包括二乙二醇。
  6. 如請求項1之組合物,其額外包括一種或多種選自由以下組成之群的組份:金屬-錯合/抗腐蝕化合物、其他腐蝕抑制劑及表面活性劑。
  7. 如請求項5之組合物,其額外包括一種或多種選自由以下組成之群的組份:金屬-錯合/抗腐蝕化合物、其他腐蝕抑制劑及表面活性劑。
  8. 如請求項1之組合物,其包括自約20至約80重量%之該至少一種剝離溶劑,自約1至約50重量%之該至少一種親核烷醇胺,自約0.5至約40重量%之該至少一種去除金屬之化合物,自約0.5至約10重量%之該至少一種弱酸,及自約0.5至約50重量%之水。
  9. 如請求項5之組合物,其包括自約20至約80重量%之N-甲基吡咯啶酮,自約1至約50重量%之單乙醇胺,自約0.5至約40重量%之二乙二醇,自約0.5至約10重量%之兒茶酚,及自約0.5至約50重量%之水。
  10. 如請求項1之組合物,其包括自約40至約60重量%之該至少一種有機剝離溶劑,自約20至約30重量%之該至少一種 親核烷醇胺,自約5至約15重量%之該至少一種去除金屬之化合物,自約2至約6重量%之該至少一種弱酸,及自約5至約20重量%之水。
  11. 如請求項5之組合物,其包括自約40至約60重量%之N-甲基吡咯啶酮,自約20至約30重量%之單乙醇胺,自約5至約15重量%之二乙二醇,自約2至約6重量%之兒茶酚,及自約5至約20重量%之水。
  12. 如請求項11之組合物,其包括約46重量%之N-甲基吡咯啶酮,約23重量%之單乙醇胺,約11重量%之二乙二醇,約5重量%之兒茶酚,及約15重量%之水。
  13. 一種用於清潔微電子基板且不產生任何實質性金屬腐蝕之方法,該基板含有光阻劑聚合材料、蝕刻殘餘物及金屬殘餘物中的至少一種,該方法包括使該基板與一清潔組合物接觸一段足以清潔該基板之時間,其中該清潔組合物包括:a)至少一種有機剝離溶劑,其包含選自由以下組成之群之溶劑:2-吡咯啶酮、1-甲基-2-吡咯啶酮、1-乙基-2-吡咯啶酮、1-丙基-2-吡咯啶酮、1-羥基乙基-2-吡咯啶酮、1-羥基丙基-2-吡咯啶酮、式HO-CH2 -O-CH2 -CH2 -O-R之二乙二醇單烷基醚,其中R係一具有自1至4個碳原子之烷基、式R1 -S(O)(O)-R2 之二烷基碸,其中R1 及R2 係具有1至4個碳原子之烷基、二甲基亞碸(DMSO)、環丁碸、甲基環丁碸、烷基環丁碸、二甲基乙醯胺及二甲基甲醯胺, b)至少一種親核烷醇胺,c)至少一種不含氮之弱酸,其量足以中和自約3重量%至約75重量%之親核烷醇胺,以使該剝離組合物具有一自約9.6至約10.9之水溶液pH,該弱酸具有一2.0或以上之水溶液pK值及一小於140之當量重量,d)至少一種去除金屬之組合物,其選自由二乙二醇及二乙二醇胺組成之群,及e)水,及視情況一種或多種選自由以下組成之群的組份:金屬-錯合/抗腐蝕化合物、其他腐蝕抑制劑及表面活性劑。
  14. 如請求項13之方法,其中該至少一種有機剝離溶劑包括一選自由N-甲基吡咯啶酮、二甲基乙醯胺及二甲基亞碸組成之群之溶劑。
  15. 如請求項13之方法,其中該烷醇係選自由單乙醇胺及1-胺基-2-丙醇組成之群。
  16. 如請求項15之方法,其中該至少一種弱酸包括兒茶酚。
  17. 如請求項16之方法,其中該至少一種有機剝離溶劑包括N-甲基吡咯啶酮,該烷醇胺包括單乙醇胺及該至少一種去除金屬之化合物包括二乙二醇。
  18. 如請求項13之方法,其額外包括一種或多種選自由以下組成之群的組份:金屬-錯合/抗腐蝕化合物、其他腐蝕抑制劑及表面活性劑。
  19. 如請求項17之方法,其額外包括一種或多種選自由以下組成之群的組份:金屬-錯合/抗腐蝕化合物、其他腐蝕抑 制劑及表面活性劑。
  20. 如請求項13之方法,其包括自約20至約80重量%之該至少一種有機剝離溶劑,自約1至約50重量%之該至少一種親核烷醇胺,自約0.5至約40重量%之該至少一種去除金屬之化合物,自約0.5至約10重量%之該至少一種弱酸,及自約0.5至約50重量%之水。
  21. 如請求項17之方法,其包括自約20至約80重量%之N-甲基吡咯啶酮,自約1至約50重量%之單乙醇胺,自約0.5至約40重量%之二乙二醇,自約0.5至約10重量%之兒茶酚,及自約0.5至約50重量%之水。
  22. 如請求項13之方法,其包括自約40至約60重量%之該至少一種有機剝離溶劑,自約20至約30重量%之該至少一種親核烷醇胺,自約5至約15重量%之該至少一種去除金屬之化合物,自約2至約6重量%之該至少一種弱酸,及自約5至約20重量%之水。
  23. 如請求項17之方法,其包括自約40至約60重量%之N-甲基吡咯啶酮,自約20至約30重量%之單乙醇胺,自約5至約15重量%之二乙二醇,自約2至約6重量%之兒茶酚,及自約5至約20重量%之水。
  24. 如請求項23之方法,其中包括約46重量%之N-甲基吡咯啶酮,約23重量%之單乙醇胺,約11重量%之二乙二醇,約5重量%之兒茶酚,及約15重量%之,水。
  25. 如請求項13之方法,其中該基板包括一具有通道且含有金屬殘餘物之鍍鋁基板。
  26. 如請求項25之方法,其中該金屬殘餘物係來自至少一選自由鈦及氮化鈦層組成之群的層。
  27. 如請求項17之方法,其中該基板包括一具有通道且含有金屬殘餘物之鍍鋁基板。
  28. 如請求項27之方法,其中該金屬殘餘物係來自至少一選自由鈦及氮化鈦層組成之群的層。
TW094122749A 2004-08-03 2005-07-05 用於微電子基板之清潔組合物 TWI390032B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US59831804P 2004-08-03 2004-08-03

Publications (2)

Publication Number Publication Date
TW200606249A TW200606249A (en) 2006-02-16
TWI390032B true TWI390032B (zh) 2013-03-21

Family

ID=35355858

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094122749A TWI390032B (zh) 2004-08-03 2005-07-05 用於微電子基板之清潔組合物

Country Status (17)

Country Link
EP (1) EP1789527B1 (zh)
JP (1) JP4625842B2 (zh)
KR (1) KR101162797B1 (zh)
CN (1) CN1993457B (zh)
AT (1) ATE450595T1 (zh)
BR (1) BRPI0514058A (zh)
CA (1) CA2575991A1 (zh)
DE (1) DE602005018075D1 (zh)
DK (1) DK1789527T3 (zh)
ES (1) ES2335786T3 (zh)
IL (1) IL181106A0 (zh)
MY (1) MY144284A (zh)
NO (1) NO20071196L (zh)
PT (1) PT1789527E (zh)
TW (1) TWI390032B (zh)
WO (1) WO2006023061A1 (zh)
ZA (1) ZA200700653B (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
DE602007006355D1 (de) * 2006-03-22 2010-06-24 Fujifilm Corp Tintenwaschflüssigkeit und Reinigungsverfahren
TW200908148A (en) * 2007-03-31 2009-02-16 Advanced Tech Materials Methods for stripping material for wafer reclamation
JP4903242B2 (ja) * 2008-10-28 2012-03-28 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 多金属デバイス処理のためのグルコン酸含有フォトレジスト洗浄組成物
CN102289159A (zh) * 2010-06-18 2011-12-21 拉姆科技有限公司 用于除去光致抗蚀剂的组合物及利用其形成半导体图案的方法
JP2012018982A (ja) * 2010-07-06 2012-01-26 Tosoh Corp レジスト剥離剤及びそれを用いた剥離法
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
CN102411269A (zh) * 2011-11-18 2012-04-11 西安东旺精细化学有限公司 光致抗蚀剂膜的剥离液组合物
KR20140107467A (ko) 2011-12-20 2014-09-04 솔베이 (차이나) 컴퍼니, 리미티드 금속 표면 부식용 활성제로서의 페놀 화합물의 용도
CN103631101B (zh) * 2012-08-22 2018-01-09 得凯莫斯公司弗罗里达有限公司 包含含氟表面活性剂的光阻剥除剂
KR101668063B1 (ko) * 2013-05-07 2016-10-20 주식회사 엘지화학 포토레지스트 제거용 스트리퍼 조성물 및 이를 사용한 포토레지스트의 박리방법
JPWO2015016113A1 (ja) * 2013-07-31 2017-03-02 旭硝子株式会社 電子デバイスの製造方法
EP3104398B1 (en) 2013-12-06 2020-03-11 Fujifilm Electronic Materials USA, Inc. Cleaning formulation and method for removing residues on surfaces
CN103676505B (zh) * 2013-12-23 2016-04-13 大连奥首科技有限公司 一种用于芯片的光刻胶剥离液、制备方法及去胶工艺
CN103839885B (zh) * 2014-03-17 2016-09-07 上海华虹宏力半导体制造有限公司 去除缺陷的方法
KR101710170B1 (ko) * 2014-08-20 2017-02-27 주식회사 엘지화학 포토레지스트용 스트리퍼 폐액의 재생 방법
CN105087187A (zh) * 2015-08-30 2015-11-25 烟台顺隆化工科技有限公司 一种硒污染建筑废物用洗涤剂
KR102051346B1 (ko) * 2016-06-03 2019-12-03 후지필름 가부시키가이샤 처리액, 기판 세정 방법 및 레지스트의 제거 방법
CN107974687A (zh) * 2017-10-24 2018-05-01 广东富行洗涤剂科技有限公司 一种用于玻璃用真空镀膜模具的褪模剂
KR102242918B1 (ko) * 2018-12-21 2021-04-22 주식회사 이엔에프테크놀로지 식각액 조성물
CN113614648A (zh) * 2019-03-25 2021-11-05 松下知识产权经营株式会社 抗蚀剂剥离液
IT201900021549A1 (it) * 2019-11-19 2021-05-19 Deco Ind S Coop P A Prodotto per il trattamento di un elettrodomestico configurato per il lavaggio

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187730B1 (en) * 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US7205265B2 (en) * 1990-11-05 2007-04-17 Ekc Technology, Inc. Cleaning compositions and methods of use thereof
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins

Also Published As

Publication number Publication date
EP1789527A1 (en) 2007-05-30
TW200606249A (en) 2006-02-16
NO20071196L (no) 2007-03-28
ATE450595T1 (de) 2009-12-15
WO2006023061A1 (en) 2006-03-02
JP2008509554A (ja) 2008-03-27
ZA200700653B (en) 2008-08-27
KR101162797B1 (ko) 2012-07-05
DK1789527T3 (da) 2010-03-08
IL181106A0 (en) 2007-07-04
KR20070045224A (ko) 2007-05-02
CN1993457A (zh) 2007-07-04
DE602005018075D1 (de) 2010-01-14
MY144284A (en) 2011-08-29
BRPI0514058A (pt) 2008-05-27
CA2575991A1 (en) 2006-03-02
EP1789527B1 (en) 2009-12-02
JP4625842B2 (ja) 2011-02-02
PT1789527E (pt) 2010-01-15
ES2335786T3 (es) 2010-04-05
CN1993457B (zh) 2013-01-30

Similar Documents

Publication Publication Date Title
TWI390032B (zh) 用於微電子基板之清潔組合物
US8178482B2 (en) Cleaning compositions for microelectronic substrates
KR101056544B1 (ko) 마이크로전자 기판용 박리 및 세정 조성물
JP4750807B2 (ja) 半導体基材用洗浄剤
JP4208924B2 (ja) 非水性、非腐食性マイクロエレクトロニクス洗浄組成物
JP2007243162A (ja) 洗浄組成物
JP6412143B2 (ja) フォトレジスト除去用ストリッパー組成物およびこれを利用したフォトレジストの剥離方法
KR101999641B1 (ko) 구리/아졸 중합체 억제를 갖는 마이크로일렉트로닉 기판 세정 조성물
KR20090060103A (ko) 알파-하이드록시카보닐 화합물/아민 또는 암모니아 반응의올리고머 또는 중합체 접합체 물질을 함유하는 불화물-함유포토레지스트 박리제 또는 잔류물 제거용 세정 조성물
TWI417683B (zh) 用於微電子基板之穩定化,非水性清潔組合物
JP2007003617A (ja) 剥離液組成物
KR101749610B1 (ko) 다중-금속 소자 처리를 위한 글루콘산 함유 포토레지스트 세정 조성물
KR20090060104A (ko) 알파-하이드록시카보닐 화합물/아민 또는 암모니아 반응의올리고머 또는 중합체 접합체 물질을 함유하는불화물-무함유 포토레지스트 박리제 또는 잔류물 제거용세정 조성물
TWI732885B (zh) 用於含鋁之微電子基板的清潔組合物
JP2004038073A (ja) 剥離剤組成物
TW200536936A (en) Stripping and cleaning compositions for microelectronics