TWI376795B - Image sensor device and method for manufacturing the same - Google Patents

Image sensor device and method for manufacturing the same Download PDF

Info

Publication number
TWI376795B
TWI376795B TW098119674A TW98119674A TWI376795B TW I376795 B TWI376795 B TW I376795B TW 098119674 A TW098119674 A TW 098119674A TW 98119674 A TW98119674 A TW 98119674A TW I376795 B TWI376795 B TW I376795B
Authority
TW
Taiwan
Prior art keywords
layer
image
color filter
hole
dielectric layer
Prior art date
Application number
TW098119674A
Other languages
English (en)
Other versions
TW200952166A (en
Inventor
Jyh Ming Hung
Jen Cheng Liu
Dun Nian Yaung
Chun Chieh Chuang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW200952166A publication Critical patent/TW200952166A/zh
Application granted granted Critical
Publication of TWI376795B publication Critical patent/TWI376795B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14629Reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Transforming Light Signals Into Electric Signals (AREA)

Description

1376795 六、發明說明: 【發明所屬之技術領域】 本發明係關於積體電路及其形成方法 影像偵測器及其形成方法。 &特別關於 【先前技街】 影像制H ’為形成於絲巾的複數個 元或畫素之積體電路。影像偵測單元係用以_射]: 導體基板的光量,在現有的晝素尺寸不斷下降^ 下’希望能提升其量子效率_cQE定義為每一查:之 影像偵測H將光子轉為電子的比例。目前已有 用以提升影像偵測器的QE及靈敏戶 ^ m Y叹巫敏度比如溥化基板的背 厚又。雖然上述方法可減少光徑長度,但會造 (_snnk)等其他問題。此外,介電層及 : 影響偵測器的光學性能。 曰与度也會 【發明内容】 於丰一種影像偵測元件’包括影像_器位 於+導體基板中,·層間介電層位於半導體基板上 個金屬間介電層及多層内連線形成於層間介電層上以 及彩色遽光片位於金屬間介電層中且位於影像曰偵測器 上。 σ 本發明亦提供-種形成影像摘測元件的方法,包括 形成影像仙H於半導體基板中;形成複數個層間介電 層及多層内連線於半導體基板上;形成孔洞垂直延伸至
0503^342237^,1,51^.001¾ •CS 1376795 以彩色濾光片材料填入 層間介電層中及影像偵測器上;以彩 孔洞以形成彩色濾光片。
層中的孔洞結構中, 本發明更提供一種影像偵測元件, 疋位於石夕基板中;複數個層間介雷 …心〜开八坐直延伸至層間介電 且彩色濾光片垂直對準影像偵測單 元之影像偵測區。 【實施方式】 可以理解的是,下述内容提供多種實施例以說明本 ,明的多種特徵。為了簡化說明,將採用特定的實施例、 單兀•、及組合方式說明。然而這些特例並非用以限制本 發明。此外,本發明在不同圖示中採用相同符號標示不 同實施例的類似元件,而上述重複的符號並不代表不同 實施例中的元件具有相同的對應關係。舉例來說,形成 某一元件於另一元件上包含了兩元件為直接接觸,或者 兩者間隔有其他元件這兩種情況。 如第1圖所示,係習知的影像偵測電路1 〇〇。影像債 測電路100包含半導體基板110,及影像偵測器112形成 於半導體基板110中。影像偵測器112更包含影像偵測 區114。半導體基板11 〇更包含隔離結構如淺溝槽絕緣 (STI) 116。積體電路1〇〇更包含層間介電層12〇,複觸個 金屬間介電層(IMD)122及124,以及複數個金屬層126 形成於半導體基板110上。積體電路1〇〇亦包含保護層 128及130形成於金屬間介電層122及124上,以及頂金 0503-A34223TWF/hsuhuche 4 1376795 屬層132形成於保護層128及130上。彩色濾光片134 形成於保護層130上,而微透鏡136形成於彩色濾光片 134上。在實際應用上,來自物體的光束138會照射微透 鏡136,並由影像偵測區Π4接收。在上述構造中,彩色 遽光片134及微透鏡136與影像偵測器112之間相隔很 長辰距離,約為:2至4微米。上述長距離將大幅降低 影像偵測效率,並因此劣化影像偵測器的光學性能。 第2圖係本發明一實施例之積體電路200的剖面 圖。積體電路200包含半導體基板110,以及一或多個影 像偵測器112形成於半導體基板110中。半導體基板11〇 包含結晶矽。半導體基板110可置換或添加其他半導體 材料如鍺、砷化鎵、或磷化銦。半導體基板11〇可包含 多種耦合之p型掺雜區及/或n型掺雜區以形成多種元件 或功能性特徵。形成上述掺雜特徵的方法可為多種步驟 及技術的離子佈植或擴散。半導體基板11〇可包含其他 特徵如淺溝槽絕緣116。半導體基板110包含影像偵測所 需之晝素陣列區1 l〇a ’以及周邊區域〗1〇b圍繞晝素陣列 區 110a。 影像偵測器112包含影像偵測區(或稱感光區)114, 可為半導體基板110之n型或p型掺雜區,其形成方法 包含擴散或離子佈植。影像偵測區114之掺雜濃度介於 1〇14至1021原子/cm3。影像偵測器112包含光電二極體、 互補式金氧半(CMOS)影像偵測器、電荷耦合元件 (CCD)、主動偵測器.、被動偵測器、及/或其他形成於半 導體基板11〇中的其他元件。如上所述,影像偵測器112
0503-A34223T\\T//hsuhuche < 1376795 可含有習知及/或未來發展的影像元件。 上述之影像偵測器U2僅用於舉例。 可含有複數俯㈣或其他合適排^景彡像Π且Γ 像偵測器可具有不同的偵測型態。舉例來說 : ::偵測器為⑽影像偵測器,而其他部份為二 積體電路2GG亦包含層間介電層⑽於 上。H 、及頂金屬層132於半導體基板110 夕層内連線U6及頂金屬層132 體基板㈣中的影们貞測器112及其他電子^至2 亦包含保護層128及13〇形成於多層内連線122
Hi’以及頂金屬層132形成於保護層中。第2圖 層内連線為三層結構,其包含多種金屬特徵如第 一金屬層、第二金屬μ、楚—么碎 ^ 屬曰以及第二金屬層。多層内連線 徵以I *屬層與半導體基板llG之間的接觸特 多層㈣線結構更包括相鄰金屬層之間 細。 以耦合相鄰之金屬層。多層内連線結構包含 』° -實施例中’多層内連線結構係由镶嵌技述所形 ^夕層内連線結構可含有其他導電金屬如銅合金、鈦、 虱化鈦、组、氮仆4 述之組合。在^、金屬魏物、或上 或源極Λ及極上,::,金屬石夕化物可形成於問極及/ 錄、銘、鶴、纽、鈦Γ電阻。上述金屬石夕化物包含 屬矽化物。在另一會鈀、或上述之組合的金 力實知例中’可採用本技藝熟知之鋁製 °5〇3-A34223TWF/hsuhache 1376795 程形成上述之内連線。舉例來說,包含銅及矽之鋁合金 可作為内連線。在此例中,金屬蝕刻製程可用以形成金 屬連線。在另一實施例中,可由接觸孔填充率較佳之鎢 升/成銅插塞,以作為内連線之間的接觸孔。内連線結構 可3有多層結構。在採用銅製程之實施例中,多層結構 含有阻障層、銅晶種層、以及銅塊材(buik)。在採用鋁製 程之實施例中,多層結構可包含阻障層、鋁層、及抗反 射塗層如氮化鈦。在另一實施例中,頂金屬層包含鋁而 其他金屬層包含銅。 多層内連線126之形成方式可為化學氣相沉積法、 物理氣相沉積法(PVD)或濺鍍、電鍍法、其他合適之方 法、或上述之組合。舉例來說,PVD可用以形成銅晶種 層,接著以電鍍法沉積銅塊材以作為内連線。第2圖中 的三層金屬層僅用以舉例及簡化說明。在不同實施例 中,多層内連線126含有少於或多於三層之金屬層。多 層内連線126的電路設計並不會阻擋影像光線入^ 像偵測器112。 如 層間介電層120及金屬間介電層122與124係位於 半導體基板110上,以電性絕緣多層内連線結構。層門 介電層120及金屬間介電層122與124可更包括多= 刻停止層或阻障層夾設於相鄰之金屬間介電層之間。阻 障層在鑲嵌製㈣具杨刻停止的性f,可阻障水氣擴 散至多層内連線126’並可避免銅遷移至層間介電層及金 屬間介電層。阻障層可為氮化石夕、氮氧化石夕、或其他合 τ ^ UJU3-A34223TWF/hsuhuche uj' 1376795 每一層間介電層或金屬間介電層之厚度約介於約 I lOOnm至lOOOnm (Ιμιη)之間。在一實施例中,層間介電 層120之厚度介於約350nm至約700nm之間。在其他實 施例中,位於第一與第二接觸孔之間的金屬間介電層其 厚度介於約1 〇〇nm至約400nm之間。位於第一金屬層與 第二金屬層之間的金屬間介電層其厚度介於約l〇〇nm至 約500nm之間。越上層的金屬間介電層其厚度越厚。金 屬間介電層及層間介電層可包含氧化矽如未掺雜矽玻璃 (USG)、氮化矽、氮氧化矽、聚亞醯胺、旋塗玻璃(SOG)、 · 氟化矽玻璃(FSG)、掺雜碳之氧化矽(如SiCOH)、黑鑽⑧ (購自加州 Santa Clara 之 Applied Materials)、凝膠、氣膠、 非晶氟化碳、聚對二曱苯、苯并環丁烯(BCB)、SiLK (購 自密西根州之密德蘭的Dow Chemical)、及/或其他合適 材料。層間介電層及金屬間介電層之形成方法可為旋塗 法、化學氣相沉積法、藏鑛法、或其他合適方法。舉例 來說,可採用電漿增強式化學氣相沉積法以矽甲烷(SiH4) 或四乙氧矽烷(TEOS)形成氧化矽。在另一實施例中,可 ® 採用高密度電漿氣相沉積法。 多層内連線126及層間介電層/金屬間介電層之形成 方法可為鑲嵌製程如雙鑲嵌製程或單鑲嵌製程。在一實 · 施例中,每一金屬層、接觸孔、及接觸的形成方法如下 -所述。首先,於半導體基板110上形成抗蝕刻層及/或阻 障層。抗蝕刻層包含氮化矽或氮氧化矽。接著形成介電 材料於抗蝕刻層上以形成介電層,並進一步以化學機械 研磨(CMP)或回蝕刻等製程平坦化介電層。在介電層上可 0503-A34223TWP/hsuhuche ⑻ 形成CMP停止層。接菩 預定作為電路圖案之溝^微二襄㈣刻介電層,以形成 鍍法,沉積附著性佳且接著以化學氣相沉積法或賤 於溝財。上_材制(或襯塾層) ⑼_包含介電材料。接著以濺錢法形 配合後續之電鍍法將鋼填入襯墊後之溝 槽接著以C;偷或回餘刻等方法將位於介電層上方多餘 的銅層移除,同時平坦化積體電路200。此外’可藉由本
技《熟知之夕種實施例的雙鎮嵌製程形成多層内連線 126以及層間介電層/金屬間介電層。 積體電路200更包含位於金屬間介電層122化4與多 層内連線126上的保護層128,可實質封裝半導體元;牛並 避免水氣及污染。在—實施财,保護層128包含氧化 石夕。積體電路細可更包含保護層130於保護層128上, 以增強保護性及黏著性。在一實施例中,保㈣13〇包 含氮化石夕。 如第2圖所示,積體電路2〇〇包含一或多個微透鏡 1。36形成於保護層13〇上。微透鏡136實質對準影像感測 區114以提高影像偵測效率。在一實施例中,在微透鏡 136及保護層13〇之間具有平坦層135,可提供微透鏡136 平坦的表面。上述平坦層135可穿透可見光。在一實施 例中,氧化矽可用以形成平坦層135。 如第2圖所示,積體電路2〇〇包含一或多個彩色濾 光片140a、140b、及l4〇c (統稱14〇)位於金屬間介電層 122/124中。衫色濾光片14〇垂直設置於微透鏡136與影 像偵測區114之間’且對準微透鏡136與影像偵測區U4
[S 0503-A34223T\VF/hsiAuchs 1376795 以提高影像偵測效率。彩色濾光片140之材質取決於濾 除某一波長的光。在一實施例中,彩色濾光片14〇為可 聚合材料。在另一實施例中,彩色濾光片140為含有顏 料或染料的光阻材料。舉例來說,彩色濾光片材料包含 重亂萘醌(DNQ)系酚醛光阻。舉例來說,彩色濾光片材料 包含丙烯酸聚合物為主的負光阻。上述彩色遽光片材料 可包含丙烯酸聚合物為主的負光阻以及顏料。在另一實 施例中,彩色濾光片材料包含樹脂。在另一實施例中, 不同晝素之彩色遽光片14G可為不同材料,只要適當波 長的光能穿過對應畫素的彩色濾光片14〇即可。舉例來 說,積體電路200含有三種彩色濾光片,彩色滤光片14如 為紅㈣^,含有紅色攄光片材料;彩色濾m4〇b 為綠色濾、光片,含有綠色遽光片材料;且彩色濾光片i4〇c 為藍色濾光片,含有藍色濾光片材料。 在金屬間介電中形成彩色遽光片的方法如下述。首 先,形成孔洞結構(或孔洞)於金屬間介電層中。孔洞的位 影像偵測區114’其延伸深度端視不同實施例而 ^在-實施例中’孔洞深度延伸至影像偵測區ιΐ4的 财,孔㈣度縣延伸至影像偵測 ^ U4的表面,且科相介t層仍位 測區114之間。在另一眚浐如士 I、〜傢價 声中、延# Λ :二 孔洞延伸至層間介電 S中:上表面、或僅延伸至金屬間介 右二列r ί* s Κ ^中’孔洞與影像偵測區之間僅隔 層。在-實施例中,孔洞之上視圖I影像伯 邑應九片之截面形狀可為多種適當形狀如 〇503-A34223TWF/hsuhuche ιη , 7QS: ,形或長方形。在一實施例中,孔洞具有不同深度的固 疋形狀及尺寸。在另一實施例中,孔洞的侧視形狀為錐 形且上表面之開口較寬。在另一實施例中,孔洞的侧視 形狀為多階形且上表面之開口較寬。 孔洞的形成方式包含微影製程及蝕刻。舉例來說, $在保護層/.金屬間介電層上形成光阻層,接著以微影製 長圖案化:¾ Hi·層以形成開口。接著以圖案化之光阻層的 開口钱刻保護層/金屬間介電層至適當深度,比如韻刻至 基板並露出影像感測區。上㈣刻製程可為乾 氣化,Μ祕_與氧氣4外,㈣製程可為濕二 亥•如氫氟酸為主的蝕刻劑。在另一實施例中,可在形成 層間"電層12〇之前,先形成姓刻停止層於半導體基板 命如此來,上述姓刻製程將停止於钱刻停止層。 為了露出影像侧器’可採用其他㈣製程移除溝槽中 =刻停止層。在另—實施例中,㈣停止層可位於預 疋向度以確認孔洞的延伸深度。在另一實施例 =呈中採用硬遮罩。在-實施例中,孔洞形狀取決於 :調式I舉例來說,可㈣調整_參數如乾 蝕刻之蝕刻劑或電壓’使孔洞側視形狀為錐形。在 =例中’結合乾_製程及濕㈣製程使孔洞具有錐形 =二先進行_後再進行㈣刻 物口。在另一實施例中,多重钱 可藉由多重微影製程及多重蝴停止 曰於保護層/金屬間介電層上。接著形成光阻層於硬遮罩 0503-A34223T\W,/hsuhuche ^ 1376795 層上,並以微影製程圖案化光阻層以形成開口。接著進 行,刻製程’將圖案化光阻層之開口轉移至硬遮罩層。 接著以濕式祕法或電漿灰化法移除光阻層。接著以硬 遮罩層之開口 #刻金屬間介電層以形成孔洞。 接著以彩色濾光片材料填入上述孔洞。在不同的實 施例中’彩色滤光片材料包含丙烯酸聚合物為主的負光 =或樹脂。此外在-實施例中,在填人彩色濾光片材料 月”先將襯墊材料層142形成於孔洞侧壁。襯塾材料層 142可作為阻障層以避免彩⑽、光諸料擴散至金屬間 介電層或基板。舉例來說,彩色濾光片可能為了色彩變 化而含有金屬。此時阻障層可避免彩色濾光片中的金屬 擴散至基板及金屬間介電層/層間介電層。一 中,襯塾材料層142包含氮化石夕。 在貫施例 在另一實施例中,孔洞的延伸深度可達影像偵測器 114之表面。接著將透明材料填入孔洞至某一深度後,再 開始將彩色濾光片填入剩餘孔洞。透明材料可包含未掺 雜石夕破璃(USG)、以電漿增強式化學氣相沉積法及石夕甲燒 卿4)形成之氧化石夕、以電漿增強或高密度電漿之化學氣 相沉積法及四乙氧矽烷(TE〇s)形成之氧化 祐 墻'或上述之組合。 坡 在一實施例中,將彩色濾光片材料填入孔洞後可進 一步對積體電路200進行平坦化製程,以平坦化積體電 路200並移除多餘的彩色濾光片材料。平坦化製程包人 回蝕刻、CMP、或上述之組合,。在另一實施例中;: 相同製程同時形成襯墊材料層142及保護層13〇。如第2 〇503-A34223TWF/hsuhuche n 1376795 2示之積Μ電路綱,已減少崎鏡與 的光徑’並大幅改善影像偵測效率。 以之間 刊面ί3 Γ料發明另—實施例中影像偵心件3 〇 〇的 =面圖。相化起見,將不重複敘述影像_元件300 -積體電路200類似的組件。影像偵測元件3〇〇 導體基扳uo,以及-以_像_# U2形成 體基板11〇令。半導體θ 、 Μ “ +㈣基板11G可包含其他特徵如淺溝
曰…116。半導體基板11〇 &含影像偵測所需之畫素 列區1,10a’以及周邊區域110b圍繞晝素陣列區m 影像偵測器112包含影像偵測區(或稱感光區)114, =為半導體基板m之n型或p型掺雜區。影像摘測器 口口包4含光電二極體、互補式金氧半(CM0s)^像债測 益、電荷輕合元件(CCD)、主動摘測器、被動偵測器、及 /或其他形成於半導體基板11〇中的其他元件。上述之影 像债測器112僅用於舉例。影像偵測元件3⑼可含有複 數個陣列或其他合適排列之影像偵測器。 影像偵測元件300亦包含層間介電層12〇於半導體 基板110上。影像偵測元件300更包括複數個多層内連 線126及金屬間介電層122及124於層間介電層上。 多層内連線126電性連結至半導體基板11〇中的影像偵 測器112及其他電子單元。多層内連線126包含頂電極 層132。第3圖顯示多層内連線為三層結構,其包含多種 金屬特徵如第一金屬層、第二金屬層、以及第三金屬層。 在此實施例中,頂金屬層132位於周邊區域110b且位於 彩色濾光片140上。多層内連線丨26及層間介電層/金屬 0503-A34223TV/F/卜isuhuche 1376795 間介電層之形成方法可為整合製程如雙鑲嵌製程或單鑲 嵌製程。頂金屬層132可包含打線墊或探針測試墊。影 像偵測元件300可更包含蝕刻停止層144於頂金屬層132 上。影像偵測元件300更包含位於金屬間介電層122/124 與多層内連線126上的保護層128,可實質封裝半導體元 件並避免水氣及污染。在一實施例中,保護層128包含 氧化矽。影像偵測元件300可更包含保護層130於保護 層128上,以增強保護性及黏著性。在一實施例中,保 護層13 0包含氮化石夕。 影像偵測元件300包含一或多個微透鏡136形成於 保護層130上。微透鏡136實質對準影像感測區114以 提高影像偵測效率。在一實施例中,在微透鏡136及保 護層130之間具有平坦層135,可提供微透鏡136平坦的 表面。上述平坦層135可穿透可見光。在一實施例中, 氧化矽可用以形成平坦層135。 影像偵測元件300包含一或多個彩色濾光片140形 成於金屬間介電層122/124中。彩色濾光片140垂直設置 於微透鏡136與影像偵測區114之間,且對準微透鏡136 與影像偵測區114以提高影像偵測效率。在金屬間介電 122/124中形成彩色濾光片140的方法如下述。首先,形 成孔洞結構(或孔洞)於金屬間介電層中。孔洞的位置係對 準影像偵測區114,其延伸深度端視不同實施例而異。在 一實施例中,孔洞與影像偵測區114之上表面的最短距 離為零。在另一實施例中,可藉由移除#刻停止層使孔 洞底部位於影像偵測區之上表面上。在其他實施例中, 0503-A34223TWF/hsuhuche 14 孔洞深度並未延伸至影像偵測區114的表面,且部份層 間71電層仍位於孔洞與影像偵測區J14之間。在另一實 施例中,孔洞延伸至層間介電層中、延伸至層間介電層 上表面、或僅延伸至金屬間介電層中。在一實施例中: 孔洞之上視圖與影像偵測區重疊。彩色濾光片之截面形 狀可為夕種適當形狀如圓形或長方形。在一實施例中, 孔洞具有不同深度的固定形狀及尺寸。在另一實施例 中孔/同的侧視形狀為錐形且上表面之開口較寬。在另 施例中,孔洞的侧視形狀為多階形且上表面之開口 較寬。孔洞的形成方式包含微影製程及蝕刻。在另一實 施例中’可在形成層間介電们2G之前,先形成韻刻停 止層於半導體基板11G上。為了露出影像㈣器可採 用其他蝕刻製程移除溝槽中的蝕刻停止層。在另一實施 例中,蝕刻停止層可位於預定高度以確認孔洞的延伸深 度。 一彩色濾光片140之位置低於頂金屬層。如第3圖所 示,微透鏡136與頂金屬層之垂直方向至少部份符合。 糟由蝕刻金屬間介電層形成孔洞,再填入彩色濾光片材 料即可白成彩色濾光片。在一實施例中,彩色濾光片 材料包含可聚合材料如丙烯酸聚合物為主之負光阻或樹 脂。彩色濾光片材料包含可聚合材料如丙烯酸聚合物為 主之負光阻及顏料。如此一來,可減少微透鏡與影像偵 測區之間的光徑並提高影像偵測效率。此外在一實施例 中,可在填入孔洞的·步驟前先形成襯墊材料142於孔洞 側壁。在一實施例中,襯墊材料包含氮化矽。 0503-A34223TWF/hsuhuche 1376795 在一實施例中,在形成多層金屬特徵後形成溝槽於 晝素陣列區110a ’使影像偵測元件300之彩色濾光片位 於頂金屬層之垂直方向的下侧。上述溝槽延伸至頂金屬 層之下表面。接著沉積保護層於含有溝槽之影像偵測元 件300上,再形成平坦層135及微透鏡136於溝槽中。 在另一實施例中,頂金屬層之垂直延伸方向為第一方 向,而位於彩色濾光片上的微透鏡延著至少部份的第一 方向垂直延伸。 在另一實施例中,孔洞的延伸深度可達影像偵測器 114之表面。接著將透明材料填入孔洞至某一深度後,再 開始將彩色濾光片填入剩餘孔洞。透明材料可包含未掺 雜矽玻璃(USG)、以電漿增強式化學氣相沉積法及矽甲烷 (S1H4)形成之氧化矽、以電漿增強或高密度電漿之化學氣 相沉積法及四乙氧矽烷(TE0S)形成之氧化矽、旋塗玻 2、或上述之組合。將彩色濾光片材料填入孔洞後可進 一步對影像偵測元件300進行平坦化製程,以平坦化影 ,偵測元件3GG並移除多餘的彩色滤光片材料。平坦化 ,程包含㈣刻、CMP、或上述之組合。在另一實施例 ,办色濾光片之截面積介於〇·36平方微米至17 64 方微米之間。 ’ 第4·Π圖係本發明不同實施例中影像⑽元件的剖 处為了依衫色濾光片性質調整影像偵測元件的光學 好:’可改變彩色遽光片的高度。舉例來說,可將透明 屬間介電層之間,或形成於金屬間介電屬 …Μ ’層之間。在另—實施例中,透明材料係形成 〇5〇3-A34223TWF/hsuhuche - 1ή 1376795 於基板上以作為層間介電層之蝕刻停止層,用以控制之 後填充彩色濾光層的孔洞深度。如前所述,孔洞實質上 具有垂直侧壁,其不同深度之截面具有相同形狀及大 小。此外孔洞之侧視形狀亦可為錐形、多階形、或上述 . 之組合,可由微影製程及蝕刻製程完成❶ 第4圖之影像偵測元件302其彩色濾光片延伸至層 間介電層12G中,但與影像偵測器隔有—段距離。彩色 鲁濾光片具有垂直侧壁,且位於頂金屬層之凹陷下方。 第5圖之影像偵測元件304其彩色濾光片延伸至金 屬間’丨電層122 t。彩色濾光片具有垂直側壁,且位於 頂金屬層之凹陷下方。 第6圖之影像偵測元件3〇6其彩色濾光片延伸至金 屬間介電詹m t。彩色濾光片具有垂直側壁,且位於 頂金屬層之凹陷下方。 第7圖之影像偵測元件3〇8其彩色濾光片延伸至並 鲁止於相鄰的金屬間介電層122與124之間的介面。彩色 濾光片具有垂直侧壁,且位於頂金屬層之凹陷下方。 >第8圖之影像偵測元件310其彩色濾光片延伸至蝕 . 刻停止層146,且蝕刻停止層146係用以控制填入彩色濾 光片材料的孔洞深度。彩色濾光片具有垂直側壁 ,且位 ' 於頂金屬層之凹陷下方。 第9圖之影像谓測元件m其彩色渡光片延伸至相 鄰的金屬間介電層122與124之間的介面。彩色滤光片 之側視形狀為錐形且上表面之截面積較大,並位於頂金 屬層之凹陷下方。
0503-A34223TwT/nsuhuche 1376795 第10圖之影像偵測元件其彩色滤光片延伸至 :。形色濾光片之側視形狀為錐形且上表: 大,並位於頂金屬層之凹陷下方。 積較 ,11圖之影像偵測元件316其彩色遽光片之側視 2為夕階形(如二階)且靠近微透鏡之戴面積較大,可提升 衫像偵測效率。上述多階形 升 ^ ^ 念色濾先片的形成方法為 夕重微衫及姓刻步驟,比如兩道韻刻步驟。 Θ 第12圖之影像偵測元件31S其彩色遽光片之側視妒 ^三階形且靠近微透鏡之開口較大。上述三階形之; 色濾先片的形成方法為三道微影及三道蝕刻步驟。" 32〇ϋΓ圖係本發明—實施财形成影像彳貞測元件 f㈣Μ的剖關’其詳細步驟如下述。為簡化起 見,將省略重複特徵的敘述。 幻如第13圖所示,先提供半導體基板110,形成-或 夕個影㈣測n 112於基板中後,再 半導體基板11G上。在此皆心&夕θ 運線於 -金屬,—ί ίί 多層内連線包含第 金屬層U6a、第二金屬層賜、及頂金屬層132。 :體基板110更包括其他特徵如淺溝槽絕緣卿)⑴。 母一影像_11 U2包含影像偵㈣(或感光區114),可 為+導體基板型或?型掺雜區。影像偵測區ιΐ4 =摻雜濃度介於Π)%,原子w。影像偵測器可且 有不同的_型態及排列方^舉例來說,—部份的影 :刚…M0S影像偵測器,而其他部份為被㈣測 态0 . 多層内連線之形成方式可為化學氣相沉積法、濺 0503-A34223TWF/hsuhuche 〇 1 〇 1376795 鍍、電鍍法、其他合適之方法 說,PVD可用以形成銅晶種層,接::組合。舉例來 材以作為内it、m3圖中 鍍法沉積鋼塊 簡化說明。在不同實施例中,;層 於三層之金制。多相連“:内相3有少於或多 僳来结Λ^ 連線的電路設計並不會阻梓鸟 ,先線入射至衫像_器。每― 二 間介電層12·之形成方法可為旋塗法二:金屬 y、或其他合適方法 二: 增強式化學氣相沉積法以矽了抹用電漿 (TEOS)形縣切n ( lH4)或四乙氧石夕烧 漿氣相沉積法。在另心㈣’可採用高密度電 多層内連線及層間介電舞属 可為整合之製程(即鑲嵌製二二屬Z電層之形成方法 程。在—實_中=製程或單鎮嵌製 成方法如下所述。首先,於影像 。抗㈣包含氮 =形成介電材料於抗餘刻層上以形成介電層,並進一 ^以化學機械研磨(CMP)或回姓刻等製程平坦 電層上可形成CMP停止層。接著以微影製㈣ =電層,以形成預定作為電路圖案之溝i接著以減 :=!附:?佳且阻障力高之襯墊材料層(或襯墊層) 於溝槽中。接者以崎法形成銅晶種層,配合㈣之電 鑛法將銅填人襯塾後之溝槽1著以CMp或回敍刻等方 法將位於介!層上w餘的銅層移除,目時平坦化影像 摘測元件320。此外’可藉由本技藝熟知之多種實施例的 〇503-A34223TWF/hsuhUche 19 1376795 雙鑲嵌製程形成多層内連線126以及層間介電層/金屬間 - 介電層。在另一實施例中,如前所述可採用本技藝熟知 之鋁製程形成上述之内連線。舉例來說,包含銅及矽之 鋁合金可作為内連線。在此例中,金屬蝕刻製程可用以 形成金屬連線。在另一實施例中,可由接觸孔填充率較 佳之鎢形成銅插塞,以作為内連線之間的接觸孔。内連 線結構可含有多層結構。在採用銅製程之實施例中,多 層結構含有阻障層、銅晶種層、以及銅塊材(bulk)。在採 用鋁製程之實施例中,多層結構可包含阻障層、鋁層、 · 及抗反射塗層如氮化鈦。在另一實施例中,頂金屬層包 含鋁而其他金屬層包含銅。 同樣如第13圖所示,接著沉積保護層128並以化學 機械研磨等製程平坦化保護層128。在一實施例中,保護 層128包含氧化矽。接著可回蝕刻保護層128直到頂金 屬層(如第三金屬層)。在一實施例中,再沉積氧化層以保 護第三金屬層露出的表面。再沉積之氧化層其厚度介於 約50nm至約lOOnm之間。在一實施例之影像偵測元件 籲 320中,層間介電層120之厚度介於約500nm至約600nm 之間。金屬間介電層122之厚度介於約600nm至約700nm 之間,而金屬間介電層124之厚度介於約700nm至約 · 800nm之間。保護層128之厚度介於約600nm至約700nm . 之間。 如第14圖所示,形成光阻層於多層内連線上後進行 微影製程以形成圖案化光阻層150。圖案化光阻層具有一 或多個開口對準影像偵測器。微影製程包含圖案化光阻 0503-A34223T WF/hsuhuche 20 ⑻ 1376795 、以及剝除光阻。圖案化綠層之步驟可更包 且阻軟供烤、對準光罩、曝光圖案、曝光後: M 及硬供烤。微影製程可由其他合適方法 =無光罩微影製程、一、離子束直, 如第15圖所示,以圖案化光阻層之開 程’形成孔洞結構(或孔洞)於金制介電層 =置影像偵測區。孔洞深度延伸至影像偵測區的 施例中’孔洞深度並未延伸至影像偵測 孔洞與影=測層間介電層/金屬間介電層仍位於 在-實施例中,孔洞的形成方式包含微 =著護層/__上形成光阻層, 接者以微衫製知圖案化光阻層以形成開口。接著 化之光阻層的開口餘刻保護層/金屬間介電層至適、 度也比如姓刻至基板並露出影像感測區。上述餘刻&程 了為乾蝕?如碳氟化物為主的蝕刻劑與氧氣。在 鉍例中’碳氟化物為主的韻刻劑包含CF4、chf 、 、C4F8、或上述之組合。乾蝕刻之製程溫丄二、 H)〇C^15Gt之間。此外,朗製程 如= 酸為主的蝕刻劑。監控上述之 2刻如虱氣 導體基板m與其中的影像偵測器112。在另半 前上==,二 —止層。為了露出影像細可 0503-A34223TWF/hsuhiic:he 製程移除溝槽中的钱刻停止層。在另一 位:預定高度以確認孔洞的延:深:。在:: 貝施例t,在孔洞製程中採用硬 :合=製程及濕崎程使孔洞具有錐二::形 舉例來Hit行乾㈣後再進 孔洞具有較寬開口。在另—實施例中,多㈣ 1X=製程及多重韻刻停止層可形成具有較寬開: ==声在厂實,,先形成硬遮罩層於保護 、 "、曰上。接著形成光阻層於硬遮罩層上, =微影製程圖案化光阻層以形成開σ。接著進行兹刻製 圖案化光阻層之開σ轉移至硬遮罩層。接著以濕 式剝除法或錢灰化法移除光阻層。接著叫遮罩層之 開口蝕刻金屬間介電層以形成孔洞。 曰 在形成孔洞結構後,可再沉積氧化層於影像偵測元 件320之孔洞結構的侧壁上。氧化層(未圖示)之厚度介於 約50nm至約20〇nm之間。接著再形成保護層13〇於影 f偵測元件320之孔洞結構的側壁上。保護層13〇可為 氮化矽,其厚度介於約50nm至約200nm之間。 此外,還可進行額外製程如以微影製程定義接觸墊 如打線墊或探針測試墊的開放區域,以蝕刻製程形成上 述接觸墊的開放區域,以及在完成接觸墊之開放區域後 移除光阻層。 如第16圖所示,將一或多種彩色濾光片材料填入各 個孔洞結構中。舉例來說,將紅色濾光片材料填入孔洞 即形成紅色的彩色濾光片140a ;將綠色濾光片材料填入 0503-A34223TWF/hsuhuche 22 1376795 孔洞即形成綠色的彩色濾光片140b ;將藍色色濾光片材 料填入孔洞即形成藍色的彩色濾光片14〇c。將彩色濾光 片材料填入孔洞後可進一步對影像偵測元件320進行平 坦化製程,以平坦化影像偵測元件32〇並移除多餘的彩 色濾光片材料。平坦化製程包含回蝕刻、CMp、或上 之組合。 接著形成平坦層135於影像偵測元件32〇上,再形 成一或多個微透鏡136於平坦層135上。如此一來,微 透兄136 了對準對應的彩色遽光片及影像偵測器。 第Π-24 ®係本發明-實_巾形成影像制元件 330之不同製程的剖面圖,其詳細步驟如下述。 如第17圖所示,先提供半導體基板11〇,形成 二偵測器112於基板中後,再形成多層内連線於 尊.盖描土板U〇上。半導體基板110更包括其他特徵如 =槽絕緣㈣116。影像偵測器位於半導體基板n〇 114、母⑻像偵測器112包含影像偵測區(或感光區 )二可為半導體基板11G U型或ρ型接雜區。 錢、ίί:連^之形成方式可為化學氣相沉積法、濺 說,Ρνι^ϋ適之方法、或上述之組合。舉例來 二成銅晶種層,接著以電鑛法沉積銅塊 為㈣線。在另—實施射,如前所述可採用本 成r之㈣主之内連線。第17圖 層内連二 金屬屛η)—,屬s 126a、第二金屬層126b、及頂 曰。在*同實_中,多層内連線含有少於或多 A ^ /1 ^^〇 fT»·»»ϊ-»-. » j j . 〇5〇3-A34223T\\^suhuche 23 1376795 於三層之金屬層。多層内連線的電路設計並不會阻擋影 、 像光線入射至影像偵測器。頂金屬層係位於環繞晝素陣 列區之周邊區域中。在蝕刻頂金屬層以形成頂金屬特徵 後,再沉積蝕刻停止層144。蝕刻停止層之厚度約為500 埃。 同樣如第17圖所示,接著沉積保護層128並以化學 機械研磨等製程平坦化保護層128。在一實施例中,保護 層128包含氧化矽。接著可回蝕刻保護層128直到頂金 屬層。在一實施例中,再沉積氧化層以保護第三金屬層 籲 露出的表面。再沉積之氧化層其厚度介於約50nm至約 100nm之間。在一實施例之影像偵測元件330中,層間 介電層120之厚度介於約500nm至約600nm之間。金屬 間介電層122之厚度介於約600nm至約700nm之間,而 金屬間介電層124之厚度介於約700nm至約800nm之 間。保護層128之厚度介於約600nm至約700nm之間。 如第18圖所示,形成光阻層於多層内連線上後進行 微影製程以形成圖案化光阻層150。圖案化光阻層具有一籲 或多個開口對準影像偵測器。微影製程包含圖案化光阻 層、蝕刻、以及剝除光阻。圖案化光阻層之步驟可更包 含塗佈光阻、軟烘烤、對準光罩、曝光圖案、曝光後烘 · 烤、顯影光阻、及硬供烤。 - 以圖案化光阻層150之開口進行蝕刻製程,形成孔 洞結構(或孔洞)於金屬間介電層中。每一孔洞的位置對準 影像偵測區。孔洞深度延伸至影像偵測區的表面。在其 他實施例中,孔洞結構僅延伸至形成於基板上的蝕刻停 0503-A34223TWF/hsuhuche 24 (S) 1376795 止層。如此一來,可確切控制蝕刻製程止於蝕刻停止層。 在其他實施射,孔洞結構並未延伸至影像偵測區的曰表 面,且部份層間介電層/金屬間介電層仍位於孔洞結 影像偵測區之間。 〃 . 孔洞的形成方式包含微影製程及蝕刻。舉例來說, •先在保護層/金屬間介電層上形成光阻層,接著以微影製 程圖案化絲層以形成開Π。接著以圖案化之光阻層的 開口姓刻保護層/金屬間介電層至適當深度,比如姓^至 基板並露出影像感測區。上述蝕刻製程可為乾蝕刻如碳 鼠化物為主的钱刻劑與氧氣。在多種實施例中,碳氣化 物為主的蝕刻劑包含CF4、chf3、c2F6、c3;f8、c4F8、 上述之組合。乾蝕刻之製程溫度介於約loot至 間。此外,钱刻製程可為濕姓刻如氫氟酸為主的钱刻劑。 ^上述程可避免職料導縣板110與其 電匕112。、在另一實施例中’可在形成層間介 之别,先形成餘刻停止層於半導體基;110上。 如此一來,上述钱刻製程將停止於韻刻停止層。 =象伯:器,可採用其他银刻製程移除溝槽中的钱刻 Υτ止層。在另一實施例中,钱刻 .以確認孔洞的延伸深度。在另一實施;:位:預定高度 ._硬遮罩。在-實施例:,:: = = it::具有錐形的側視形狀。舉例2 Si 行r刻可使錐形孔洞具有較寬開口。在 貫μ列中’夕重钱刻製程.可藉由多重微影製程 重敍刻停止層形成具有較寬開σ之多階形孔洞。在 05〇3-A34223TWF/hsuhuChe
Mi' 25 f 1376795 施例中,先形成硬遮罩層於保護層/金屬間介電層上。接 著形成光阻層於硬遮罩層上,並以微影製程圖案化光阻 層以形成開口。接著進行蝕刻製程,將圖案化光阻層之 開口轉移至硬遮罩層。接著以濕式剝除法或電漿灰化法 移除光阻層。接著以硬遮罩層之開口蝕刻金屬間介電層 以形成孔洞。 如第19圖所示,以旋塗法等方法將插塞光阻材料152 填入孔洞結構。接著如第20圖所示,蝕刻插塞光阻材料 152至適當深度。舉例來說,保留之插塞光阻材料152比 餘刻停止層144低約100nm。 如第21圖所示,接著以微影製程定義影像偵測元件 330之凹陷部份。如第22圖所示,進行第一回餘刻製程 以選擇性蝕刻直到蝕刻停止層以避免柵欄效應(fence effect)並改善一致性。接著進行第二回蝕刻製程,直到第 二金屬層上的金屬間介電層之厚度為約70nm至約130nm 為止。接著移除圖案化之光阻層。 如第23圖所示,再沉積氧化層於影像偵測元件330 之孔洞結構的側壁上。氧化層(未圖示)之厚度介於約 50nm至約200nm之間。接著再形成保護層130於影像偵 測元件330之孔洞結構的侧壁上。保護層130可為氮化 石夕,其厚度介於約50nm至約200nm之間。 此外,還可進行額外製程如以微影製程定義接觸墊 如打線墊或探針測試墊的開放區域,以蝕刻製程形成上 述接觸墊的開放區域,以及在完成接觸墊之開放區域後 移除光阻層。 0503-A34223TWF/hsuhuche 26 1376795 如第24圖所示,將一或多種彩色濾光片材料填入各 個孔洞結構_。舉例來說,將紅色濾光片材料填入孔洞 即形成紅色的彩色濾光片14〇3;將綠色濾光片材料填入 孔洞即形成綠色的彩色濾光片140b;將藍色色濾光片材 料填入孔洞即形成藍色的彩色濾光片14〇c。 接著形成平坦層135於影像偵測元件 订
成一或多個微透鏡136於平坦層ι35上,如此一來,微 透鏡136可對準對應的彩色濾光片及影像偵測器。上述 結構可進一步縮短微透鏡與影像偵測器之間的光徑。 本發明之多個實施例已揭露不同的影像偵測元件及 其形成方法,並在本發明的範疇中建議了其他變化。舉 例來說,形成於孔洞結構側壁的襯墊材料層可進一步形 成於孔洞結構底部。襯墊材料層可為含氮材料如氮^ 矽、氮氧化矽、或其他合適材料。在另一實施例中,彩 色遽光片可含有其他合適形狀以符合其他影像债測區。 在另-實施财’頂金屬層可採用其他合適金屬或合金 以利材料整合。在另—實施财,彩色滤光片為只透可 見光如紅光、綠光、或藍光的其他介電材料。在另一實 施例中’影像積測器包括釘扎光電二極體(一“ P^t〇diGde)。在不同實施例中的内連線其金屬線可由銅 製程、鋁製程、或其他合適製程形成。 雖然本發明已以數個較佳實施例揭露如上,然其並 非用以限定本發明,任何孰習
Uhl 技藝者’在不脫離本發 之精神和範圍内’當可作任意之更動與潤飾,因此本 發明之保護範圍當視後附之申請專利範圍所界定者為
0503-A34223TVvT/hsuhuche 1376795 準。
0503-A34223TWF/hsuhuche 28 ⑻ 【圖式簡單說明】 第1圖係習知技藝之影像偵測器的剖面圖; f2圖係本發明-實施例中積體電路的剖面圖; 3圖係本發明一實施例中積體電路的剖面圖; 圖;第4_12圖係本發明不同實施例中積體電路的剖面 第13-16圖係本發明—實施例中形成積體電路 同製程的剖面圖;以及 第Π-24圖係本發明一實施例中形成積體電路之不 同製程的剖面圖。 【主要元件符號說明】 100〜影像偵測電路; 110a〜畫素陣列區; 112〜影像偵測器; 116〜淺溝槽絕緣; 122、124〜金屬間介電層; 126〜多層内連線; 126b〜第二金屬層; 13 2〜頂金屬層; 134、140、140a、140b 13 5〜平坦層; 138〜光束; 144、146〜蝕刻停止層 152〜插塞光阻材料;
0503-A34223TWF/hsuhuche 110〜半導體基板; 110b〜周邊區域; 114〜影像彳貞測區; 120〜層間介電層; 126 a〜第一金屬層; 128、130〜保護層; 、140c〜彩色濾光片; 136〜微透鏡; 142〜襯墊材料層; ; 15〇〜圖案化光阻層; 200〜積體電路; 29 ts ] 1376795 300、302、304、306、308、310、312、314、316、 318、320、330〜影像偵測元件°
0503-A34223TWF/hsuhuche 30 (Sj

Claims (1)

1376795 1(31年8月13日释正替換頁 第98119674號申請專利範圍修正本 七、申請專利範圍: 1. 一種影像偵測元件,包括. 一影像偵測器位於一半導體基板中; 一層間介電層位於該半導體基板上; 電二金屬間介電層及多層内連線形成於該層間介 2.如申請專利範圍第丨項所述之影像谓 該彩色濾光片包括一只透红、 、件,其中 材料。 ^ ,綠、或藍之可見光的介電 卿3色111專利範圍第1項所述之影像偵測元件,其中 口乂才/色遽光月延伸至層間介電層中。 /、 4·如申靖專利範圍第〗 該彩色減光月之古戶八於,Λ、述衫像偵測元件,其中 愿尤月之冋度介於3⑼nm至5000nm之間。 兮專利範圍第1項所述之影物二牛,1中 該杉色濾光月之截面積介於 -中 微米之間。 .讣+方檨未至17.64平方 f專_圍第1項所述之影像侦測元件,1中 忒才y色濾光>|之截面為圓形。 -中 專㈣項所述之影像 該办色處光片之截面為長方形。 1千,、中 8.如申I:專利範圍第】項所述之影像領 該如色濾、光A之侧視形狀為”中 表面具有較大之截面面積。开/且該形色處光片之上 〇503-A34223TWF!/hsuhuche 3】 1376795 1⑴年8月13曰修正替換頁 影像 -- 且該彩色濾光片之 第98119674號申請專利範圍修正本 9.如申請專利範圍第1項所述之 該彩色濾光片之側視形狀為多階形, 頂部尺寸大於底部尺寸。 10.如申請專利範圍第1 中該影像偵測器包括一光電 項所述之影像偵測元件 二極體。 ,其 =如ΐ請專丨項所述之影像_ 盆 中該衫像偵測器包括一釘扎光電二極體。 /、 12·如申請專利範㈣〗項所述之影像_元件,盆 2影像_器更包括-影像偵測區垂直對準該彩色滅 13.如申請專利範圍第!項所述之影像偵測元件, 中該多層内連線更包括—頂金屬特徵,該頂金屬特徵 水平位置位於一周邊區域,該頂金屬特徵之垂直位置 於該彩色濾、光片,且該頂金屬特徵之垂直延伸方向為 第一方向;以及 ’、、
微透鏡位於該彩色濾光片上,且該微透鏡延著至 少部份邊第一方向垂直延伸。 14.如申請專利範圍第13項所述之影像偵測元件,其 中該頂金屬特徵包括至少—打線塾及—探針測試塾。” 上1/.如申請專利範圍第丨項所述之影像偵測元件,其 中5亥彩色濾光片更被一襯墊材料層包覆。 16. 如申請專利範圍第15項所述之影像偵測元件,其 中該襯墊材料層包括氮化矽。 17. 種形成影像偵測元件的方法,包括: 形成一影像偵測器於一半導體基板中; 0503-A34223TWF]/hsuhuchi 32 1376795
第98119674號申請專利範圍修正本 形成-層間介電層於該半導體基板j — 電層=複數個金相介電衫多層内連線於該層間介 門人孔洞垂直延伸至該層間介電層中與該此全屬 間;|電層中及該影像Υ貞測H上; —屬 片 以彩色遽光片材料填入該孔洞以形成-彩色渡光 件的=ί,申圍第17項所述之形成影像伯測元 頂金屬特徵,該頂金屬特徵之水 -周邊區域,該頂金屬特徵之垂直 = 為-第;:及頂金屬特徵之垂直延伸方向 形成一微透鏡位於該彩色濾光片上, 著至少部份該第-方向垂直延伸。 该微透鏡延 件二.Γ申:專利範圍第17項所述之形成影像偵測元 更包括以-介電材料襯㈣H步驟前, 去其中形成該孔洞之步驟包括 使該孔洞之侧面形狀為錐形。 了雜刻製程 -後續之心驟包括,刻製程及 …蝕刻衣耘使該孔洞之側面形狀為錐形。 22.—種影像偵測元件,包括: 〇503-A34223TWFirnsuhuche 1376795 101年8月13日修正替換頁. 第98119674號申請專利範圍修正本 一影像偵測單元位於一矽基板中; 一層間介電層位於該矽基板上; 複數個金屬間介電層及多層内連線形成於該層間介 電層上;以及 一彩色濾光片填入一垂直延伸至該層間介電層與該 些金屬間介電層中的孔洞結構中,且該彩色濾光片垂直 對準該影像偵測單元之影像偵測區。 23.如申請專利範圍第22項所述之影像偵測元件,更 包括一頂金屬特徵位於該多層内連線及該彩色濾光片 24.如申請專利範圍第22項所述之影像偵測元件,更 包括一介電材料層襯墊該孔洞結構。
0503-A34223TWF1 /hsuhuche 34 137.6795 • 第98119674號圖式修正頁
138 100 \ I I I I 、I I I I 1111 ♦ ♦ ♦ t L 136 \ 130- 114- 134 128 η 124 ~ ------» 122 [ΙΟ IDO 120 1 JT X Ί: 」 110 u •132 •126 126 ~-V 112 第1圖 116 116- 1376795 101年8月24日修正替換頁 第98119674號圖式修正頁
第2圖 S
TW098119674A 2008-06-13 2009-06-12 Image sensor device and method for manufacturing the same TWI376795B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US6138508P 2008-06-13 2008-06-13

Publications (2)

Publication Number Publication Date
TW200952166A TW200952166A (en) 2009-12-16
TWI376795B true TWI376795B (en) 2012-11-11

Family

ID=41430338

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098119674A TWI376795B (en) 2008-06-13 2009-06-12 Image sensor device and method for manufacturing the same

Country Status (3)

Country Link
US (2) US8222710B2 (zh)
CN (1) CN101604700B (zh)
TW (1) TWI376795B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI690053B (zh) * 2016-11-04 2020-04-01 大陸商廈門市三安光電科技有限公司 微元件的轉移方法、微元件裝置的製造方法、微元件裝置及電子設備

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9386655B2 (en) * 2008-05-27 2016-07-05 Nxp B.V. Light sensor device and manufacturing method
TWI376795B (en) * 2008-06-13 2012-11-11 Taiwan Semiconductor Mfg Image sensor device and method for manufacturing the same
JP5347999B2 (ja) * 2009-03-12 2013-11-20 ソニー株式会社 固体撮像素子及びその製造方法、撮像装置
US8330840B2 (en) * 2009-08-06 2012-12-11 Aptina Imaging Corporation Image sensor with multilayer interference filters
US9911781B2 (en) * 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
KR20110077451A (ko) * 2009-12-30 2011-07-07 삼성전자주식회사 이미지 센서, 그 제조 방법, 및 상기 이미지 센서를 포함하는 장치
FR2969820B1 (fr) * 2010-12-23 2013-09-20 St Microelectronics Sa Capteur d'image éclairé par la face avant a faible diaphotie
JP4880794B1 (ja) * 2011-04-22 2012-02-22 パナソニック株式会社 固体撮像装置とその製造方法
US9236411B2 (en) 2011-08-03 2016-01-12 Omnivision Technologies, Inc. Color filter patterning using hard mask
JP6003316B2 (ja) * 2012-07-12 2016-10-05 ソニー株式会社 固体撮像装置、電子機器
CA3178340A1 (en) 2012-08-20 2014-02-27 Illumina, Inc. Method and system for fluorescence lifetime based sequencing
US8779542B2 (en) * 2012-11-21 2014-07-15 Intersil Americas LLC Photodetectors useful as ambient light sensors and methods for use in manufacturing the same
US10197716B2 (en) 2012-12-19 2019-02-05 Viavi Solutions Inc. Metal-dielectric optical filter, sensor device, and fabrication method
US9568362B2 (en) 2012-12-19 2017-02-14 Viavi Solutions Inc. Spectroscopic assembly and method
US9448346B2 (en) 2012-12-19 2016-09-20 Viavi Solutions Inc. Sensor device including one or more metal-dielectric optical filters
US8941159B2 (en) 2013-01-30 2015-01-27 Omnivision Technologies, Inc. Color filter including clear pixel and hard mask
CN104009048A (zh) * 2013-02-26 2014-08-27 中芯国际集成电路制造(上海)有限公司 Cmos图像传感器及其制备方法
US9691809B2 (en) 2013-03-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated image sensor device having an oxide film and method of forming an oxide film of a backside illuminated image sensor device
US9659992B2 (en) 2013-03-21 2017-05-23 Infineon Technologies Ag Method of manufacturing an imager and imager device
JP6303803B2 (ja) * 2013-07-03 2018-04-04 ソニー株式会社 固体撮像装置およびその製造方法
US9443996B2 (en) * 2013-07-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric structure for color filter array
US20150137296A1 (en) 2013-11-20 2015-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Color Filter Array and Micro-Lens Structure for Imaging System
FR3014243B1 (fr) * 2013-12-04 2017-05-26 St Microelectronics Sa Procede de realisation d'un dispositif imageur integre a illumination face avant comportant au moins un filtre optique metallique, et dispositif correspondant
US9391113B2 (en) * 2014-01-17 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Image-sensor device structure and method of manufacturing
KR102159166B1 (ko) 2014-05-09 2020-09-23 삼성전자주식회사 색분리 소자 및 상기 색분리 소자를 포함하는 이미지 센서
TWI700518B (zh) * 2014-06-18 2020-08-01 美商唯亞威方案公司 金屬介電光學濾光器、感測器裝置及製造方法
US9356185B2 (en) * 2014-06-20 2016-05-31 Heptagon Micro Optics Pte. Ltd. Compact light sensing modules including reflective surfaces to enhance light collection and/or emission, and methods of fabricating such modules
US9812478B2 (en) * 2015-03-05 2017-11-07 Omnivision Technologies, Inc. Aerogel-encapsulated image sensor and manufacturing method for same
TWI550842B (zh) * 2015-04-09 2016-09-21 力晶科技股份有限公司 影像感應器
CN106158891B (zh) * 2015-05-15 2019-07-05 台湾积体电路制造股份有限公司 用于提高光学性能和隔离的堆叠栅格设计
TWI537837B (zh) * 2015-06-11 2016-06-11 南茂科技股份有限公司 指紋辨識晶片封裝結構及其製作方法
US10211244B2 (en) 2017-06-30 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device with reflective structure and method for forming the same
US10373999B2 (en) * 2017-09-29 2019-08-06 Taiwan Semiconductor Manufacturing Company Ltd. Image sensor and associated fabricating method
US10672934B2 (en) * 2017-10-31 2020-06-02 Taiwan Semiconductor Manufacturing Company Ltd. SPAD image sensor and associated fabricating method
CN112020770A (zh) * 2018-04-16 2020-12-01 应用材料公司 使用暂时及永久接合的多层堆叠光学元件
US10854658B2 (en) 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with sidewall protection and method of making same
TWI712959B (zh) * 2018-09-19 2020-12-11 世界先進積體電路股份有限公司 光學感測器及其形成方法
US10915727B2 (en) 2018-12-28 2021-02-09 Vanguard International Semiconductor Corporation Optical sensor and method for forming the same
CN110174827B (zh) * 2019-04-30 2021-11-23 广东国溯科技有限公司 一种3d立体镭射防伪标签喷印装置及方法
US11682654B2 (en) * 2019-12-17 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a sensor device and method of manufacturing the same
US20220130809A1 (en) * 2020-10-27 2022-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11869910B1 (en) * 2023-03-30 2024-01-09 Visera Technologies Company Ltd. Light sensing element

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW466780B (en) * 2000-03-17 2001-12-01 Taiwan Semiconductor Mfg Method to accurately control the manufacturing of high performance photodiode
US6815787B1 (en) * 2002-01-08 2004-11-09 Taiwan Semiconductor Manufacturing Company Grid metal design for large density CMOS image sensor
US6855629B2 (en) * 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
US6642076B1 (en) * 2002-10-22 2003-11-04 Taiwan Semiconductor Manufacturing Company Asymmetrical reset transistor with double-diffused source for CMOS image sensor
JP4175299B2 (ja) * 2003-07-23 2008-11-05 セイコーエプソン株式会社 カラーフィルタおよび表示装置
US7122840B2 (en) * 2004-06-17 2006-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor with optical guard ring and fabrication method thereof
KR100658930B1 (ko) * 2004-12-30 2006-12-15 매그나칩 반도체 유한회사 칼라별 초점 거리 조절이 가능한 이미지센서 및 그 제조방법
KR100672995B1 (ko) * 2005-02-02 2007-01-24 삼성전자주식회사 이미지 센서의 제조 방법 및 그에 의해 형성된 이미지 센서
KR100807214B1 (ko) * 2005-02-14 2008-03-03 삼성전자주식회사 향상된 감도를 갖는 이미지 센서 및 그 제조 방법
WO2006115142A1 (ja) * 2005-04-22 2006-11-02 Matsushita Electric Industrial Co., Ltd. 固体撮像素子およびその製造方法ならびに光導波路形成装置
US20070241418A1 (en) * 2006-04-13 2007-10-18 Ming-I Wang Image sensing device and fabrication method thereof
KR100789578B1 (ko) * 2006-08-28 2007-12-28 동부일렉트로닉스 주식회사 이미지 센서 및 그 제조방법
CN101179089A (zh) * 2006-11-09 2008-05-14 联华电子股份有限公司 图像传感器及其制造方法
CN100527444C (zh) 2006-11-10 2009-08-12 中国科学院合肥物质科学研究院 金属/氮化镓铝/氮化镓横向肖特基二极管及其制备方法
US7880253B2 (en) * 2006-11-28 2011-02-01 Stmicroelectronics Sa Integrated optical filter
KR100905596B1 (ko) * 2007-11-16 2009-07-02 주식회사 동부하이텍 이미지 센서 및 그 제조방법
KR20090056431A (ko) * 2007-11-30 2009-06-03 주식회사 동부하이텍 이미지센서 및 그 제조방법
US20090189233A1 (en) 2008-01-25 2009-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Cmos image sensor and method for manufacturing same
TWI376795B (en) * 2008-06-13 2012-11-11 Taiwan Semiconductor Mfg Image sensor device and method for manufacturing the same
JP5595298B2 (ja) * 2010-04-06 2014-09-24 キヤノン株式会社 固体撮像装置及び撮像システム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI690053B (zh) * 2016-11-04 2020-04-01 大陸商廈門市三安光電科技有限公司 微元件的轉移方法、微元件裝置的製造方法、微元件裝置及電子設備
TWI697093B (zh) * 2016-11-04 2020-06-21 大陸商廈門市三安光電科技有限公司 微元件的轉移方法、微元件裝置的製造方法、微元件裝置及電子設備

Also Published As

Publication number Publication date
US20120280346A1 (en) 2012-11-08
US20090315131A1 (en) 2009-12-24
TW200952166A (en) 2009-12-16
US8222710B2 (en) 2012-07-17
CN101604700A (zh) 2009-12-16
US8816457B2 (en) 2014-08-26
CN101604700B (zh) 2012-12-12

Similar Documents

Publication Publication Date Title
TWI376795B (en) Image sensor device and method for manufacturing the same
TWI559515B (zh) 影像感測裝置與接合墊結構及其製作方法
US6861686B2 (en) Structure of a CMOS image sensor and method for fabricating the same
US7462507B2 (en) Structure of a CMOS image sensor and method for fabricating the same
TWI360887B (en) A damascene copper wiring image sensor
KR100499174B1 (ko) 이미지 소자
WO2009151274A2 (ko) 후면 조사 이미지 센서 및 그 제조방법
US8003428B2 (en) Method of forming an inverted lens in a semiconductor structure
US20060183265A1 (en) Image sensor having improved sensitivity and method for making same
KR100642764B1 (ko) 이미지 소자 및 그 제조 방법
TWI679741B (zh) 互補金屬氧化物半導體感測器及其形成方法
KR20060104273A (ko) 이미지 센서 및 그 제조 방법.
KR20110022573A (ko) 박리 및 균열에 강한 이미지 센서 구조물 및 그 제조 방법
JP2006229206A (ja) 向上された感度を有するイメージセンサ及びその製造方法
KR100524200B1 (ko) 이미지 소자 및 그 제조 방법
TW200924058A (en) Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US20210351225A1 (en) Image sensor grid and method of manufacturing same
KR100938951B1 (ko) 후면 조사 이미지 센서 및 그 제조방법
KR100938723B1 (ko) 후면 조사 이미지 센서 및 그 제조방법
KR100667650B1 (ko) 이미지 소자 및 그 제조 방법
KR20080013159A (ko) 이미지 센서 및 그 형성 방법
JP2015153870A (ja) 半導体装置の製造方法、光電変換装置
KR20100079179A (ko) Mim 커패시터 및 그 제조 방법