TWI283043B - Methods for fabricating interconnect structures having low k dielectric properties - Google Patents

Methods for fabricating interconnect structures having low k dielectric properties Download PDF

Info

Publication number
TWI283043B
TWI283043B TW091136753A TW91136753A TWI283043B TW I283043 B TWI283043 B TW I283043B TW 091136753 A TW091136753 A TW 091136753A TW 91136753 A TW91136753 A TW 91136753A TW I283043 B TWI283043 B TW I283043B
Authority
TW
Taiwan
Prior art keywords
film
low
barrier film
dielectric
cmp
Prior art date
Application number
TW091136753A
Other languages
English (en)
Other versions
TW200301544A (en
Inventor
Yehiel Gotkis
Rodney Kistler
Leonid Romm
Te-Hua Lin
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200301544A publication Critical patent/TW200301544A/zh
Application granted granted Critical
Publication of TWI283043B publication Critical patent/TWI283043B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1283043 五、發明說明(1) 、【發明所屬之技術領域 本發明係一般關於一種半導體結構之製造方法,尤其關 於—種銅、低κ值電介質、雙重鑲嵌結構之製造方法。 二、【先前技術】 在半導體裝置之製造中,藉由形成相互交疊的複數層^ 一 f f次結構,使得積體電路定義於半導體晶圓上。^ 二互又嚎之纟σ果使彳于晶圓之表面形態變得不規則,且未炎 正的不規則性隨著後續沉接爲 學H i M A 積層增加。迄今已經發展出… 予機:械平坦化(Chem i「a 1 μ^ u ·,
作 A 制、止 从 Mechanical Planarization ^ CMP 丨戸馮一製造操作,主要用,、;屯7丨 移除超載的沉積 緣體清潔、餘刻 成。 在基板層次 要用以千坦化沉積層之表面形態,並i 額外的製造操作包括表面完工、拋光、餐 諸如此類亦時常使用CMP製程與設備而完 上方及㈣。在後區域之電晶體裝置形成於係基 接至電晶體裝4,以: f f金屬化線被圖案化且電 在習知的情況中,圖案化 j ^,能的裝置。眾所週知 矽而與其他導電層^ “ a猎由電介質材料例如氧 超載金屬或平“^質;ί屬化層次中,皆需要; 化層之製造會因更枓二若沒有平坦化,額外的金 難。在其他主要應用中,金 /貝上更加困 中,然後導電材料沉 圓::::於:介質材料 屬CMP操作以移除過 曰口表面上方,最後,進行 勺至屬,例如銅。在導體沉積之前超
1283043
五、發明說明(2) 常沉積一額外的擴散層以防止 中,使其絕緣電介質特性變差:产==至電介質塊材 銅雙重鑲嵌技術與導電材料 積體電路工業中一時之選的f ^ 、。構技術壎進成為 型上使用Cu-CMP進行銅;重鑲嵌製造中,典 1A至1C所述。 "羊膜超載移除’如下文中參照圖 ?1A顯示具有典型的銅雙重鑲 一部分。特徵元件14,例如準法盘、s β 千V體日日囫10之 12内。阻障膜18已經沉積於絕緣體广2上方已:=絕緣體 之内襯。銅填充部已經沉積於特 為特敛兀件1 4 16位於阻障膜18上方。 特〜牛14中,導致鋼超載部 圖1B顯示在已經進行第一CMp製程 銅雙重織構之半導體晶圓1〇之—部 一二= 平坦化絕緣體12在阻障膜18虛之乒品 rni ^你用以 ^^ T ί :h 1 障膜18 ’以構成平坦化的表面。應瞭解? 中; 圖1A)被移除而露出阻障膜18之昧赴、,丄 HU多知 面係-同質材料。-旦二二點屮财:由CMP所平坦化的表 面隨即變成具有*質的材料鱼化幾由CMP所處理的表 障膜18。 何枓與化予特性之銅填充部16,與阻 圖1C顯不製造於半導辦曰圓in m々神相-&。所_ ~ 0 之一部分中之雙重鑲嵌結 構之理心凡成所曰属JK的理想結構係圖1B所示的結構之第 CMP所追未的^目^。阻障膜18(參照圖1B)被移除,留下 平坦化的絕緣體表面包括絕緣體12、特徵元件㈠之
第7頁 1283043 五、發明說明(3) 襯18,、以及特徵元件14内之銅填充部16,。應注意由圖ic中 之CMP所處理的具有不同材料與化學特性之材料數目現在為 二一 〇 眾所週知,cmP起初係發展來,且最有效與最適合,用 7非平坦的同質(亦即由相同材料所組成)表面之平坦化。再 次^照圖1B,應暸解在銅CMP之最後階段,表面既不同質也 不給要平坦化。在典型的銅雙重鑲嵌結構製造中,雖然Μ? 系下個進行於此結構上之製程步驟,但其並非適合進行 CMP的結構。 為了 使一欲被 理用表面 含有各種 這一切皆 此類而決 高堅硬度 下方之絕 程度至高 擦接觸一 在剛 移除且阻 平坦,然 型上,於 製程移除 平坦化表面,CMP執行化學與研磨作用之組合藉由 平坦化的表面面對著一加工處理用表面,該加工虑 具有各種程度之彈性、各種程度之研磨性、被可敍 程度之研磨性的各種程度之化學侵略性漿劑弄濕, ,據製程目標、製程條件、材料與化學特性、諸女 定。在銅CMP之例子中,實務上通常使用例如具有 t墊作為加工處理用表面。由於在銅雙重鑲嵌結相 緣體12典型上係堅硬的,因此壓力一般上也屬中段 度,並且加工處理用表面與欲平坦化的表面間之湾 般導致高度切應力於晶圓之表面處。 理1件下’於銅超載部16(參照圖D被 Ρ手膜18路出之%點,由CMp所處理的表面係 而:再同質了,並且CMP之有效性急劇地降低:旅 二程與處理條件,以便藉著第二㈤ 、,但疋匕括有硬的阻障膜18與軟的銅16,
1283043 五、發明說明(4) 之異質的表面無法由同一 CM P操作進行最佳化處理。典型的 半導體晶圓被較不精確地處理而導致較不理想的結構,而非 圖1 C所示的理想的結構。 圖1D顯示典型的銅雙重鑲嵌結構,反映出異質的CMP處 理之結構缺陷。CM P —般移除阻障膜1 8 (參照圖1 b )且留下具 有阻障膜内襯18,與銅填充部16,之特徵元件14,但結構上存 在有表面不規則,例如銅填充部16,中之凹陷20與較不平坦 的表面22。較不平坦的表面22典型上也包括電介質侵餘24。 此外,因為銅係此結構之一材料,且其為軟的並具有相當的
化學活性之材料,所以防止銅填充部丨6,之腐蝕與刮傷亦為 嚴肅的製造挑戰。 需要:種用於銅與其他導電材料之雙重鑲嵌結構製造之 方法與設備,利用CMP之平坦化銅超載材料之同質部分之優 點’且2種更適合異質的表面處理之製程。應該實施 ^ k & 3C X If Μ 1 1 蚨 且定位雙重鑲嵌技術以 更佳地V入並開發新興的相關技術。 三、【發明内容】 廣泛而言’本發明滿足此 電介質絕緣體中之雙重鑲嵌結 最有效的製程中且利用蝕刻於 外,本發明之方法利用新興的 質結構。本發明可以各種方式 系統、裝置、或方法。下文將 等需求藉由提供一種在低κ值 構之形成方法,利用CMP於CMP 最適合蝕刻製造之製程中。此 技術I造低K值與超低K值電介 加以實施,包括製程、設備、 說明本發明之若干實施例。
第9頁 1283043 五 發明說明(5) 在-實施例中,揭露—種在絕緣體 =方法。此方法包括形成一 M值電n導體結構之秦 :’以及形成一包覆膜於該低κ值電介-絕緣體上 =徵元件形成於該低κ值電介質材料中,、且2亡方。複數個 :材料所界定的複數個内表面。該複數個、有由-該低κ值電介 個用以接收一導電材料的區域。一阻,城凡件界定複數
i:與該複數個特徵元件之該複數個内表=於該包覆膜 ,電材料填滿該複數個特徵元件。在=亡方,且藉著該 扦存留下該導電材料之一超載量。此方、泰=硬數個特徵元件 =平坦化(CMP)㈣,以移除該導^&括進行-化學 CMP#作係於達到該阻障膜層之至 二該T載1。該 ,行-乾㈣,以移除該阻障膜層停止。然後此 膜之至少一部分露出。 、㈢ 忒乾敍刻使該包覆 在另'一貫施例中,親兩 之方法。A方法包括形成:電邑八緣體上製造半導體結構 方,然後形成-包覆膜ί該;材料於-絕緣體上 膜係由至少兩連續形成的材料声定1貝材料上方。該包覆 數個特徵元件於該低κ值電義。此方法接著形成複 質材料所界定的複數個内貝"'、— ,具有由該低K值電介
個用以接收一導電材料的當=。该稷數個特徵元件界定複數 層於該包覆膜上方與該複數二蛀f方法更包括形成—阻障膜 方。藉著該導電材料填滿哕、—*斂70件之該複數個内表面上 電材料之一超載量。接荽Μ複數個特徵元件,且存留下該導 (CMP)操作,以移除該電此方法進行—化學機械平坦化 电材枓之该超載量。該CMP操作係列
1283043 五 發明說明(6) 達到該阻障膜層之至少一八 一乾蝕刻,以狡^ 4刀守分止。此方法繼而進行—筮 軍己蝕刻,以移除該阻障膜層 返仃弟 露出;然後進行一第二乾钕刻,之至少-部分 形成的材料層中之至少一層。 亥包覆膜之該兩連續 材料部、阻障膜、與包2;:二二=體結構中之超載導電 值電介質材料二覆料體結構具有 欲7L件’用以形成複數個導電通孔數::有稷數個书 低K值電介質材料之—頂表面具有」、數们七溝。更且,錢 為該複數個特徵元件之内槪並形成於、’且一阻障膜作 材料填滿該複數個特徵元件並存留下:J念方。一導f 阻障膜上方。此方法包括 °载V電材料部於該 操作’以移除該超載導電材订::::;:坦化(CMP) 載導電材料部日夺,停止CMP操作丨且田確疋貝質上移除該超 半導體結橼至一電漿蝕刻機:且-一、法包括移動該 除該阻障膜。此方法;:::,電漿蝕刻,以移 覆膜之至少一部分。此=::,續電漿姓刻,以移除該包 K值電介質層。倘若要求下,、二後0括確定是否要求下—個低 下-個低K值電介質層=:個低κ值電介質層,則形成該 ㈢I 5複此方法。 本發明之優點眾多。 用CMP於適合CMP的製造据你^月之一顯著利益與優點在於使 所形成的結構更精確且且使用蝕刻於適合蝕刻的操作。 本發明之方法之另更少的碎屑與缺陷。 興技術,因而可於新賴係容納有超低Κ值電介質之新 稍材枓與結構導入時實行。
1283043 五、發明說明(7) 從下文附有圖示之詳細說明中將更明瞭本發明之其他優 點’其中圖示係用以舉例說明本發明之原則。 四、【實施方式】 本發明將因下文附有圖示之詳細說明而更容易被暸解, 其中相同的參考符號標示著相同的構造元件。 、 兹將說明具有低K值電介質特性之電介質結構之製造方 、、+ 釐
/、。在較佳實施例中,此方法包括使用超溫和CMp進行銅超 移除以及使用第一蝕刻進行阻障膜移除而製造銅雙重鑲 =結構於低κ值電介質絕緣體中。倘若需要薄化包覆膜則進 行第二蝕刻,且完成額外地降低低K值結構之K值。在下列說 明中,列舉眾多具體細節以徹底瞭解本發明。然而,應注意 對於熟悉此項技藝之人士而言,本發明得在不具備此等具體 細節中之若干項或全部下實施。換言之,習知的製造操作並 未被詳加說明,以避免不必要地模糊本發明。 、銅雙重鑲嵌結構經常製造於低K值電介質絕緣體中以形 成銅低K值雙重鑲嵌結構。雖然銅已經成為用於一般結構之 較佳導電材料,但低κ值電介質之技術進展導致低κ值電介質 、、、邑緣體之基礎結構性改變。此等基礎結構性改變需要使用新 的製造方式以形成銅低κ值雙重鑲嵌結構。更且,雖然在本 發明較佳實施例中因銅在半導體結構中之較佳實施性而使用 於銅,但應瞭解本發明不限於使用銅作為導電材料。相反 地,銅在此文中僅為一實施例,本方法與結構可應用於任何 導電材料,舉例而言,鎢與鋁為使用於低κ值電介質雙重鑲
第12頁 1283043
五、發明說明(8) 嵌結構之複數種導電材料中之兩種。 低K值電介質絕緣體中之一基 ^^^^f## ^#^ 1 技藝結構而言具有顯著的進步,但^ · i :鼻將對於先刖 如前所述缺陷之以第-CMP移除銅超載而 '程修改。具有 之典型的製造製程= 矛壬以達成所期望的結果。 /、丹l 在銅低K值雙重鑲嵌結構與銅 ί構ίί造中之兩階段方式。第-階段將包括銅超;:;移 除,且弟二階段將包括阻障膜之移除。 卓 後,但緊密關聯於阻障膜““所進2 質盥,H V'貝」一 5司係用以廣泛地代表「低κ值電介 超低κ、值之m電介質」兩者之電介質結構。屬於低κ值或 超出本發明ϊ=用以達成此等κ值之具體材料與製程已經 以ί i 。因而’應瞭解低【值電介質之統稱係用 以包括從低K值至超低κ值之電介質範圍。 如圖2A所示,包覆膜11〇沉積於有孔隙的κ 上方。然後’特徵元件104,渠溝與通孔:;、: 2孔隙的低κ值電介質絕緣體102中,然後阻障膜1〇8沉積 特徵兀件104之内襯且形成一位於包覆膜11〇上方之層。 “、、、後,銅填充部沉積於特徵元件1〇4中,位於阻障膜1〇8丄
第13頁 1283043
五、發明說明(9) 方,形成鋼超載部1 0 6。如同下文中參照圖3 A與3B更詳加Μ =般,在一實施例中,包覆膜110係用以在結構製造中保= 有孔隙的低Κ值電介質絕緣體丨02,且在移除阻障膜1〇8時作 為蝕刻停止部。在一實施例中,銅雙重鑲嵌製造中之阻 108典型上由鈕與氮化组中之一個所製成 、 、接著對於圖2Α所示的銅低Κ值雙重鑲嵌結構1〇〇進行處理 以移除銅超載部106。此外,銅低κ值雙重鑲嵌結構1〇〇之表 面需要被平坦化,使後續的結構製程最佳化。對於移除銅超 載=106與表面平坦化而言,CMP仍為一時之選的製程。不像 先前技藝銅雙重鑲後應用中之CMP,本發明之一實施例進行 一超溫和CMP製程,以移除銅超載部1 。 如前參照圖1 A至1D所述,先前技藝銅(^?典型上係藉由 具有高硬度之加工處理用表面並在高壓力下而進行。此9導致 高壓力施加於電介質絕緣體上,並且高切應力施加於欲製造 的結構之表面層。在先前技藝結構中,電介質絕緣體,例如 石英、矽、二氧化矽、與諸如此類,之相對硬度可適當地抵 抗高壓力。然而,甚至在具有硬的電介質絕緣體之結構,高 切應力仍可造成例如阻障膜/電介質接面剝離之結構缺陷。 在本發明之一實施例中,圖2A所示的銅低κ值雙重鑲散 結構1 0 0係使用超溫和C Μ P來處理。先前技藝銅雙重鑲嵌c μ p 所施加的高壓力可造成有孔隙的低Κ值電介質絕緣體1 〇 2之結 構缺陷,且切應力可造成包覆膜110之分離。超溫和Cmp有效 地移除銅超載部1 0 6,且平坦化阻障膜1 〇 8之結構表面。依擄 本發明之一實施例,超溫和CMP使用一堅硬面的加工處理用
第14頁 1283043
表面設於一可撓性或柔順性墊、帶、滾輪、或其他處理 或工具上方。此提供一堅硬表面。在一實施例中,加工/^ 用表面係次開孔(sub-aperture)CMP處理系統中所用 地 墊。在另一實施例中,加工處理用表面係線性帶驅動处 (linear be It-drive) CMP處理系統中所用的CMp帶。在 一 貫施例中,加工處理用表面係組成滾輪型處理备 個或更多的滾輪。 ”、、充之一 除了堅硬面的加工處理用表面設於一可撓性或柔 墊、帶、滾輪、或其他處理核心或工具上方以外,^ CMP也在漿劑中添加甚少的研磨劑或不添加研磨劑。°在1一 ^ 施=中,所有要求的研磨性皆由加工處理用表面提供。一貫 一實施例中,軟性研磨劑包括於處理漿劑中。在一每^ 另 中,軟性研磨劑係添加至用以處理具有足夠的包覆ς1例 結構的漿劑中,該包覆膜i〇用以保護有孔隙的低κ值 所 絕緣體102避免由軟性研磨劑之添加所導致的額外壓"貝 免由軟性研磨劑之添加所導致的切應力增加。、 避 在一實施例中,使用超溫和CMP之銅移除係藉由 受抗化劑(inhibitor)協助的直接電荷轉移化學所促 由 一實施例中,所使用的直接電荷轉移化學係Cu« + Fe3+二在 Cu2+ + Fe2+,以移除並平坦化銅超載部丨〇6。在一 使用有機大分子抗化劑以增加平坦化。抗化劑亦用防 面氧化膜形成。 个|万止^ 在^發明之一實施例中,使用超溫和CMp以移除銅超 10Θ並平坦化銅低K值雙重鑲嵌結構1〇〇之阻障膜丨 载 、 丄万的表
1283043
面°圖28顯示在依攄太於日月夕 ^ ^ j 1 m9A ^ ^ 隹伙儺明之一實施例之超溫和CMP完成時 二i= 值雙重鑲傲結構100。特徵元件m被銅填充部 質的==膜m作為内襯。結構100之表面現在為異 108登垃\ 部106,與阻障膜1〇8。在表面處,阻障膜、 值電介二邑位缘於體上方,包覆膜U°位於有孔隙的低κ 障膜108 下一製程係移除結構表面處之阻 - ηΛ前參照圖1Α 所述,先前技藝結構製造方法包括第 一 CMP製程以移除阻障膜1〇8。典型上,在銅雙重鑲嵌結弟 中,阻障膜1 〇 8係鈕或氮化鈕材料,一般為有彈力的。此 外,在與CMP化學物質組合或在有效的(:^115化學物質存 況中,鈕傾向於形成揮發性氟化物。雖然阻障膜1〇8之严产月 典型上僅為2 0 0A至3 0 0A,但阻障膜1 〇 8 2CMp因化學性盥 械性彈力且阻障膜108僅為包括有軟性銅之異質的表面/之一 部分而特別具有挑戰性,因而,CMP係較不理想的^程,異一 擇。 K k 在一實施例中,阻障膜108之移除係使用乾蝕刻製程 如電漿蝕刻而達成。將於下文參照圖3A與⑽較詳細 覆膜11 0係選擇成具有高的蝕刻選擇性。然後使用包^膜= 除了保護有孔隙的電介質絕緣體丨〇2以外也作為蝕刻^止 部,藉由蝕刻有效率地移除阻障膜1 〇 8。應瞭解,,:丧> 例中,藉由蝕刻迅速且輕易地移除2 ooa至30 〇A厚的阻障 膜,具有甚少的副產品,且銅不會形成揮發性氟化物,早藉以
1283043 五、發明說明(12) 在阻障膜108蝕刻期間中防止銅蝕刻或腐蝕。 '圖2C顯示在依據本發明之一實施例阻障膜丨〇8之蝕刻完 ,時圖2A與2B之銅低K值雙重鑲嵌結構1〇〇。銅低κ值雙重鑲 =結構100包括形成有特徵元件丨〇4的有孔隙的低κ值電介質 、、、巴緣體102。特徵元件104具有阻障膜内襯1〇8,與銅填充部 06包覆膜11 〇覆蓋有孔隙的低κ值電介質絕緣體1 〇2之表 面。 4 在本發明之-實施例t ’進行額外的銅低κ值雙重鎮爭 、=100之製造。用以保護有孔隙的低κ值 入
3 =障膜m银刻一有效的㈣停止部的包覆膜二02可 曰=口成的有孔隙的低κ值電介f /包覆膜堆 ί本發明之一實施例中,在阻障膜1〇8餘刻之後進^第二钱 =化包覆膜110 ’且降低合成的有孔隙的;敍 包覆膜堆疊之有效κ值。 沮电)丨#/ =3A顯示依據本發明之一實施例圖2A至2(:所 值雙重鑲喪結構1〇〇之近觀剖面圖。㈣ = 、位於有孔隙的低κ值電介質絕緣體1〇2上方。如二 :邱所述’在本發明之一實施例中進行cmp以移除 一;將為且平坦化阻障膜108之表面。在一實施例中,接、 障膜108。電漿㈣係用Ί表面之位於包覆膜110上方的阻 出於結構表面因而#',"/4除阻障,8 ’使包覆膜U0露 触刻製…刻::部;,例中由包覆膜110作為電聚 d铋止。P。在一實施例中,相較於作為阻
1283043 五、發明說明(13) 108之材料例如鈕與氮化钽,包覆膜係具有高蝕刻選擇性, 且具有最低可能的K值以使有孔隙的低κ值電介質/包覆膜之 合成堆疊保持最低可能的有效]^值。 ' 然而,包覆膜110必須足夠厚以提供下方的有 二緣體所必要的保護,當下方的電介質係/有的多: 了士匕覆膜11 0之厚度必需比在具有孔隙的低κ值電介質之 大。在—實施例中,包覆膜110之厚度範圍係從大 :ηΛ大約50 0Α,且在一實施例中包覆膜之厚度為大約 明之。-1二t覆膜110愈厚,堆疊之有效Κ值愈高。在本發 :例中,進行第二蝕刻製程以薄化包覆膜110。藉 由溥化包覆膜110,可降低堆疊之有效κ值。 杆。ΐ本f明之一實施例中,第二蝕刻係阻障膜蝕刻之後進 -計時:^ ί;用以薄化包覆膜110,因而在一實施例中係 =敍刻製程。在另一實施例中,精確度量衡係合併於 ΐΐ::度且精確監視包覆臈110㈣以對包覆膜110移除- r大發明之一實施例中,包覆膜110係單-層膜,厚度 二聂,JL I大Γ 500A :在—實施例中,包覆膜係一膜合成 Iΐ # 5 6 ί二兩連續但定義分明之層,且在另一實施例中 度:ΐ:?ΐ績但定義分明之層,其中包覆膜堆疊之累積厚 二施=夕ΐ古約5Α至大約5 0 0Α。圖3Β顯示依據本發明之一 ^人^包覆膜合成堆疊11 〇之結構之一部分。圖3Β所 丁、。、堆璺包覆膜11〇包括上層11〇3與下層li〇b。在另一
第18頁
1^ 1283043 五、發明說明(14) Π t - 5覆膜合成堆疊110包括兩層以上。在所示的例 于丄馮了元王蝕刻阻障膜1〇8,上層u〇a係對於阻障膜蝕刻 具有選擇性之材料,日力 ^ ^ , 、 ^ ^ ^ y ^ 且在一貫施例中阻障膜蝕刻係鈕或氮化 二 A ,疋上層1 1 0 a也需要相當於阻障膜1 〇 8,使得第 ,一名虫刻可有效地薄化$蔣&卜展11 n lL .. 戶$ ;七f ^ 次移除上層0a。此外,上層110a提供 &成堆疊110 ’以便在較先的CMP與蝕刻製程中 保邊下方的有孔隙的低K值電介質絕緣體102 κ信Λ圖二所:的實施例中’下層110b係最靠近有孔隙的低 值^電;丨貝、',邑緣體102之層。下層110b保持最低的〖值以使所 :ί:、!構保持最低可能的£值。在一實施例巾,下層nob '、二,且舉例而言用於下層11 〇 b的材料係氧化矽、氮化 I 石二κ 7氧化石夕、氮氧化矽、碳化矽、諸如此類。應瞭解下層 II 〇b係具有高蝕刻選擇性,使得薄化蝕刻製程與第二蝕刻製 程可有效地移除或薄化上層110a,但使下層丨丨卟原封不動 圖2D顯不在依據本發明之一實施例之包覆膜丨丨〇薄化蝕 刻完成時圖2A至2C之銅低K值雙重鑲嵌結構1〇〇(來昭圖以 2义。所形成的銅低K值雙重鑲彼結構1〇〇包括其(中形 徵7L件104的有孔隙的低κ值電介質絕緣體1〇2。特徵元件1〇4 ^有阻障膜内襯108,與銅填充部1〇6,。薄化的包覆膜11〇,覆 蓋有孔隙的低K值電介質絕緣體1 〇2之表面。在一實施例中7 薄化的包覆膜110,係單一層包覆膜,已經由第二蝕刻操作加 以處理以降低最初形成的包覆膜11 0 (參照圖2 A至2 C )之厚 f,且在另一實施例中包覆膜係多層狀,具有至少兩連續但 定義分明之層形成於最初的包覆膜丨1〇(參照圖3A至⑽)中,
第19頁 1283043 五、發明說明(15) 其中最上層1 1 〇a(參照圖3B)已經由蝕刻移除,或者其中最上 層110a已經薄化 ’、取 藉由實行依據本發明實施例之包覆膜與方法,銅低κ值 雙重鑲肷結構可形成為保持最低可能的有效Κ值。如前參只召 圖2Α至2D及圖3Α至3Β所述,在本發明實施例中之銅低κ值雙 重鑲嵌結構之製造方法包括CMP與蝕刻製程兩者,以及額外 的蝕刻薄化。圖4A與4B係用以顯示依據本發明實施例、 方法之大綱。 』< 表w 圖4A顯示依據本發明之一實施例之銅低κ值雙重 構之製造方法之廣義整體流程圖20 0。此方法開始於操彳$ " 2〇2,—其中接收具有銅雙重鑲嵌結構製成於其中的絕緣體。 ^ 一實施例中,所接收的絕緣體係圖2A所示的結構。、° =例中,絕緣體係有孔隙的低£值電介質絕緣體,1 有以阻障膜作為内翻夕4主μ ; I , ^ + ^ τ ^ ^ 奈而ρ古特件。包覆膜已經形成於絕緣 表面上方,且阻障膜層位於絕緣體之表面上之包 = 銅填^ ^ ^沉積至特徵元件内且銅超載部覆蓋阻障膜。 體如%表 在一貫施例中,於操作204完成時,絕以 體如圖2B所示。銅超載部已經由c 、、、邑, 括阻障膜與填充特徵元件之銅。㈣且位化的表面迄 絕緣體之表:r二i覆膜2有高㈣選擇性,存留 ^ k如圖2C所不,沿者阻障膜之邊緣,存留# 斂70件之壁與填充特徵元件之銅上。 存召於
1283043 五、發明說明(16) 此方法包括操作2〇8,其中進行第二蝕刻以薄化電介質 包覆膜。在了實施例中,包覆膜係由一簡短並計時的蝕刻加 =處,,以薄化包覆膜且降低合成的有孔隙的電介質/包覆 二、堆疊之有效K值。|完成薄化之後,此方法即完畢。在一 貫施例中’所製成的結構如圖21)所示。 m β =顯不依據本發明之一實施例之銅低κ值雙重鑲嵌結 ^ k方法之流程圖2 5 〇。圖4 Β代表圖4 Α所提出的廣義整 歷 程之細節。 ,方法開始於操作252,其中接收適合於半導體製造之 有孔隙的低K值電介皙。太—與#办丨士 . , 質絕续雜你古夕 在一貝施例中,有孔隙的低K值電< 、邑緣體係有多孔隙的電介質絕緣體。 覆膜續於操作254 ’其中沉積或以其他方式形成包 包覆膜:ί f、?似值電介質絕緣體上方。在-實施例中, 用於步点/ f /、虱化钽的蝕刻具有選擇性,钽與氮化钽通臂 形成為厚度5Α㈣t— 覆膜沉積或以其他方j 大約5〇A ^ 0A,且在一貫施例中,包覆膜之厚度係 值電:操作256 ’其中具有包覆膜的有孔隙的低ί 術,特ί „案化以形成特徵元件。依據習知的光亥( 構的包覆膜内案破圖案化至覆蓋有孔隙的低K值電介質結 膜蝕刻L寺=:1法然後前進至操作258,其中經由包覆 徵元件【括ΐΐ:於有孔隙的低κ值電介質絕緣體中。此特 渠溝契通孔,特徵元件製程包括先渠溝
1283043 五、發明說明(17) (trench-first)製造以及先通孔(via_first)製造。 此方法接著完成操作26 0,其中阻障膜沉積或以i 式形成於特徵元件之表面上方且覆蓋位於 ^ 介質結構之表面上之包覆膜。特徵元件之==值\ f有孔T的低K值電介質絕緣體中之壁與底。二特施政:件
中,阻障膜係鈕阻障膜。在另一實 貝 < J 阻障膜。在額外的每丨击 、 ’阻障膜係氮化纽
I丨早膜在額外的貫施例中,阻障膜係可提供用於麵七贫A V電材料與有孔隙的低κ值電介質結 /、 ' ”二或/、他 以及足夠的拋光停止性質使銅超載 击的襯晨性質 料。 〜戰°丨之LMP結束之任何材 =,此方法進行操作262,其中銅 中,填充特徵元件且導致銅超载部 、至特:支:件 緣體之表面上方之包覆膜上方。在“二::上方與位於絕 低K值雙重鑲嵌結構中之導電材料。^ ’使用銅作為 完成時之結構如圖2A所示。 在只鈿例中,操作262 此方法進展至操作2 64,其中 除,且表面被平坦化使阻障膜露出\載/矣由超溫和CMP移 徵元件中之鋼填充部與阻障膜 j表面基本上包括特
J如前所述且包括一堅硬面之 =中,超溫和CMP 柔順性處理工具或核心上方,在—=理用表面設於可撓性與 聚劑而應用於欲製造的結構之表面:施:中’藉著無研磨性 使用受抗化劑協助的直接電荷轉移化^二:施例中’藉由 -實施例中,-旦在操作264中已移:移予二除平坦化銅。在 ‘移除銅超載部且平坦化
1283043 五、發明說明(18) ~ 一 表面’則結構如圖2 B所示。 此方,繼續於操作2 66,其中藉由蝕刻製程移除阻产 膜。在一實施例中,包覆膜具有高蝕刻選擇性,且阻早 厚度約為2 0 0 A至3 〇 〇A。因而蝕刻係一迅速的製程,且其 二::產品。在一實施例中,於操作266完成時,結構如圖 1方法結束於操作268,其中進行第二蝕刻製程以 在—實施例中,包覆膜係具有至少二層的多層膜。 人,最上層基本上係由㈣所移除,“下之 施例中,&覆膜传單ΓΪ有效Κ值降低。在另一實 ^ ^,、’、早 曰膜,且薄化蝕刻製程係一計時的蝕 包覆膜堆::j 部分且藉以降低合成的低K值電介質/ f本值…旦包/膜已經在操細中薄化, 雙重鑲嵌結構如施例中’所形成的銅似值 尸在ί:i工清楚認識之目的已經詳細說明本發明,但顯缺 侍在申凊專利範圍内實行特 上: 笳,而彳曰户山 卜限制’且本發明不限於本文所給之細 即,而仔在申請專利範圍與均等物内進行修改。之、
1283043 圖式簡單說明 五、【圖示之簡單說明】 圖1A顯示具有典型的銅雙重鑲嵌結構之半導體晶圓之一 部分。 圖1B顯示在已經進行第一αρ製程之後圖1A所示的具有 銅雙重鑲嵌結構之半導體晶圓之一部分。 圖1C顯示製造於半導體晶圓之一部分中之雙重鑲嵌結構 之理想完成。 圖1D顯示典型的銅雙重鑲嵌結構,反映出異質的CMP處 理之結構缺陷。 圖2A顯示依據本發明之一實施例之製成於有孔隙的低κ 值電介質絕緣體中之銅低K值雙重鑲嵌結構。 圖2B顯示在依據本發明之一實施例之超溫和CMP完成時 圖2A的銅低κ值雙重鑲散結構。 圖2C顯示在依據本發明之一實施例之阻障膜蝕刻完成時 圖2A與2B之銅低K值雙重鑲散結構。 圖2D顯示在依據本發明之一實施例之包覆膜之薄化蝕亥 完成時圖2A至2C之銅低κ值雙重鑲嵌結構。 圖3A顯示依據本發明之一實施例圖2A至2C所示的銅低K 值雙重鑲嵌結構之近觀剖面圖。 圖3B顯示依據本發明之一實施例之具有包覆膜合成堆疊 之結構之一部分。 圖4 A顯示依據本發明之一實施例之銅低κ值雙重鑲嵌結 構之製造方法之廣總體流程圖。 圖4B顯不依據本發明之一實施例之銅低κ值雙重鑲嵌結
第24頁 1283043 圖式簡單說明 構之製造方法之流程圖。 元件符號說明: 10 半導體晶圓 12 絕緣體 14 特徵元件 16 銅超載部 16’ 銅填充部 18 阻障膜 18’ 阻障膜内襯 20 凹陷 22 較不平坦的表面 2 4 電介質侵名虫 100 銅低K值雙重鑲嵌結構 102 電介質絕緣體 104 特徵元件 10 6 銅超載部 10 6’ 銅填充部 108 阻障膜 10 8’ 阻障膜内襯 110 包覆膜 110a 上層 110b 下層

Claims (1)

  1. _3〇43 :修iE g i —塞^號 911367M % 车 、、申請專# #種、在_絕緣體上製造半導體結構w ^ 形^二低κ值電介質材料於—絕緣體上方,· 3 . 包覆膜於該低][值電介質材料上方. 數個特徵ίϊϊΓΓ元件於該低κ值電介質材料中,r 内声而广件具有由該低1^值電介質材料所界~ 、/亥稷 ^ ,該複數個特徵元#只$ % 1疋的複數個 料的區域; “兀件界W數個用以接收_導電材 上,成一阻障膜層於該包覆膜上方盥嗲 之该複數個内表面上方; /、/旻數個特徵元件 一藉著該導電材料填滿該複數個特徵元 導電材料之一超載量; 且存留下該 >^于一化學機械平坦化(CMP)操作,以移除兮 料之f超載量’該CMP操作係於達声材 部分時停止;以及 I早膝層之至少一 進行一乾蝕刻,以移除該阻障 至少一部分露出, 便侍该包覆膜之 其中該CMP操作係—超溫和⑽操作,其使用— 性漿劑以及一受抗化劑協助的直接電荷轉移化學。…、 2 ·广申/中專圍第1項之在絕緣體上製造半導體結構之 方法,其中該導電材料係銅。 w < 3·如申^明專利乾圍第1項之在絕緣體上製造半導體結構之 方法,,、中该阻障膜層係鈕與氮化鈕中之一種。
    1283043 ---1號 91136753 年月日 倏正 六、申請專利範圍 / 4 ·如申請專利範圍第1項之在絕緣體上製造半導體結構之 方法,其中該低K值電介質材料係一有孔隙的低£值電介質 材料與一有多孔隙的低K值電介質材料中之一種。 ' 5 ·如申請專利範圍第1項之在絕緣體上製造半導體結構之 方法’其中該複數個特徵元件包括複數個渠溝特徵元件鱼 複數個通孔特徵元件。 〃 6 ·、如申請專利範圍第丨項之在絕緣體上製造半導體結構之 方法,更包含進行一第二乾蝕刻以薄化該包覆膜, 不移除該包覆膜之情況下減少該包覆膜之該厚度。、在 7 ·如申請專利範圍第6項之在絕緣體上 方法,其中該包覆膜之厚度位於大約…二:ί構之 δ· 一種在絕緣體上製造半導體結構之方法,包人. 形成一低Κ值電介質材料於一絕緣體上方;3 形成一包覆膜於該低κ值電介質材料上 係由至少兩連續形成的材料層所定義; 忒包覆膜 形成複數個特徵元件於該低κ值電介 數個特徵元件具有由該低^電介質材料所=中’該複 2表面,該複數個特徵元件以接疋的硬數個 料的區域; 饭双似用以接收一導電材 1283043
    ----- 案號 91136753 /、、申凊專利範圍 形成一阻障膜層於該包覆膜上方與該複數 之該複數個内表面上; 符被凡件 藉著該導電材料填滿該複數個特徵元件,且 導電材料之一超載量; 仔遠下該 進行一化學機械平坦化(CMP)操作,以移除該 枓之該超載量,該CMP操作係於達到材 部分時停止; I早朕厲之至少一 進行一第一乾蝕刻 膜之至少一部分露出; 進行一第二乾餘刻 形成的材料層中之至少 ,以移除該阻障膜層,使得該 以及 復 丄=移除該包覆膜之該至少兩連續 9.如申請專利 方法,其中該 材料與一有多 範圍第8項之在 低K值電介質材 孔隙的低K值電 絕緣體上製造 料係一有孔隙 ^質材料中之 半導體結構之 的低Κ值電介質 —坪重° 10·如申請專利範圍第8頊 之方法,其中由該至少、在絕緣體上製造半導體結構 覆膜之厚度位於大約广2連續形成的材料層所定義的該包 Λ大約5〇〇間。 11·如申請專利範圍第8 之方法,其中由該至少“在絕緣體上製造半導體結構 覆膜之厚度係大約5 〇 V。兩連績形成的材料層所定義的該包 1283043 整正
    ‘案號 91136753 六、申請專利範圍 利範圍第8項之在絕緣體 之方法,其中該導電材料係銅。 +蜍體…構 2方t申ί ί利範圍第8項之在絕緣體上製造半導體"冓 之方法,其中該CMP操作係一超、、w +冷體…構 研磨性漿劑以及一受浐彳h 酿矛CMP刼作,其使用一無 又抗化劑協助的直接電荷轉移化學。 14· 一種超載導電材料部、阻障膜、|勺 法,在具有一低κ值 所P ^膜與包覆膜之移除方 值電介質材料中界定有丨、/ λ'之—半導體結構中,該低K 導電通孔與』:::ί硬;個特徵元件1以形成複數個 具有-包覆;:1:值電介質材料之-頂表面 並形成於該包覆膜上膜作為該複數個特徵元件之内襯 元件並存留下一赶#道且導電材料填滿該複數個特徵 包含: 起载導電材料部於該阻障膜上方,該方法 載導(電a)材了化學機械平坦化⑽)操作,以移除該超 (^)) 备 斤斥. CMP操作; 只貝上移除該超載導電材料部時,停止該 _ 二;:動:半導體結構至一電漿蝕刻機台; (e)進=:仞始電漿蝕刻,以移除該阻障膜; 部分;以及仃一後續電漿蝕刻,卩移除該包覆膜之至少— ()確疋疋否要求下一個低κ值電介質層;並且
    第29頁 1283043
    案號 91136753_L H 六、申請專利範ί-----^ 倘若要求該下一個低κ值電介質層, ⑴。則形成該下—個低κ值電介質層且重複⑷至 公包ίΓϊίί範圍第14項,超载導電材料部、阻障膜、 作,复使用Γ方法’其中机肝操作係—超溫和cmp操 荷轉移化學。 又抗化劑協助的直接電 二包ΐ:請專利範圍第14項之超載導電材料部、阻障膜、 所定義的心费』 亥至)兩連續形成的材料層 -義的遠包覆膜之厚度位於大㈣與大約5〇〇a間。 :·包ί:請專利範圍第14項之超载導電材料部、阻障膜' 匕讀之移除方法,其中該包覆膜之厚^大約5〇α。 2包:Γϋ:;圍第17項之超载導電材料部、阻障膜、 義。讀之私除方法中該包覆膜係由單一材料層所定 _ 1 9 與包t申請專利範圍第17項之超载導電材料部、阻障膜、 形成=朕之移除方法,其中該包覆膜係由具有至少兩連續 成的材料層之複數層所界定。
    第30頁
TW091136753A 2001-12-27 2002-12-19 Methods for fabricating interconnect structures having low k dielectric properties TWI283043B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/032,480 US6653224B1 (en) 2001-12-27 2001-12-27 Methods for fabricating interconnect structures having Low K dielectric properties

Publications (2)

Publication Number Publication Date
TW200301544A TW200301544A (en) 2003-07-01
TWI283043B true TWI283043B (en) 2007-06-21

Family

ID=21865150

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091136753A TWI283043B (en) 2001-12-27 2002-12-19 Methods for fabricating interconnect structures having low k dielectric properties

Country Status (8)

Country Link
US (1) US6653224B1 (zh)
EP (1) EP1459373A4 (zh)
JP (1) JP2005525692A (zh)
KR (1) KR100974839B1 (zh)
CN (1) CN100530571C (zh)
AU (1) AU2002360756A1 (zh)
TW (1) TWI283043B (zh)
WO (1) WO2003058703A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US20040256671A1 (en) * 2003-06-17 2004-12-23 Kuo-Tai Huang Metal-oxide-semiconductor transistor with selective epitaxial growth film
KR100960687B1 (ko) * 2003-06-24 2010-06-01 엘지디스플레이 주식회사 구리(또는 구리합금층)를 포함하는 이중금속층을 일괄식각하기위한 식각액
US7737556B2 (en) * 2005-09-30 2010-06-15 Taiwan Semiconductor Manufacturing Co., Ltd Encapsulated damascene with improved overlayer adhesion
US7727894B2 (en) * 2006-01-04 2010-06-01 Agere Systems Inc. Formation of an integrated circuit structure with reduced dishing in metallization levels
US20080303098A1 (en) * 2007-06-07 2008-12-11 Texas Instruments, Incorporated Semiconductor Device Manufactured Using a Method to Reduce CMP Damage to Low-K Dielectric Material
DE102008045035B4 (de) * 2008-08-29 2017-11-16 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verbessern der Strukturintegrität von Dielektrika mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen unter Anwendung einer Risse verhindernden Materialschicht
CN102543845B (zh) * 2010-12-29 2014-10-22 中芯国际集成电路制造(北京)有限公司 半导体器件及其制作方法
KR102274775B1 (ko) 2014-11-13 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100381537C (zh) * 1998-08-31 2008-04-16 日立化成工业株式会社 金属用研磨液及研磨方法
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
JP3279276B2 (ja) * 1999-01-27 2002-04-30 日本電気株式会社 半導体装置の製造方法
JP2000252286A (ja) * 1999-02-25 2000-09-14 Nec Corp 半導体装置の製造方法
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
JP3805588B2 (ja) * 1999-12-27 2006-08-02 株式会社日立製作所 半導体装置の製造方法
TW451405B (en) * 2000-01-12 2001-08-21 Taiwan Semiconductor Mfg Manufacturing method of dual damascene structure
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch

Also Published As

Publication number Publication date
JP2005525692A (ja) 2005-08-25
US6653224B1 (en) 2003-11-25
EP1459373A1 (en) 2004-09-22
EP1459373A4 (en) 2005-05-18
CN100530571C (zh) 2009-08-19
AU2002360756A1 (en) 2003-07-24
KR20040068351A (ko) 2004-07-30
WO2003058703A1 (en) 2003-07-17
TW200301544A (en) 2003-07-01
CN1610965A (zh) 2005-04-27
KR100974839B1 (ko) 2010-08-11

Similar Documents

Publication Publication Date Title
TWI283043B (en) Methods for fabricating interconnect structures having low k dielectric properties
TW466737B (en) Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
TWI284944B (en) Method for manufacturing a multi-level interconnect structure
TWI296434B (en) Semiconductor device and method for manufacturing the same
Price et al. Damascene copper interconnects with polymer ILDs
EP0848419A1 (en) Method of making an aluminum contact
TWI254379B (en) Method for manufacturing a semiconductor device
TWI376015B (en) Semiconductor device and semiconductor device production method
TW200913015A (en) Methods for device fabrication using pitch reduction and associated structures
JP2003218109A (ja) 犠牲ハードマスクを用いて金属パターンを形成する方法
TW559870B (en) Method of forming metal wiring line
TWI310587B (en) Plasma oxidation and removal of oxidized material
TWI299883B (en) Wire structure and forming method of the same
JP3459372B2 (ja) 配線膜の形成方法
TWI270988B (en) Ferroelectric polymer memory device including polymer electrodes and method of fabricating same
TW578199B (en) Method of manufacturing a semiconductor apparatus using chemical mechanical polishing
TW200400240A (en) CMP slurry for metal and method for manufacturing metal line contact plug of semiconductor device using the same
JP2008520106A (ja) 半導体ウェーハに一つまたはそれ以上の金属ダマシン構造を形成するための方法
TW200945491A (en) Method for fabricating a semiconductor device
CN1952782A (zh) 用于形成特征定义的方法
TW200415797A (en) Capacitor in an interconnect system and method of manufacturing thereof
TW567546B (en) Etch-back method for dielectric layer
US6649513B1 (en) Copper back-end-of-line by electropolish
TW457571B (en) Fabrication process for dishing-free CU damascene structures
US20020058462A1 (en) Chemical mechanical polishing of dielectric materials

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees