TW567394B - Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate - Google Patents

Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate Download PDF

Info

Publication number
TW567394B
TW567394B TW091123170A TW91123170A TW567394B TW 567394 B TW567394 B TW 567394B TW 091123170 A TW091123170 A TW 091123170A TW 91123170 A TW91123170 A TW 91123170A TW 567394 B TW567394 B TW 567394B
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
patent application
photomask
etching
Prior art date
Application number
TW091123170A
Other languages
English (en)
Inventor
David J Johnson
Shinzo Onishi
Christopher Constantine
Original Assignee
Unaxis Usa Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis Usa Inc filed Critical Unaxis Usa Inc
Priority to PCT/US2002/033721 priority Critical patent/WO2003036704A1/en
Priority to EP02782196A priority patent/EP1444726A4/en
Priority to JP2003539093A priority patent/JP2005531125A/ja
Application granted granted Critical
Publication of TW567394B publication Critical patent/TW567394B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

567394
玖、發明說明 【發明所屬之技術領域】 本發明係關於半導體加工。更特別地是,本發明係關 於使用脈衝電漿蝕刻光罩的裝置與方法。 【先前技術】 光罩的乾式蝕刻逐漸成爲現今世代半導體元件的標準 。此乃因在該現今世代中,元件的幾何圖形已進入0.12//m 的水平,而濕式蝕刻無法獲得希冀的精確度。乾式蝕刻亦 爲二元光罩與相位移光罩的蝕刻標準,其中二元光罩的圖 案界定於諸如鉻(Cr)或氧化鉻(CrOx)之材料中,而相 位移光罩的圖案則界定於諸如砂化鉬(MoSi)之部分吸收 相位移層中。 乾式蝕刻對於基板的非等向性蝕刻特別有用。非等向 性蝕刻爲主要發生於單一方向上的蝕刻,而等向性蝕刻爲 發生於所有方向上的蝕刻。非等向性蝕刻爲所希冀,因爲 其可用以形成具有由遮罩層邊緣實質垂直延伸之精確定位 側壁的特徵。該精確度對於特徵尺寸與間距相當於蝕刻深 度的元件而言爲重要的。 爲完成非等向性電漿蝕刻,可將諸如光罩之基板安置 於電漿反應器中,以使得所產生之電漿的電漿鞘會形成垂 直於基板表面的電場。該電場會加速離子垂直衝向基板表 面,以進行蝕刻。 乾式蝕刻製程爲有利的,因爲其使寫入光阻遮罩層的 567394 尺寸得以再現。鈾刻品質通常藉由比對蝕刻後之光阻遮罩 層與鉻或矽化鉬層(鈾刻層)中之臨界尺寸(Critical Dimension,CD )而決定。理想而言,CD偏差値(光阻遮 罩層中之CD與蝕刻層中之CD的差)應接近零,並諸如小 於20 nm。CD偏差値的均勻度亦應微小,諸如3 σ的變化 小於10 nm。 乾式蝕刻的方式之一爲感應耦合電漿蝕刻。感應耦合 電隳(Inductively Coupled Plasma,ICP)鈾刻通常用於融刻 光罩用的鉻或矽化鉬,並可應用於二元或相位移光罩製造 用的其他材料。不像低壓下的反應離子鈾刻(Reactive Ion Etching,RIE),用於感應耦合電漿蝕刻的系統係於低壓下 提供具有合理蝕刻速率與低的固有離子轟擊(ion bombardment)的穩定作業。 這些系統包含有圍繞或鄰近於反應腔的感應線圈,以 便以感應方式將電源耦合於反應腔中的氣體,而形成電漿 。電源係由射頻產生器供應,以及使用匹配網路將電源供 應的阻抗與電漿的阻抗進行匹配。以感應方式進行耦合的 射頻能量將主要決定電漿的離子密度。一個別的射頻電源 供應用於對基板施加偏壓,以獨立控制轟擊基板的離子能 量。腔內的低作業壓力(通常小於10 mTorr)可確保蝕刻 速率的均勻度,而射頻偏壓可確保諸如鉻與矽化鉬之材料 的非等向性蝕刻。 然而,當今的蝕刻系統爲受限制的,因爲其僅提供60-70 nm的CD偏差値及約12 nm的3 σ變化。CD偏差値大的 原因之一係由於蝕刻期間的光阻損耗量。倘若光阻的移除 爲非等向性(蝕刻主要發生在單一方向上),且倘若光阻 邊緣輪廓爲傾斜,則光阻厚度的損耗將造成特徵尺寸的縮 小。倘若光阻損耗爲等向性(在所有方向上),則縱使光 阻輪廓非傾斜,其仍將造成特徵尺寸的縮小。在任一狀況 中,特徵尺寸的改變係由於光阻尺寸的縮小,該改變隨著 光阻損耗量而增加。就目前的蝕刻製程而言,對於光阻的 蝕刻選擇性並不佳,且通常爲約1 : 1。因此,當蝕刻1000 埃厚的鉻膜並包含50%的過度蝕刻時,多達1500埃的光阻 層可在蝕刻製程期間損耗。在光阻傾斜75°時(亦即由垂直 算起爲15°),此可轉化爲多達80 nm的CD損耗。 【發明內容】 因此,本發明的目的之一在於藉由提供使二元或相位 移材料(諸如鉻或矽化鉬)得以對光阻層以高選擇性進行 蝕刻的方法與裝置,而對當今技藝進行改良。所揭示之方 法提供鉻與矽化鉬層在感應耦合電漿反應器系統中的蝕刻 ,其中該蝕刻較光阻層的蝕刻快約二十倍(蝕刻選擇性20 :1)。相對於當今技藝,由於使用本方法及使用執行這些 方法之裝置的結果,可在最小的光阻層損耗下進行特徵的 蝕刻,藉此可明顯改善CD偏差値與CD均勻度値。 本發明的另一個目的在於以週期性的方式關閉與開啓 感應耦合電漿脈衝,藉此增加蝕刻選擇性,同時維持非等 向性蝕刻。 567394 本發明的另一個目的在於使用脈衝電漿,以利用形成 於電漿中之物質的壽命差異,而主要藉由中性自由基促使 化學蝕刻。 本發明進一步的目的在於使用脈衝電漿,以調節中性 自由基與離子的密度。 本發明又一個目的在於藉由施加偏壓至要蝕刻的基板 而促使非等向性蝕刻。 前揭說明已相當槪略地簡述本發明較突出且重要的特 徵,以使下列本發明之詳細說明可更易瞭解,而對於本技 藝之貢獻可更易明瞭。本發明之其他特徵將說明如下,該 特徵形成本發明之申請專利範圍的標的。應爲熟諳此技藝 者所明瞭的是,所掲示的觀念與特定具體實例可輕易地做 爲修改或設計用於執行本發明相同目的之其他結構的基礎 。亦應爲熟諳此技藝者所明瞭的是,該相當的結構並不偏 離隨附申請專利範圍所示之本發明的精神與範疇。 【實施方式】 本發明係關於蝕刻光罩上之薄膜。蝕刻係藉由感應耦 合脈衝電漿,而於反應器中進行。電漿脈衝係藉由控制電 漿產生的期間(或負載循環)而獲得。其已發現降低負載 循環,便可獲得高選擇性的蝕刻,並可如實地維持特徵尺 寸。用於執行本發明的裝置與方法係更詳細說明如下。 反應器結薇 第1圖示範本發明所使用之感應耦合電漿(ICP)反應 567394 器系統20的剖面圖。該系統包含有電漿產生腔22 ’半導體 基板24或諸如光罩之工件係於其中進行蝕刻。氣體係穿經 連接至習知氣體源(未表币於圖式中)的供應管線%a、 26b而供應至電漿產生腔22 ° 所組成的系統20係使得轟擊基板24的離子能量可實 質地獨立於離子密度而進行控制。感應線圏28連接至第一 射頻電源30,並圍繞(及鄰近於)電漿產生腔22 ° 一個別 的電極32係連接至第二射頻電源34,並作用爲基板24的 支座。施加於電極32的電源係藉由提供偏壓而用於控制離 子轟擊能量;而施加於感應線圏28的電源則用於控制電漿 離子密度。二個電源供應皆以本技藝所熟知的方式設置自 動匹配網路(Automatic Matching Network,AMN) 30a、34a 。第1圖的ICP反應器僅作爲代表,而使用其他反應器結 T係落於本發明之範疇中。例如,本發明可在平面式反應 器幾何形狀中進行。其他的感應線圏幾何形狀亦落於本發 明的範疇中,諸如使___置。 =極32係由導電材_做。其通常龍纖瓷之絕緣 耳而牙電材料的支座36所支撐。該電極置於加工腔39中 ’而_X腔39係__漿產生腔22。 田的腔%的壁® 4Q接地。該壁面4Q提供系統20 牛=地線42 ’並包含有導電材料。壁面40裝附於電漿 ;導=2,的壁面44。_面44係由諸如石英或氧化銘之 料所製做。蓋板46連接至壁面44,並覆蓋於電漿 22 °在一朝例性的具體實例中,分裂的法拉第屏蔽 567394 48 ( Faraday shield)係延伸於壁面44周圍。屏蔽48會降低 線圏與電漿間的電容性耦合。然而,使用無法拉第屏蔽之 反應器係落於本發明的範疇中。整個系統可爲諸如鋁或類 似物之輻射屏蔽材料的屏蔽(未表示於圖式中)所包圍。 排氣系統50位於支座32下方。該排氣系統50通常包 含有排氣管52、關閉閥54及用於進行壓力控制的控制閥 56 0 形成電漿的氣體混合物係由含氯氣體(諸如氯化氫、 氯氣或類似物)與含氧氣體(諸如氧氣、二氧化碳或類似 _ 物)所組成,並可額外包含鈍氣(諸如氦氣、氮氣或類似 物)。在具有鉻層的光罩之情況中,最好使用氧氣與氯氣 的混合物進行電漿蝕刻。較佳的氣體混合物爲約90%的氯 -氣加上10%的氧氣。該氣體混合物係以約10- 20 mTorr加壓 _ ,並以每分鐘約100-200標準立方公分的流速進入電漿產生 腔22。 感應線圏28在高功率循環期間將能量耦合於電漿產生 腔22中的氣體,而產生電漿。在高功率循環期間,感應線 ® 圏28在電漿產生腔22中產生實質上平行於基板(工件) 24表面的環形電場。通常,在高功率循環期間所供應的電 源功率小於約5千瓦。電場將氣體中的電子加速,而產生 電漿。電漿中形成諸多不同的反應性物質,包含電子、中 性自由基、正離子及負離子。這些反應性物質一旦形成後 ,便以更詳細說明如下的方式自由對光罩進行蝕刻(以化 學方式及離子轟擊)。 11 567394 光罩結構 在第一個具體實例中,要在反應器中進行蝕刻的工件 係光罩或光柵58的形式。第2圖示範一典型的光罩結構。 光罩58包含有第一基板60,其係由可使半導體光蝕印作業 中通常使用的電磁輻射穿透的適當材料所形成。適當的材 料包含有氧化矽玻璃、熔融石英及硼矽酸鹽玻璃。在較佳 具體實例中,基板60係由石英所形成。 接著將薄層62沉積於基板60上。在二元光罩的狀況 中,薄層62係由遮光材料所形成。例如,薄層62可由諸 _ 如鉻〈Cr〉之金屬所形成。然而,倘若該光罩爲相位移光 罩,則薄層62將爲部分透光,並由諸如矽化鉬〈M〇Si〉之 光衰減材料所形成。使用其他材料於薄層62亦落於本發明 - 之範疇中。 ^ 最後,將光阻層64置於薄層62上。在本技藝所熟知 的方法中,接著將光阻層64曝光於寫入設備,而將電路設 計寫於光罩上。該寫入設備可爲電子束或其他高精度光蝕 印的方式。其次,使用顯影製程移除經曝光的光阻。所形 * 成的製品圖示於第2a圖中。如所示範的,所形成之光罩的 上表面包含有未曝光的光阻64及底層62a’二者皆於後續 以電漿進行蝕刻。 電漿脈衝 如所說明,當電源供應至感應線圏28時,供應至電漿 產生腔22的氣體會點火成爲電漿。在本發明的一重要觀點 中,感應線圈係於不同的期間「開啓」及「關閉」脈衝。 12 567394 所形成的電漿脈衝會大幅增加蝕刻選擇性,並提高最終蝕 刻品質。 蝕刻選擇性的增加係鉻蝕刻速率的函數,而與電極32 上的偏壓無關。這點顯示鉻的蝕刻速率並非依靠離子轟擊 。相反地,鉻的蝕刻速率係以化學方式驅動,具體地說, 係藉由鉻與由電漿中之氯氣及氧氣分解所產生的氯及氧自 由基反應。該化學反應在鉻蝕刻時會形成揮發性的蝕刻生 成物Cr02Cl2。使用其他含氯前驅體〈諸如氯化氫、四氯化 碳…等〉及含氧前驅體〈諸如一氧化碳、二氧化碳…等〉 · 預期會有類似的蝕刻性質。由於氣體混合物中的未帶電自 由基〈諸如C1與0〉會緩慢衰減,所以縱使在感應線圏28 的電源「關閉」〈至零〉後,該化學蝕刻仍繼續進行。這 些未帶電自由基的衰減通常爲毫秒至秒的等級,依據腔室 的幾何形狀而定。 鉻的化學蝕刻係與光阻層的蝕刻相反。在此,蝕刻速 率高度取決於偏壓,這點顯示光阻主要以離子轟擊進行蝕 刻。關於這一點,光阻的蝕刻係取決於電漿中所產生的離 ® 子存在。因此,已發現當偏壓相當低或甚至爲零時(亦即 缺乏離子轟擊),便產生最高的蝕刻選擇性。然而,縱使 當偏壓爲零時,由於電漿所產生的電位( 20-30伏特),仍 有有限數量的離子轟擊進行著。 前揭脈衝製程亦可於由矽化鉬形成的工件24上進行, 該工件24具有光阻層於其上。當矽化鉬工件進行加工時, 係使用氟(F)於電漿用的氣體混合物中,諸如四氟化碳或 13 567394 六氟化硫或類似物。在此,中性氟自由基係與矽化鉬層產 生化學交互作用,而形成揮發性蝕刻生成物。 此外,使用本發明可使整倂在光罩上的任何可蝕刻層 可以較過去爲佳的選擇性進行蝕刻,其中該可蝕刻層爲諸 如含鈮、鈦、鉬及矽的材料,但非僅限於此。在該狀況中 ,係藉由與自由基反應而進行蝕刻,且可蝕刻層的蝕刻速 率主要係以化學方式驅動。藉由調節電漿脈衝開啓與關閉 的期間(亦即負載循環),便可利用形成於電漿中之自由 基物質的主要壽命差異。具體地說,在射頻電源由感應線 圈28移除後,電漿產生便停止,且帶電粒子的密度急遽下 降至接近零(數十微秒)。然而,未帶電自由基(諸如C1 、〇、F)密度的衰減極爲緩慢,並可爲毫秒至秒的等級, 依據反應器的幾何形狀而定。因爲這些中性物質主要負責 以化學方式蝕刻鉻、矽化鉬或可蝕刻層,所以縱使在電漿 終止後,蝕刻仍持續進行。在此期間(在電漿脈衝關閉後 ,但在未帶電自由基衰減前的期間),缺乏帶電粒子意指 無離子轟擊,所以光阻蝕刻速率極爲緩慢。因此,在此期 間,鉻對光阻、矽化鉬對光阻或可蝕刻層對光阻的蝕刻選 擇性皆急遽增加。 在電漿脈衝關閉後,未帶電自由基的濃度最終將衰減 至零,且鉻、矽化鉬或可蝕刻層的蝕刻速率會降至零。因 此,需再次開啓電漿脈衝,以形成額外的自由基。在射頻 電源施加於感應線圏28後,在一百至數百微秒等級的期間 內便快速形成穩態電漿。第3圖顯示在此狀態期間的電漿 567394 發光,並顯示穩態電漿在約500微秒內形成。縱使在loo 微秒後,電漿發射已達大於75%的穩態値。在此期間,自 由基(C1、〇、F)濃度亦達到穩態。關閉循環的時間主要 爲非帶電自由基衰減速率的函數,且理想上爲長時間。然 而,已發現當關閉循環期增加時,電漿的再點火變得更困 難。因此,關閉循環的時間亦爲感應線圈將電漿再點火之 能力的函數。 以100微秒等級的「開啓」時間(主要由穩態狀態的 形成所決定)及數毫秒等級的「關閉」時間(由自由基的 _ 衰減時間所決定)所進行的電漿脈衝開啓與關閉,得以大 幅提高鉻對光阻的蝕刻選擇性。鉻係於整個循環期間(亦 即電漿「開啓」與「關閉」的期間)進行蝕刻,而光阻僅 -於「開啓」期間進行餓刻。當使用所述的脈衝方法時,已 _ 發現以感應耦合電漿進行蝕刻將使鉻(或矽化鉬)的蝕刻 較光阻要快高達20倍,或者蝕刻選擇性爲20 : 1。這一點 使得要蝕刻的工件具有最小的光阻損耗。所以,相較於習 知的蝕刻技術,CD偏差値與CD均勻度皆明顯改善。 籲 基板偏懕 施加於電極32的偏壓通常相當低或爲零。偏壓可以連 續偏壓或脈衝偏壓的方式施加。倘若爲脈衝偏壓,則脈衝 可爲同相(當感應線圈「開啓」時)或異相(當感應線圈 「關閉」時)。脈衝偏壓亦可獨立於施加在感應線圏的脈 衝或電源而進行調整。例如,所施加的偏壓可爲約50 kHz 至約1 MHz的頻率,或者諸如13.56 MHz的更高頻率。在 15 567394 不同的具體實例中,基板偏壓可在高、低循環(「開啓」 與「關閉」的循環)間切換,或可在預定電壓下完全「開 啓」或完全「關閉」。 已發現施加偏壓會增加離子轟擊並降低選擇性;而在 未施加偏壓時會有最高的選擇性。然而,偏壓會促進非等 向性蝕刻。因此,些微的偏壓爲所希冀,以獲得適當的蝕 刻輪廓。 本發明亦以下列實施例進行說明。 實施例1 在本實施例中,以100微秒的「開啓」時間及由0至2 毫秒變化的「關閉」時間,在鉻工件(諸如具有光阻層於 其上的二元遮罩(光罩))上施加電漿脈衝,以便形成由 大於0至小於100%的負載循環。未施加偏壓。製程條件如 下: 氯氣 48 seem 氧氣 14 seem 氦氣 22 seem 壓力 3.7 mTorr ICP功率 1800瓦 結果示於第4圖中。在此,當電漿脈衝的「開啓」時 間爲100微秒,且「關閉」時間爲2毫秒,以使得負載循 環約爲5%時,便產生最大選擇性。發現鉻係於整個循環期 間皆被蝕刻,而光阻層僅於循環的「開啓」或脈衝部位期 間被蝕刻。 567394 實施例2 重複實施例1的製程,除了電漿在最高達20 mTorr的 較高壓力下作業以外。蝕刻速率及鉻:光阻之選擇性對壓 力的結果示於第5圖中。具體地說,壓力增加造成光阻蝕 刻速率降低(更甚於實施例1),且鉻:光阻之選擇性大於 20 : 1。當以氟自由基蝕刻矽化鉬時,會有類似的反應。相 似地,當蝕刻其他材料(可蝕刻層)時,其一材料(可蝕 刻層)的蝕刻主要以化學方式驅動,而另一材料(光阻) 主要以離子轟擊進行蝕刻,則會有類似的反應。 _ 實施例3 根據前揭實施例2的製程,鉻光罩係蝕刻至蝕刻終點 並接著進行100%的過度蝕刻。將光阻層中的臨界尺寸(CD) -(蝕刻前)與蝕刻後鉻中的臨界尺寸(CD)進行比對。結果 _ 示於第6圖的方塊圖(box plot)中。在第6圖的方塊圖中 ,平均CD偏差値約爲32 nm,而CD的變化約爲9 nm ( 3 σ ) 〇 在實施例1- 3中,發現當射頻偏壓未施加於基板(工 ® 件)時,可獲得最高的選擇性。然而,可施加些微的射頻 偏壓,以改良蝕刻壁面的輪廓。在施加此偏壓當中,可獲 得壁面改良與選擇性降低之間的平衡。此偏壓可連續施加 ,或者可以與ICP脈衝同相或異相的脈衝方式施加。 雖然前揭實施例已於二元遮罩(光罩)的鉻工件上進 行,但是這些實施例亦可以蝕刻電漿中的氟自由基在相位 移光罩的矽化鉬工件進行。 17 567394 雖然製程、方法、系統、裝置及元件的較佳具體實例 已說明如前,但前揭說明係僅作爲舉例。熟諳此技藝者便 可體會,或僅使用常規的實驗即可確認諸多相當於在此所 述之本發明具體實例者。該相當者希冀爲下列申請專利範 圍所涵蓋。 【圖式簡單說明】 (一) 圖式部分 本發明藉由附圖的舉例說明,而由上列說明變得更易 φ 於瞭解,其中對應或相似的數字與文字表示對應或相似白勺 元件。在圖式中: 第1圖爲本發明之具體實例所使用之範例性加工腔的 圖示; 第2及2a圖爲光罩的圖示; 第3圖爲當感應線圈施加800微秒的脈衝時,電漿發 光的圖解; 第4圖爲蝕刻速率對負載循環的圖解; _ 第5圖爲蝕刻速率對壓力的圖解;以及 第6圖爲根據本發明具體實例之實際臨界尺寸(cd) 及其平均CD之偏差値的方塊圖。 (二) 元件代表符號 反應器系統20 電漿產生腔22 半導體基板24 18 567394 供應管線26a,26b 感應線圈28 第一射·頻電源30 電極32 第二射頻電源34 自動匹配網路30a,34a 支座36 加工腔39 壁面40 公用地線42 壁面44 蓋板46 法拉第屏蔽48 排氣系統50 排氣管52 關閉閥54 控制閥5 6 光罩58 第一基板60 薄層62 底層62a 光阻層64

Claims (1)

  1. 567394 拾、申請專利範圍 ^ 1. 一種用於加工光罩之裝置,包含有: 加工腔; 蝕刻氣體; 鄰近於至少部分該加工腔的感應線圏,所構成的該感 應線圏用於接受施加於其的電源,而以感應的方式將電源 耦合於加工腔中,並形成至少一種電漿; 第一脈衝電源,其耦合於該感應線圈,使得該感應線 圏的電源以交替循環的方式開啓與關閉; 鲁 基板支座,其定位於該至少一種電漿附近,用於支撐 基板;以及 第二電源,其耦合至該基板支座,用於施加偏壓至該 - 基板。 _ 2. 如申請專利範圍第1項之裝置,其中該第二電源爲 脈衝電源。 3. 如申請專利範圍第1項之裝置,其中該感應線圈之 脈衝電源的關閉時間小於5毫秒。 β 4. 如申請專利範圍第1項之裝置,其中該感應線圈之 開啓時間對該感應線圈之關閉時間的比例小於25% L 5. 如申請專利範圍第1項之裝置,其中該感應線圈之 開啓時間對該感應線圈之關閉時間的比例在5-10%之間。 6. 如申請專利範圍第1項之裝置,其中該光罩爲相位 移光罩。 7. 如申請專利範圍第1項之裝置,其中該光罩爲二元 20 567394
    8.如申請專利範圍第1項之裝置,其中該光罩包含有 由鉻〈Cr〉或矽化鉬〈MoSi〉所形成的薄層。 9·如申請專利範圍第1項之裝置,其中該光罩包含有 可蝕刻層,該可蝕刻層係藉由與自由基反應而進行蝕刻, 且該可蝕刻層的蝕刻速率主要係以化學方式驅動。 10·如申請專利範圍第1項之裝置,其中該蝕刻氣體 係以10至20 mTorr的壓力供應。 11. 如申請專利範圍第1項之裝置,其中該蝕刻氣體 鲁 蝕刻基板而形成Cr02Cl2。 12. 如申請專利範圍第1項之裝置,其中該感應線圈 更包含有法拉第屏蔽〈Faraday shield〉。 _ 13 · —種用於加工基板的方法,包含有: 提供用於產生電漿的反應器腔室; 將蝕刻氣體供應至該反應器腔室中; 以開啓與關閉的方式,由第一脈衝電源施加脈衝,而 以感應的方式將電源耦合至至少部分的該反應器腔室,因 鲁 而形成具有自由基、電子與離子的電漿,其中該基板的蝕 刻主要藉由該自由基與該基板間的化學交互作用而發生; 將該基板定位在鄰近於該電漿的基板支座上。 14·如申請專利範圍第13項之方法,包含有以下附加 步驟:在加工期間,藉由耦合至該基板支座的第二電源, 而施加偏壓於該基板。 15·如申請專利範圍第14項之方法,其中該第二電源 21 爲脈衝電源。 16·如申請專利範圍第13項之方法,其中該第一脈衝 電源的關閉時間小於5毫秒。 17 _如申請專利範圍第13項之方法,其中該第一脈衝 電源之開啓時間對該第一脈衝電源之關閉時間的比例小於 25% 〇 18. 如申請專利範圍第13項之方法,其中該第一脈衝 電源之開啓時間對該第一脈衝電源之關閉時間的比例在5_ 10%之間。 19. 如申請專利範圍第13項之方法,其中該基板爲光 罩。 20·如申請專利範圍第19項之方法,其中該光罩包含 有鉻層。 21·如申請專利範圍第19項之方法,其中該光罩爲二 元光罩。 22.如申請專利範圍第19項之方法,其中該光罩爲相 位移光罩。 2 3 ·如申g靑專利範圍第19項之方法,其中該光罩包含 有可蝕刻層’該可蝕刻層係藉由與自由基反應而進行蝕刻 ,且該可蝕刻層的蝕刻速率主要係以化學方式驅動。 24.如申請專利範圍第13項之方法,其中該触刻氣體 係以10至20 mTorr的壓力供應。 25· —種使用電漿反應器來蝕刻基板上之薄膜的方法 ,該方法包含有下列步驟: 567394 H V \ ‘ 供應氣體至該電漿反應器; 以感應的方式將電源耦合至該反應器而產生電漿,該 電漿的產生會形成電子、正離子、負離子與中性自由基, 該中性自由基對該基板上的薄膜有化學反應性; 終止以感應方式耦合的電源,以使該電漿衰減,其中 在該電漿實質衰減後,該中性自由基繼續化學飩刻該基板 上的薄膜。 26. 如申請專利範圍第25項之方法,其中該感應耦合 的電源係重複地以脈衝的方式進行關閉與開啓。 # 27. 如申請專利範圍第26項之方法,其中該開啓時間 對該關閉時間的比例小於25%。 28. 如申請專利範圍第26項之方法,其中該開啓時間 - 對該關閉時間.的比例在5-10%之間。 β 拾壹、圖式 如次頁。 籲 23
TW091123170A 2001-10-22 2002-10-08 Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate TW567394B (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
PCT/US2002/033721 WO2003036704A1 (en) 2001-10-22 2002-10-22 Method and apparatus for the etching of photomask substrates using pulsed plasma
EP02782196A EP1444726A4 (en) 2001-10-22 2002-10-22 METHOD AND DEVICE FOR Etching PHOTOMASCIC SUBSTRATES USING PULSED PLASMA
JP2003539093A JP2005531125A (ja) 2001-10-22 2002-10-22 パルス化プラズマを使用したフォトマスク基板のエッチングのための方法及び装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US34269501P 2001-10-22 2001-10-22

Publications (1)

Publication Number Publication Date
TW567394B true TW567394B (en) 2003-12-21

Family

ID=32507507

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091123170A TW567394B (en) 2001-10-22 2002-10-08 Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate

Country Status (2)

Country Link
US (1) US20030089680A1 (zh)
TW (1) TW567394B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102844264A (zh) * 2010-02-13 2012-12-26 麦卡利斯特技术有限责任公司 热化学过程的诱导及相关系统和方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US20040086787A1 (en) * 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
KR100799175B1 (ko) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8318269B2 (en) * 2009-02-17 2012-11-27 Mcalister Technologies, Llc Induction for thermochemical processes, and associated systems and methods
US20110236806A1 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Dc voltage charging of cathode for plasma striking
WO2013025655A2 (en) 2011-08-12 2013-02-21 Mcalister Technologies, Llc Systems and methods for providing supplemental aqueous thermal energy
US8888408B2 (en) 2011-08-12 2014-11-18 Mcalister Technologies, Llc Systems and methods for collecting and processing permafrost gases, and for cooling permafrost
WO2013025645A2 (en) 2011-08-12 2013-02-21 Mcalister Technologies, Llc Systems and methods for collecting and processing permafrost gases, and for cooling permafrost
WO2013025650A1 (en) 2011-08-12 2013-02-21 Mcalister Technologies, Llc Mobile transport platforms for producing hydrogen and structural materials and associated systems and methods

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3951709A (en) * 1974-02-28 1976-04-20 Lfe Corporation Process and material for semiconductor photomask fabrication
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6253704B1 (en) * 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6255023B1 (en) * 1999-11-04 2001-07-03 United Microelectronics Corp. Method of manufacturing binary phase shift mask
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102844264A (zh) * 2010-02-13 2012-12-26 麦卡利斯特技术有限责任公司 热化学过程的诱导及相关系统和方法
CN102844264B (zh) * 2010-02-13 2016-04-20 麦卡利斯特技术有限责任公司 热化学过程的诱导及相关系统和方法

Also Published As

Publication number Publication date
US20030089680A1 (en) 2003-05-15

Similar Documents

Publication Publication Date Title
TW567394B (en) Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US7008877B2 (en) Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
Cardinaud et al. Plasma etching: principles, mechanisms, application to micro-and nano-technologies
US7521000B2 (en) Process for etching photomasks
US6069092A (en) Dry etching method and semiconductor device fabrication method
JP5271267B2 (ja) エッチング処理を実行する前のマスク層処理方法
TWI410744B (zh) 用於處理光微影倍縮光罩的方法
JPH0527244B2 (zh)
WO2004086143A2 (en) Multi-step process for etching photomasks
JP2005531125A (ja) パルス化プラズマを使用したフォトマスク基板のエッチングのための方法及び装置
JP2764524B2 (ja) ラジカルの制御装置
JPH0734109B2 (ja) フォトマスクの製造方法
JP3223692B2 (ja) ドライエッチング方法
JPH08195380A (ja) コンタクトホールの形成方法
Wang et al. Lithography using electron beam induced etching of a carbon film
JPH03263827A (ja) デジタルエツチング装置
JP3172340B2 (ja) プラズマ処理装置
JP4061691B2 (ja) 表面加工方法
JPH06252097A (ja) プラズマエッチング装置
JP3234321B2 (ja) プラズマ反応装置の使用方法と基板のプラズマ処理方法とその処理法を利用した半導体装置の製造方法
JPH0513319A (ja) パターン形成方法
JP2000012529A (ja) 表面加工装置
JPS60260131A (ja) 異方性ドライエツチング方法
JPH01278023A (ja) ドライエッチング方法及びその装置
JPH0536637A (ja) 半導体製造装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent