TW548741B - Electrode for plasma processes and method for manufacture and use thereof - Google Patents

Electrode for plasma processes and method for manufacture and use thereof Download PDF

Info

Publication number
TW548741B
TW548741B TW090131863A TW90131863A TW548741B TW 548741 B TW548741 B TW 548741B TW 090131863 A TW090131863 A TW 090131863A TW 90131863 A TW90131863 A TW 90131863A TW 548741 B TW548741 B TW 548741B
Authority
TW
Taiwan
Prior art keywords
electrode
plasma
gas
resistivity
patent application
Prior art date
Application number
TW090131863A
Other languages
English (en)
Inventor
Jerome S Hubacek
Albert R Ellingboe
David Benzing
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW548741B publication Critical patent/TW548741B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

548741 A7 _______ B7 五、發明説明(丨 ) 發明背i 發明領1 本發明係關於一種用於諸如矽晶圓之半導體基材之電漿 處理程序的裝置,具體而言,本發明係關於一種具有一低 電阻率電極的電極組件。本發明亦與使用該電極組件處理 半導體基材有關。 相關拮藝親.晚 美國專利案號5,074,456及5,569,350中揭露了於電漿處 理反應為中用來處理諸如石夕晶圓的半導體基材的電極,其 内容以提及方式併入.本文中。上述,456號專利揭露了一種 用於平行板反應裝置的電極組件,其中位於上部的電 極係具有半導體的純度,並以黏膠、焊錫(solder)或硬焊 (braZlng)層黏接於一支撐架上。該焊錫或硬焊層可為諸如 銦(indium)、銀和二者合金之低蒸氣壓金屬,而該支撐架 與該電極間的黏接表面可塗以一薄層之金屬(諸如鈦或鎳)以 促進其濡濕度及該黏階層的附著力。頃發現:諸如In黏接 之類的冶金黏接會因該電極與其所黏附的部件間熱脹/縮程 度的差異而導致該電極翹曲。同時,亦發現這些冶金黏接 在南電裝處理功率下會因該黏接的熱疲勞和/或融化而失 效。 為克服與半導體晶圓之化學蝕刻相關的各種限制,已發 展出乾式電漿蝕刻(dry plasma etching)、反應式離子蝕刻 (reactive i〇n etching)和離子研磨(10n milllng)等技術。尤 其’電漿蝕刻能讓其垂直蝕刻率遠大於其水平蝕刻率,使 ——__-4 -_ 本纸故尺㈣财目时辟(CNS) A4祕(21GX 297公釐) ' - 8741
其得以適當控制所產生_特徵的高寬比(即所產生溝槽的 南賴寬度之比率)。事實上,電㈣刻能在厚度超過i微 米的溥膜t形成極精細且具有大的高寬比的特徵。 裝 在電聚蝕刻過程中’在晶圓覆蓋了遮罩的表面上方對一 較低壓氣體加以大量能量,致使該氣體離子化。藉調整欲 钱刻基材的電位,可引導該電聚中的帶電物質大體上垂直 地撞擊該晶’,從而將該晶圓未遮蓋區域卞的材料移除。 通常可使用與受㈣材料化學上較易起反應的氣體,以 使蝕刻程序更有效率。所謂”反應式離子蝕刻"則係結合了 電裝的高能#刻效力·與氣體的化學蝕刻效力。然而,已發 現許多化學活性反應劑會造成電極過度損耗。 最好能均勻地把電漿分配於晶圓的表面,以獲取整個晶 圓表面一致的蝕刻速率。例如,在美國專利案號 4’5 95’484、4,792’3 78、4,820,371、4,960,488 中揭露 了一些
喷灑頭電極,在其中有許多孔用來分配氣體。這些專利廣 泛地描述了分配氣體的板子,其上具有一些孔徑的配置, 專門設計以對一半導體晶圓供應均一的氣體蒸氣流。 一反應式離子姓刻糸統通常包含一飯刻室,其中配備了 一上部電極(或1%極)以及一下部電極(或陰極)。其陰極係相 對於陽極和容器牆面加了負向偏壓。受蝕刻的晶圓由一適 當遮罩覆盍’並直接置於該陰極之上。有一化學反應氣體 (諸如匚?4、(:^11:3、(:(:1?3和5?6或其與〇2、>^2、:^或 Ar之混合物)導入該蝕刻室中,並維持一定壓力,通常係在 毫陶爾(millitorr)的範圍。該上部電極配備了 一些氣體孔, 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 548741 A7 B7 五、發明説明(3 ) 它們讓氣體能均勻地通過該電極擴散進入該室。在該陽極 與該陰極間建立的電場會將該反應氣體分離,從而形成一 電漿。該晶圓的表面係由其與反應離子的化學反應,以及 離子衝擊該晶圓表面時動量的轉換所钱刻。由該電極所產 生的電場會將離子朝陰極方向吸引,導致離子以一顯著地 垂直方向撞擊該表面,故該製程能產生界限清楚的垂直蝕 刻側壁。 - 發明概要 本發明提供一種低電阻率矽電極,適宜裝設於半導體基 材處理用的一電漿反應室中。該電極具有一小於i 〇hm_cm 的電阻率,以及在其一側的—RF驅動或電性接地的表面, «亥表面在使用該電極時係暴露於電漿反應室的電漿中。其 電阻率最好是小於〇1 ohm-cm,而若小於0.05 ohm_cm則 更佳。 依據本發明的一項較佳具體實施例,該電極可包括一噴 灑頭電極,其配置有複數個之氣體出口以於使用該噴灑頭 電極時將處理氣體分配於該電漿反應室中,例如··該氣體 出口可具有G.020至o.ow英相直徑,而氣體出口則散 佈於整個暴露的表面上。該電極最好包括重金屬污染值低 萬刀之1 0的零缺點單結晶石夕。依據一較佳應用例,該 电極可包括一平行板電漿反應器之一電性接地的上部電 極。 :電極可安裝成為一電細反應器中一電極組件的一 。刀。例如’可將該電極以_彈性接頭黏接於—支撐構件 本紙—^國家i準(CNsT^ii^ X 297公釐) 548741 A7 -----^______B7 五、發明説明( ) 忒彈性接頭在該電極與該支樓構件間包括一導電彈性 彳:’該彈性材料提供了該電極與該支撐構件間的一電流 於另—配置中,該電極可彈性地由-夹持構件夹於 二:構件上。若需要’該電極可為在一電漿反應室内部 ^溫度受控制構件黏接或夾於其上的一喷灑頭電極,其 中,狐度受控制構件包含一氣體通路以將一處理氣體供應 f α亥噴灑碩電極。於此種配置中,該溫度受控制構件可包 3 以及位於該空腔中的至少一個阻擋板,使該氣體 通路所供應的處理氣體先通過該阻擋板,然後再通過該噴 灑頭電極。 、 、本發明亦提供一種於一電漿反應室中處理一半導體基材 的方法,該反應室中的一電極組件包含一 RF驅動或電性接 地且電阻率小於1 ohm-cm的矽電極。該方法包含供應一半 $體基材至該電漿反應室中,供應處理氣體至該電漿反應 室之一内部,激勵該處理氣體以形成與該半導體基材之一 暴露表面接觸的一電漿,以及利用該電漿處理該基材。 於一較佳具體實施例中,該半導體基材包括一矽晶圓, 而该方法包含蝕刻位於該晶圓上的一介電層或導電層材 料。或者,該方法可包含將一層材料沉積於該半導體基材 上。該電極可包含位於一平行板電漿反應室中的一上部電 極。在上部電極接地的狀況中,該下部電極可於處理該基 材時接受至少一種頻率之RF能量供應。 於一較佳之電漿蝕刻程序中,該電極可包括盥一溫产受 匕件 結… 玉紙張尺度適用中國國家標準(CNS) A4規格(21G x 297公董) ---- 548741 A7 B7 五、發明説明(5
極,該處理氣體即通過該構件供應至該噴灑頭電極。該RF 驅動電極可藉激勵該處理氣體形成該電漿,而該基材可包 括等待該電漿蝕刻的一矽晶圓。該電極亦可包括與一溫度 受控制構件黏接或夾於其上的一電性接地、無動力的單結 晶矽噴灑頭電極,該處理氣體即通過該構件供應至該噴灑 頭電極。该接地之噴灑頭電極可提供能有效限制該電漿的 一接地路徑·「而該基材則可包括等待該電漿蝕刻的一矽晶 圓。 依據本發明,該電極於使用時可將RF動力更有效地耦合 於該電漿中,而與傳統具有一 1〇 〇hm-cm以上電阻率的電 極相比則較不易過熱。再者,於另一狀況中,依據本發明 之電極包括一些氣體出口,處理氣體通過它們進入該室, 其中氣體出口的直徑在0.020至〇·03 0英吋間,而該處理氣 肢包括一钱刻氣體,與具有0033英叶直徑氣體出口的一傳 統電極比較,該電極可在使用該蝕刻劑氣體對該基材蝕刻 吩讓較少的聚合物副產品在該氣體出口内和在該電極背面 集結。 圖式簡箪說明 以下將參考附圖進一步說明本發明,其中: 圖1顯示一電漿反應器系統的示意圖,其中可使用依據本 發明之較低電阻率的電極; 圖2顯示依據本發明第一項具體實施例的一低電阻率噴麗 頭電極組件之一側視斷面圖; 圖。顯示依據本發明第二項具體實施例的一低電阻率噴麗
本紙張尺度適用中國國家標準(CNS) A4規格(21〇χ 297公釐)
發明說明(6 頭電極組件之一側視斷面圖; 圖4顯示圖3中之配置的細部IV的一側視斷面圖; 一圖5顯示依據本發明第三項具體實施例的一低電阻率噴灑 碩電極組件之一側視斷面圖; 、 圖6顯示圖5中該電極組件的細部圖;以及 圖7顯示圖3中之配置的一部份側視斷面圖。 — 發明詳細說明 时本發明提供一種低電阻率矽電極,其較之用於電漿反應 器之傳統較高電阻率電極具有許多優勢之處,即其=供: 接地較佳之阻尼而改善對電漿的限制、較佳之電漿處理'(諸 如較高的蝕刻速率同時保持均勻的蝕刻速率〉和 敎政 Γ7 ^箱將過 …、降至最低程度而改善溫度控制並減少歐姆的損失從而使 動力可更有效地耗合於該電漿中。 頃發現依據本發明的矽電極在諸如矽晶圓之類的半導體 基材的電漿處理上能提供意想不到的改善,特別是在與傳 統電極的電漿㈣相比時。為說明方便起見,依據本發明 的電極將以參考在電裝處理半導體基材時很有用的 頭電極加以描述。 、/ +根據本發明之一較佳具體實施例,其中使用一低電阻率 電極作為處理一半導體基材的一平行板電漿反應器之一 2 部電極,例如一單晶圓蝕刻器之一上部電極,其中有一曰 圓(諸如一 200 mm或300 mm之矽晶圓)支撐於具有_平扭 底部電極的一靜電夹頭上,該晶圓係由該夾頭支撐於該2 邛電極之下方:[至2㈣處。於此系統中’該電極可能為必兩 548741 A7 B7
5 丁 裝
548741 A7 B7 五、發明説明( 的熱傳導,處理氣體可透過通路155供應,並於構件132中 的一環狀通道内(未顯示)保持其壓力,而可利用〇形環密封 1 4 2和1 4 3使該通道中的氣體維持壓力。 參考圖5至7,依據本發明的一低電阻率電極21〇可藉一彈 11接頭2 4 6與一支撐環2 1 2黏接。如圖5至6所示,該電極 210係一平坦圓盤,自中心至邊緣保持均勻厚度。在支撐環 2 12上的一外-圍凸緣藉一鋁質夾持環216夾在具有水冷卻通 道2 13的一鋁質溫度受控制構件214上。在該冷卻通道213 中’水經由水入口 /出口接頭213a進行循環。在電極21()的 外圍包圍了一圈由一疊相互間隔的石英環組成的電漿圍束 環2 1 7。該電漿圍束環2 1 7係以螺栓固定於一介電輪環2 j 8 上,而該介電輪環則係以螺栓固定於一介電外殼218a上。 該圍束環217的目的及功能係要導致該反應器中的一壓力 差,並增加该反應室牆面與該電漿間的電阻,從而限制該 上部與下部電極間的電漿。夾持環2 1 6的一向内延伸凸緣與 石墨支樓環2 1 2的外凸緣接觸。因此,在電極2丨〇暴露在外 的表面上,並未施加任何夾持壓力。 來自一氣體供應源的處理氣體係通過該溫度受控制構件 2 1 4中的一中央孔2 2 0供應至電極2 1 0。接著,該氣體係通 過一或多片垂直間隔排列的阻擋板22 2分散,並通過該電極 2 10中的一些氣體散佈孔(未顯示)均勻地將該處理氣體散佈 至反應至224中。為提供自電極21〇至溫度受控制構件214 強化的熱傳導,可供應處理氣體以充滿溫度受控制構件2 i 4 與支撐環2 1 2之相對表面間的開放空間。另外,可透過與該 ______- 13 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 548741 A7 B7 五、發明説明(n ) 輪環218的一氣體通路(未顯示)或圍束環217連接的氣體通 路227監控該反應室224中的壓力。為使溫度受控制構件 2 1 4與支撐環2 1 2間的處理氣體保持壓力,於支撐環2 1 2的 一内側表面與溫度受控制構件2 1 4的一相對表面間配置了一 第一〇形環密封228,而於支撐環2 12的一上側表面的一外 部與構件2 1 4的一相對表面間則配置了 一第二〇形環密封 2 2 9。為維持-反應室2 2 4中的真空環境,在溫度受控制構件 214與圓筒狀構件218b間,以及在圓筒狀構件218b與外殼 2 18a間配置了額外的〇形環23 0、23 2。 圖6顯示一蓮蓬頭組件2 4 0之進一步細節,其中電極2 1 〇 係藉一彈性接頭246與導電支撐環2 1 2黏接,如圖7中所 示,該彈性接頭可位於一凹處2 4 8中。該凹處2 4 8最好係在 該支撐環212周圍,介於該支撐環212的一内牆(未顯示)與 一外牆250間連續延伸。每一牆面250的寬度可越窄越好, 例如約3 0 mil的寬度,如此讓該彈性體能在每一與牆面 2 5 0接觸的區域形成一薄層(例如:約2 厚,在該彈性體 包含0 · 7至2 // m大小,諸如鋁、石夕、碳化石夕…等的導電粒 子的填充物的狀況),而在該凹處248中,則形成一較厚層 (例如:約0 . 〇 〇 2 5英叶)。由這些牆面所形成的凹處可做得 極淺,例如約2 mil的深度,其提供一非常薄的彈性接頭, 具有足夠力量以使該電極與該支撐環黏接,但仍允許該電 極在該電極組件的溫度循環中相對於該支撐環進行移動。 另外’該凹處的牆面可保護該彈性接頭免受該反應器中電 装環境的攻擊。應注意,可省略該凹處24 8,並將該彈性黏 -- ~ 14 - 本紙張尺度適用t國國家標準(CNS) A4規格(210 X 297公董) "— -- 548741
接以分散或連續的薄墊圈彈性體方式配置於該電極與一支 樓構件相配的平坦表面之間,例如:該彈性體可以二或多 層薄的環狀墊圈的方式配置於該電極與一支撐構件間。 傳統噴灑頭電極係由具有10 ohm_cm或更高電阻率之單 結晶矽所製成。此種電極在電漿蝕刻反應器中雖表現良 好,然發現此種電極的效能可藉降低其電極的電阻率而意 外地改進 '例如:依據本發明,將該電極的電阻率降至小 於1 Ohm-cm,最好是0.005至0 02 〇hm-cm。該電極的材 料最好是用來製作矽晶圓的零缺點單結晶矽。另外,其它 諸如低電阻率碳化矽的材料亦可用來製成該電極。 依據本發明的一項具體實施例,該電極包括一電漿反應 器中的一接地之噴灑頭電極,該電漿反應器中的電漿係由 ιέ於基材支架中的一下部電極所產生。若需要,可對該 下部電極供應雙頻動力,其中一較高頻率係用來產生並維 持用來處理一晶圓的電漿,而一較低頻率則係用來對該晶 圓%以一理想的R F偏壓。與使用相同處理參數的傳統矽電 極比較’依據本發明的低電阻率電極可提供一意外地提昇 了 5 %至1 〇 %的蝕刻速率,並維持蝕刻速率的均一性。 依據本發明的低電阻率電極所能達到的較佳蝕刻速率, 可旎係該電漿反應器中一改善的R]p接地/耦合低阻尼路徑所 4成的結不。另外,这限制窗口(c〇nfineinent window)(即 諸如室中壓力及供應給該電極的電力等的處理條件)可利用 該低電阻率電極加以改善。例如:在LAM Research
Corporation (本申請案的受讓人)所製造的Exelan™及 ____- 15 - 本紙張尺度適用巾s s家標準(CNS) A—格(21GX297公复_)_ 548741 A7 B7 五、發明説明(13 EXdanHpTM反應器中,其低電阻率電極係依接地的上部噴 灑頭電極,與先前使用的具有1〇至2〇 〇hm_cm電阻率之電極 相較,該低電阻率電極可大幅改善其限制窗口。當該電極 係用來作為(由lam Research Corporation所製造諸如 4520XLe之類的)反應态中的一具有動力之上部喷灑頭電 極時’亦可達到較佳的蝕刻速率。 根據I2R的損失,低電阻率電極比較高電阻率電極由通過 它們的RF電流中吸收較少的能量。此點導致在一定的以產 生器動力輸出下,有較多能量供該電漿吸收。傳送給電漿 的此額外動力輸出,可能即是本發明中該低電阻率電極 所以能達到較佳蝕刻速率的原因。此外,較少的能量損失 可能使該電極的溫度降低,從而可減少該電極的磨損,並 延長該電極的使用壽命,直到其必需替換為止。 在Exelan™、Exelan hptm和452〇XLeTM反應器中使用的 傳、、充電極可用彈性體黏接至支撐環上,並當作可替換電極 組件安裝於該反應器中。依據本發明的低電阻率電極可以 相同方式安裝,或可以其它適當配置安裝,諸如以機械式 夾持配置,或其他黏接技術,例如:黏膠、焊錫或硬焊 等。 / 乂下示範性具體實施例係為說明依據本發明的適當低電 阻率私極之目的所提供。熟知技藝人士應明瞭,其它電極 設計亦適於作為依據本發明的電極。 依據本發明,當製作一低電阻率噴灑頭電極時,最好將 超音波鑽孔時在所鑽孔内產生的損壞移除。此種損壞可藉
548741 A7 B7 五、發明説明(14 在一強酸中蝕刻並將該電極拋光加以移除。如此使該電極 在安裝於一電漿反應器中之後,可較快調整完成。另外, 該電極可在一定期性濕式清潔恢復(wet clean recovery)程序 中較快清理完成,亦即在處理過一定數量的晶圓之後^該 電極的另一優點為:它展現出比傳統式電極更加的損耗特 性。 為減少電漿钱刻時在該蓮蓬頭後部的聚合物沉積,其貫 通孔可製作得較傳統電極中的為小,從而可使該電極後方 的背壓增加,而減少回流。另外,較小的孔可使在孔中及 遠蓬頭後方電漿放電的機率降低。較小的孔亦可減少該蓮 蓬頭附近一阻擋板上和/或在該蓮蓬頭背面的聚合物沉 積。與具有〇 . 0 3 3英吋直徑小孔的傳統噴灑頭電極比較,該 低電阻率達蓬頭可作成含有小於〇 〇 3 〇英吋的孔,例如: 0.020至0.028英吋,最好是〇〇25英吋直徑的孔。 為進一步減少聚合物集結,該孔的數目可較傳統蓮蓬頭 者為少。例如,孔的數目可減少5 〇%或更多,例如減少 2〇二至40〇/。,最好是25%。因此,在一處理一 2〇〇 _晶圓 的連遙頭的狀況,若一傳統蓮蓬頭具有2〇〇〇至4〇〇〇個孔, 則低電阻率連遙頭可具有5 〇 〇至丨〇 〇 〇個孔。例如,一傳統 電極可犯具有約3 2 5 〇個孔,而低電阻率電極則可具有約 8 3 0個孔。由於孔的數量減少,可預期該蓮蓬頭將能維持較 久,因電漿需較長時間侵蝕該電極使其孔連結。 低電阻率電極可降低整個電極中心至邊緣的溫度變 /、攸而獲侍較佳的製程均一性。可將此種溫度變異的縮 :297公釐) 548741 五、發明説明 2精衣作較傳統電極更厚的電極加以強化,或將1¾電㈣ 衣成對-溫度受控制構件可達到更佳熱傳導的一缸件 如:可增加該電極的厚度為0 3 75或甚至〇 5〇英吋,而一值 統電極則僅0.25英吁厚。另外,可以一支持構件支持^ 極,並將該電極固定於—支料的—支撐組件上(諸如= =環及-阻擋配置)。以此一配置,該電極可由銘或其合 金、SiC石—墨···等適當熱傳導材料構成的一支持板所支 持,而該支持板可用螺拴固定於該支標組件上。該支持板 亦可提供該電極良好傳導性,以將該電襞室之喷麗頭 2極區域的電壓差異降至最低。該支持板可以適當方式固 疋於該電極上,包括諸如藉一彈性黏膠的黏接在内。 較之一傳統電極而言,該低電阻率電極可提供一較佳之 DC傳導路徑。因&,藉改善%傳導路徑,亦可改善對電裝 的限制。 於下文中,將依據本發明具有一〇〇25英吋直徑氣體出口 的一低電阻率電極與具有〇 25英吋之厚度、1〇至2〇允. cm電阻率以及0 03 3英吋直徑氣體出口的一傳統單結晶矽電 極作比較。該低電阻率電極具有〇 25英吋的厚度,且係由 摻鵷了硼的單結晶矽所製成,以達〇 〇〇5至〇 〇2 的 電阻率。此種低電阻率矽可由產自〇hl〇州Eat〇n的Bullen rasonics的長成之單結晶、零缺點石夕獲得。較之傳統電極 的數千個氣體出口,該低電阻率電極可包含較少的氣體出 口(例如·少於1 〇 0 〇)以及較小的直徑,而就其孔因電漿的 佼蝕而致連結的時間方面而論,可使該電極的壽命延長。 參 裝 訂 548741 A7 __ B7 五、發明説明(l6 ) 此種孔可藉一研磨膏(Slurry)以超音波鑽孔,而該電極則可 於強酸中洗滌以去除鑽孔損壞,用SlC研磨劑研磨並 抛光,以減少在使用所安裝的電極以於一電漿蝕刻室中處 理晶圓之前通常所需的調整時間。 下表係依據本發明之具有小於1 ohm-cm之低電阻率石夕電 極與具有10 ohm-cm或更高電阻率矽電極之測試結果的比 較。於此測·..·試中,諸如接點、通道(vi a)及間隔層係使用相 同的氣體化學成份和反應器條件來蝕刻的。 特徵 氣體 CxFy/Ar/ N/0 CEER 5513.1 CEU -..--- 2.03 IE ER ——---- 5790.4 IEU ----- 2.33 era --—-------- +5 接點 通道 CxFy/Ar/ 5082 1.94 5531.3 1.61 +8.8 co/o 間隔層 CxFy/Ar/ 895 2.7 959 1.5 —" — +7.1 0 c E :傳統電極 IE :依據本發明之電極 ER:姓刻速率(A/min) U:均勻度(%) E R △:姓刻速率之變動(〇/〇) 以蝕刻 配置方 該依據本發明之電極可用來作為一噴灑頭電拐 半導體晶圓。然而若需要’該電極組件亦可依其
548741 A7
式,其中之電極並非-喷灑頭電極,和/或該支樓構件之 =式並非-環。例如’該電極可為_錢頭電極,黏接至 -支持板,其具有連通至該電極的—些氣體分配孔。另— 種可能配置為將該電極與-板狀、圓筒狀、基座構件上的 凸=物·..等形式的支持構件黏接。再者,在該電極為—電 襞室中-接地或具動力之電極的狀況時,該電極可不含氣 體出口。,-
裝 該電極最好係由一導電材料諸如一平面的矽(如單結晶 石夕),或具有自其中心至外緣均句厚度的碳化石夕電極圓盤所 構成。^,具有非均勾厚度不同材料和/或不含處理氣 體分配孔的電極,亦可用於依據本發明的電極組件中。於 一較佳具體實施例t,t线極係-噴灑頭電極,配備了複 數個隔開之氣體放射通路,這些通路的大小與分佈適合於 供應由該電極和/或另一電極或能量源所激勵的一處理氣 體進入該反應室中的一電漿中。然而,依據本發明的電極
可在電漿反應為或真空環境中用作任一形式的電極,此 類電極包含錢鍍電極。 依據本發明的電極可用於晶圓處理,諸如多晶圓或單晶 圓處理中的電漿蝕刻、沉積·.·等。例如,可用該電極蝕刻 或/儿積BPSG諸如熱一氣化石夕(thermal silicon dioxide)或 熱解氧化物(pyrolytic oxide)及光刻膠材料等之氡化物。該 裝置可獲得理想程度的次微米接觸斷面、C d及低粒子污染 度。在餘刻BPSG方面,可達到約3〇〇〇 A/min的蝕刻速率等 級’而钱刻均勻度則能達到約3 〇/〇。 _ - 20 - 本紙張·尺度適用中國國豕標準(CNS) A4規格(210 X 297公差)

Claims (1)

  1. 548741 8 8 8 8 A B c D 第0901318«號專利申請案 中文申請專利範圍替換本(92年4月) ♦利範i ^~ ^ 一種低電阻切電極,適宜裝設於半導體基材處理用的 一電漿反應室中,其包括: 夕包極,其具有一小於1 〇hm-cm的電阻率,在該電 :側有尺卩驅動或電性接地的表面,該表面在使用該 電極時係暴露於該電漿反應室的電漿中。 2 ·如申請專利範圍第丨項之電極,該電極包括一喷灑頭電 極,配備-複數個之氣體出口以於使用該喷麗頭電極時 分配處理氣體進入該電漿反應室。 3·如申請專利範圍第2項之電極,其中該氣體出口具有 0.020至0·03 0英吋的直徑,且這些氣體出口係、遍佈整個 暴露的表面。 4·如申μ專利簡第丨項之電極,其巾該電極包括重金屬污 染值低於百萬分之i 〇的單結晶矽或碳化矽。 5·如申4專利範圍第丨項之電極,其中該電極包括—平行板 電漿反應器之一電性接地的上部電極。 6 ·如申#專利範圍第丨項之電極,其中該電極之電阻率低於 〇· 1 ohm-cm 〇 7·如申請專利範圍第!項之電極,其中該電極之電阻率低於 〇·〇5 ohm-cm。 8. -種具有電極組件之電漿㈣反應器,該電極組件包含 如申請專利範圍第㈣之電極,該電極係藉一彈性接頭與 支撐構件黏接,該彈性接頭於該電極與該支撐構件間 包括-導電彈性材料’該彈性材料包含—導電填充物, 此填充物在該電極與該支撐構件間提供一電流 六、申請專利範圍 •一種具有電極㈣之„#刻反應器i該極組件包含— 如申請專利範圍第W之電極、一支撐構件、及一電喂圍 束裱’其該電極係藉該電聚圍束環彈性地夾於該支撐構 10·-種電漿反應室’包含如中請專利範圍第2項之喷灑頭電 極’該噴m頭電極係、與該„反應室之_内部之一产 雙控制構件黏接或夾於其上,該溫度受控制構件包:: 應-處理氣體至該噴灑頭電極的—氣體通路,該溫度受 控制構件包含一空腔以及位於該空腔中的至少一個:擋 板’該氣體通路所供應的處理氣體先通過餘擒板,然 後再通過該噴灑頭電極。 ”' 1種於電漿反應室中處理一半導體基材的方法,該反 應室中的一電極組件包含_RF驅動或電性接地且電阻率 小於1 ohm-cm的矽電極,其包括: 供應一半導體基材至該電漿反應室中; 供應處理氣體至該電漿反應室之一内部; 激勵該處理氣體以形成與該半導體基材之一暴露表面 接觸的一電漿; 利用該電漿處理該基材。 12·如申請專利範圍第叫之方法,其中該半導體基材包括 矽晶圓,而該方法包含蝕刻位於該晶圓上的一介電層 或導電層之材料。 13.如申請專利範圍第"項之方法,其中該方法包含將一層 材料沉積於該半導體基材上。 本紙張尺度®家__) 548741 申清專利範園 14. 如申請專利範圍第n項之 板電漿反應ϋ之-上部、:其中該電極包括一平行 受到RF能量之供應。*〜電極於處理該基材時係 15. 二申:專利範圍第11項之方法,其中該電極包括—平行 板%漿反應器之一上部電極, 丁 π Μ Λ 邊十仃板電漿反應器之一 下邛龟極係受到至少一 ^手的RF能量之供應,而該上 邛電極於處理該基材時係電性接地者。 16·如申請專利範圍第⑽之方法,其中該電極包括一電性 ‘、:、動力單結曰曰矽噴灑頭電極’與-溫度受控制構 接或夾於其上’該處理氣體即通過該構件供應至該 贺麗頭電極,該接地之噴灑頭電極提供—有效接地路獲 以限制該電漿’而該基材則包括將由該電隸刻的一石夕 晶圓。 17·如申請專㈣圍第"項之方法,其中該電極包括驅 動之單結晶対麗頭電極’與—溫度受控制構件黏接或 夾於其上,該處理氣體即通過該構件供應至該噴灑頭電 極,該噴灑頭電極藉激勵該處理氣體以形成該電漿,而 該基材則包括將由該電漿蝕刻的一矽晶圓。 18·如申請專利範圍第丨丨項之方法,其中該電極的電阻率係 小於〇·1 ohm-cm且該電極包括重金屬污染值低於百萬分 之1 〇的零缺點單結晶矽或碳化矽。 19·如申請專利範圍第丨丨項之方法,其中該電極可將rf動力 更有效地耦合於該電漿中,而與傳統具有一 1〇 〇hm-cmw 上電阻率的一電極相比則較不易過熱。 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) ' ---------- 548741 A8 B8 C8
    申請專利範圍 况如申請專利 體出口,該處理氣體通過它們'中:電極包括-些氣 直徑在0.020至0.03 0英忖n =该室,該氣體出口的 肢,與具有0.03 3英时直徑氣體出 括蝕心 該電極可在使用該㈣氣體對,—傳錢極比較, ^-5|| . 〇 亥基材蝕刻時讓較少的聚 產°°…體出口内和在該電極背面集結。 -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) '----
TW090131863A 2000-12-29 2001-12-21 Electrode for plasma processes and method for manufacture and use thereof TW548741B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/749,916 US20020127853A1 (en) 2000-12-29 2000-12-29 Electrode for plasma processes and method for manufacture and use thereof

Publications (1)

Publication Number Publication Date
TW548741B true TW548741B (en) 2003-08-21

Family

ID=25015746

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090131863A TW548741B (en) 2000-12-29 2001-12-21 Electrode for plasma processes and method for manufacture and use thereof

Country Status (7)

Country Link
US (2) US20020127853A1 (zh)
EP (1) EP1346394A1 (zh)
JP (1) JP2004524677A (zh)
KR (1) KR20030066770A (zh)
CN (1) CN100466152C (zh)
TW (1) TW548741B (zh)
WO (1) WO2002054444A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI412076B (zh) * 2006-02-13 2013-10-11 Lam Res Corp 用於在介電質蝕刻中之減少的微粒污染的密封彈性體結合矽電極及其相同物
TWI667944B (zh) * 2014-07-03 2019-08-01 美商應用材料股份有限公司 具有可拆卸高電阻率氣體分配板的噴淋頭

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
CN100442429C (zh) 2002-05-23 2008-12-10 蓝姆研究公司 用于半导体处理等离子反应器的多部分电极以及替换多部分电极的一部分的方法
JP4034208B2 (ja) * 2003-02-25 2008-01-16 ローム株式会社 透明電極
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4403919B2 (ja) * 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US7501765B2 (en) * 2004-10-01 2009-03-10 Illinois Tool Works Inc. Emitter electrodes formed of chemical vapor deposition silicon carbide
KR100667676B1 (ko) * 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7442114B2 (en) * 2004-12-23 2008-10-28 Lam Research Corporation Methods for silicon electrode assembly etch rate and etch uniformity recovery
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
KR100738876B1 (ko) * 2006-02-21 2007-07-12 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US20070284339A1 (en) * 2006-06-09 2007-12-13 Moore David O Plasma etching chamber parts made with EDM
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
TWI459851B (zh) * 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
EP2311067A1 (en) * 2007-11-08 2011-04-20 Applied Materials Inc. a Corporation of the State of Delaware Electrode arrangement with movable shield
SG187387A1 (en) 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
JP5265700B2 (ja) 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
KR100977315B1 (ko) 2008-04-10 2010-08-23 티씨비코리아(주) 플라즈마 챔버용 캐소드 전극 및 그 제조방법
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
GB2466836A (en) * 2009-01-12 2010-07-14 Phive Plasma Technologies Ltd Plasma source tile electrode
US20100180426A1 (en) * 2009-01-21 2010-07-22 Applied Materials, Inc. Particle reduction treatment for gas delivery system
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011072061A2 (en) * 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
JP5713182B2 (ja) * 2011-01-31 2015-05-07 三菱マテリアル株式会社 プラズマエッチング用シリコン電極板
US9552376B2 (en) 2011-06-09 2017-01-24 MemoryWeb, LLC Method and apparatus for managing digital files
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
WO2013032232A2 (ko) * 2011-08-31 2013-03-07 주식회사 테스 기판 처리 장치, 이를 이용한 비정질 탄소막 형성 방법 및 반도체 소자의 갭필 방법
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
DE102012103938A1 (de) * 2012-05-04 2013-11-07 Reinhausen Plasma Gmbh Plasmamodul für eine Plasmaerzeugungsvorrichtung und Plasmaerzeugungsvorrichtung
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
WO2014150260A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
DE102013107193A1 (de) 2013-04-08 2014-10-09 Schott Ag Rohling aus Silizium, Verfahren zu dessen Herstellung sowie Verwendung desselben
CN105453234B (zh) * 2013-08-10 2018-11-02 应用材料公司 抛光新的或翻新的静电夹盘的方法
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9666415B2 (en) * 2015-02-11 2017-05-30 Ford Global Technologies, Llc Heated air plasma treatment
US10373810B2 (en) 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
JP6794184B2 (ja) * 2016-08-31 2020-12-02 株式会社日本製鋼所 プラズマ原子層成長装置
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
US10936178B2 (en) 2019-01-07 2021-03-02 MemoryWeb, LLC Systems and methods for analyzing and organizing digital photos and videos
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
US11564292B2 (en) 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
CN112802729B (zh) * 2019-11-13 2024-05-10 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
WO2023154115A1 (en) * 2022-02-09 2023-08-17 Lam Research Corporation Etch uniformity improvement in radical etch using confinement ring
WO2023238750A1 (ja) * 2022-06-06 2023-12-14 東京エレクトロン株式会社 プラズマ処理装置内構造体、電極板及びプラズマ処理装置
KR102662900B1 (ko) 2024-02-23 2024-05-03 브이엠 주식회사 반도체 식각 공정을 위한 이종 소재 부품의 접착에 의한 모듈화 방법

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4595484A (en) 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4820371A (en) 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH0220018A (ja) 1988-07-08 1990-01-23 M Setetsuku Kk プラズマ処理装置の電極構造
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JPH07211700A (ja) * 1994-01-24 1995-08-11 Sumitomo Metal Ind Ltd プラズマ発生装置用電極及びその製造方法
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
JP3437026B2 (ja) 1996-02-15 2003-08-18 東海カーボン株式会社 プラズマエッチング用電極板およびその製造方法
TW449820B (en) * 1996-02-15 2001-08-11 Tokai Carbon Kk Plasma-etching electrode plate
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
JP3728021B2 (ja) * 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
EP0885859B1 (en) * 1997-06-20 2002-03-13 Bridgestone Corporation Member for semiconductor equipment
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
WO2000068985A1 (fr) * 1999-05-06 2000-11-16 Tokyo Electron Limited Appareil de traitement au plasma
JP4322350B2 (ja) * 1999-05-06 2009-08-26 東京エレクトロン株式会社 プラズマ処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI412076B (zh) * 2006-02-13 2013-10-11 Lam Res Corp 用於在介電質蝕刻中之減少的微粒污染的密封彈性體結合矽電極及其相同物
TWI667944B (zh) * 2014-07-03 2019-08-01 美商應用材料股份有限公司 具有可拆卸高電阻率氣體分配板的噴淋頭
TWI708524B (zh) * 2014-07-03 2020-10-21 美商應用材料股份有限公司 具有可拆卸高電阻率氣體分配板的噴淋頭

Also Published As

Publication number Publication date
EP1346394A1 (en) 2003-09-24
US20020127853A1 (en) 2002-09-12
KR20030066770A (ko) 2003-08-09
JP2004524677A (ja) 2004-08-12
WO2002054444A1 (en) 2002-07-11
US20080026589A1 (en) 2008-01-31
US8845855B2 (en) 2014-09-30
CN100466152C (zh) 2009-03-04
CN1488161A (zh) 2004-04-07

Similar Documents

Publication Publication Date Title
TW548741B (en) Electrode for plasma processes and method for manufacture and use thereof
US6376385B2 (en) Method of manufacturing assembly for plasma reaction chamber and use thereof
US6838012B2 (en) Methods for etching dielectric materials
CN101495670B (zh) 具有减少聚合物沉积特性的等离子约束环组件
KR101468340B1 (ko) 폴리머 증착을 감소시키는 rf 흡수 재료를 포함하는 플라즈마 한정링
EP1145273B1 (en) Low contamination high density plasma etch chambers and methods for making the same
TW200952565A (en) Tunable ground planes in plasma chambers
US20080156441A1 (en) Plasma processing apparatus and electrode plate, electrode supporting body, and shield ring thereof
TW202014555A (zh) 用於處理腔室的塗層材料
JPH04283924A (ja) 半導体処理装置及び半導体処理方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees