TW495877B - Method of high selectivity SAC etching - Google Patents
Method of high selectivity SAC etching Download PDFInfo
- Publication number
- TW495877B TW495877B TW090111327A TW90111327A TW495877B TW 495877 B TW495877 B TW 495877B TW 090111327 A TW090111327 A TW 090111327A TW 90111327 A TW90111327 A TW 90111327A TW 495877 B TW495877 B TW 495877B
- Authority
- TW
- Taiwan
- Prior art keywords
- etching
- gas
- patent application
- scope
- perfluorocarbon
- Prior art date
Links
- 238000005530 etching Methods 0.000 title claims abstract description 137
- 238000000034 method Methods 0.000 title claims abstract description 95
- 150000004767 nitrides Chemical class 0.000 claims abstract description 50
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims abstract description 37
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims abstract description 20
- 229910002091 carbon monoxide Inorganic materials 0.000 claims abstract description 19
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 12
- 239000007789 gas Substances 0.000 claims description 45
- 235000012431 wafers Nutrition 0.000 claims description 35
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 22
- 238000011049 filling Methods 0.000 claims description 18
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 15
- 239000001301 oxygen Substances 0.000 claims description 14
- 229910052760 oxygen Inorganic materials 0.000 claims description 14
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 12
- 229910052799 carbon Inorganic materials 0.000 claims description 12
- 229910052757 nitrogen Inorganic materials 0.000 claims description 11
- 239000011261 inert gas Substances 0.000 claims description 9
- 230000007547 defect Effects 0.000 claims description 8
- 239000000203 mixture Substances 0.000 claims description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 6
- 229910052710 silicon Inorganic materials 0.000 claims description 6
- 239000010703 silicon Substances 0.000 claims description 6
- 229910002090 carbon oxide Inorganic materials 0.000 claims description 3
- 150000001721 carbon Chemical class 0.000 claims 2
- 239000004575 stone Substances 0.000 claims 2
- 229910052778 Plutonium Inorganic materials 0.000 claims 1
- 201000010099 disease Diseases 0.000 claims 1
- 208000037265 diseases, disorders, signs and symptoms Diseases 0.000 claims 1
- 238000002309 gasification Methods 0.000 claims 1
- OYEHPCDNVJXUIW-UHFFFAOYSA-N plutonium atom Chemical compound [Pu] OYEHPCDNVJXUIW-UHFFFAOYSA-N 0.000 claims 1
- 230000008569 process Effects 0.000 abstract description 13
- 239000001307 helium Substances 0.000 description 20
- 229910052734 helium Inorganic materials 0.000 description 20
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 20
- 230000002079 cooperative effect Effects 0.000 description 11
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 8
- 238000005516 engineering process Methods 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- 239000000463 material Substances 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 239000010410 layer Substances 0.000 description 6
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 5
- 238000006116 polymerization reaction Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910020177 SiOF Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000000903 blocking effect Effects 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 230000002925 chemical effect Effects 0.000 description 2
- 238000009472 formulation Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 2
- 229910021342 tungsten silicide Inorganic materials 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- -1 CF4 Chemical class 0.000 description 1
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910019142 PO4 Inorganic materials 0.000 description 1
- 229910020175 SiOH Inorganic materials 0.000 description 1
- 101100107923 Vitis labrusca AMAT gene Proteins 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000000635 electron micrograph Methods 0.000 description 1
- 238000000295 emission spectrum Methods 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- QLOAVXSYZAJECW-UHFFFAOYSA-N methane;molecular fluorine Chemical group C.FF QLOAVXSYZAJECW-UHFFFAOYSA-N 0.000 description 1
- 238000001000 micrograph Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 1
- 239000010452 phosphate Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000011165 process development Methods 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
495877 A7 --- B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(1 ) 技術範疇 本發明經由使用一種以高射頻功率於低處理壓力下產 生的離子能電漿,於自我對準接觸(SAc)蝕刻提供高度選 擇性之方法。 背景技藝 自我對準接觸(SAC)屬於ULSI(超大型積體電路)製造 上的關鍵技術之一。SAC可鬆弛光微影蝕刻術之對準邊 際。於SACIi刻於角落(肩)的氮化矽選擇性乃最為重要的 議題。 因此經過多年SAC蝕刻之處理發展,由於氮化物角落 比底部氮化物暴露電漿經歷更長時間或更長的過度蝕刻, 故仰賴重度聚合化學俾獲得氮化物的高度選擇性(換言之 [氧化物蝕刻速率]/[氮化物蝕刻速率]之比)。為了達成高度 角落選擇性,具有高C/F原子比之氣體如c4F8用於SAC蝕 刻。此外,報告添加CH#或CHf2俾提供角落選擇性。 不幸使用此寻氣體進彳亍雨度選擇性SAC餘刻也伴隨有 餘刻擔止現象,此時蝕刻不完全,由於快速聚合而鍅刻止 於孔洞中央,特別於閘極電極間的狹窄開縫。開縫寬度將 隨著LSI之最小結構大小的收縮變窄。如此更難以維持角落 選擇性而未遭遇開縫的餘刻擋止現象。 它方面,當氮化物角落暴露出時,閘極間窄開縫之氧 化物經連續蝕刻,氧釋放出,而減慢氮化物角落之聚合物 形成。也已知通常於小型疊置氮化物角落觀察的較低選擇 部分,於最惡劣情況下此種現象造成電短路問題。
五、發明說明(2 ) 當钱刻參數須於窄處理窗控制時,姓刻擋止現象特別 成問題。如此需要極為密切控制姓刻參數。它方面強力 優異的富碳聚合反應經常造成其它問題,例如緊密圖樣與 隔離圖樣間姓刻侧緣之微小負載,姓刻速率緩慢、產出量 低、以及進行濕清潔間的平均時間(mtbwc)短。 習知必須分開周邊㈣刻方法或島接觸㈣方法與 SAC姓刻,原因在於當同時且使用相同方法姓刻時,由於 強力優異富碳聚合反應造成極為難以獲得垂直側繪曲線以 及維持足夠良好的接觸電阻。 習知SAC蝕刻方法採用雙極環磁控管反應性離子蝕刻 (drm〇RIE)。&DRMRIE,t⑽子密度被視為中密度且係 為10'1〇】】離子/立方厘米。如此提供一種具有高度選擇性 之極為穩疋且可重複再現的電漿。不幸習知七途具有低蝕 刻速率問題,造成由蝕刻處理所得的角落太過尖銳。習知 蝕刻使用50-60毫托耳壓力及丨300_丨5〇〇瓦射頻功率產生的 電漿。 經濟部智慧財產局員工消費合作社印製 此外,於習知蝕刻方法,達成較高選擇性之方式係經 由提高底部溫度(蝕刻層底部溫度)及降低功率。不幸此二 程序傾向於造成前文說明之蝕刻擋止問題。 下表1提供於習知實施之SAC蝕刻方法存在的多項議 題摘要。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 495877 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 表1 : SAC蝕刻之多項處理議題/要求表 處^里議題 SAC蝕刻周圍處理 整合要求 SAC蝕刻處理要求 (i)w插基與互連 線間之漏電流 將互連線之未對準 減至最低 控制接觸孔頂部 之餘刻CD-偏差 (2) +元全姓刻至 ^夕基板 獲得最理想之細胞 佈局而具有足夠接 觸空間_ 有夠大姓刻能力 間的電短路 獲得閘蓋/間隔體 之最理想厚度及材 料,藉光微影蝕刻 方法控制疊置量為 儘可能較大 產生富碳聚合物 俾對氮化物或氧 氤化矽獲得高度 選擇性 (^)島接觸孔(若 存在)上的小型底 CD N/A 獲得最理想蝕刻 化學 (5)緻岔區與隔離 區間之姓刻側緣 νΤα~' 獲得最理想蝕刻 化學 如此需要有一種SAC蝕刻方法其可避免蝕刻擋止現 象,同時維持高度選擇性及高蝕刻速率且具有大型處理窗。 發明揭示 如此本發明之一目的係提供一種SAC蝕刻方法,其提 供兩度氧化矽/氮化矽選擇性同時避免蝕刻擋止現象。 本發明之又一目的係提供一種SAC蝕刻方法,其提供 於大型處理窗之高度蝕刻速率及高選擇性。 本發明之又一目的係提供一種可進行Sac蝕刻方法, 同4提供具有島接觸壁之高度垂直性之島接觸蝕刻。 此等及其它本發明之目的經由發現一種方法而予滿 足’該種自我對準接觸(SAC)蝕刻方法包含: a)使用含有第一種全氟化碳以及一氧化碳之第一蝕刻 氣體蝕刻具有氧化矽層與存在於晶圓上之氮化矽層之晶 圓,以及 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) #· I I I —9 n ί ϋ J ,· ϋι ϋ ϋ-1 ϋ_1 I I 言 ^ (請先閱讀背面之注意事項再填寫本頁) 6 495877 A7 B7 經濟部智慧財產局員工消費合作社印製
五、發明說明(4 b)使用包含第二種全氟化碳之第二蝕刻氣體於無一氧 化碳存在下蝕刻具有經初步蝕刻氮化矽於其上之所得晶 圓。 圖式之簡單說明 經由參照後文說明連同附圖一起考慮將更方便了解本 發明及其附隨之優點,附圖中: 第1圖適合用於實施本方法之DRMRIE系統之示意圖。 第2圖顯示欲藉本方法蝕刻之晶圓具體實施例之示意 代表圖。 第3A圖顯示於本方法之去除光阻導致之缺陷蝕刻以 及第一蝕刻階段後SAC及島接觸之示意代表圖。 第3B圖顯示於本方法之第二蝕刻階段後SAC及島接 觸之示意代表圖。 第3C圖顯示於本方法之一具體實施例之最終氮化物 去除步驟後SAC及島接觸之示意代表圖。 第4A及4B圖為視圖用以顯示實際蝕刻產物之電子顯 微相片,明白顯示角落之平順圓度以及蝕刻壁之高度垂直 性。 第5圖顯示電晶體閘之放大視圖。 執行本發明之最佳模式 本發明係有關一種蝕刻較佳用於製備SAC及垂直島接 觸之方法,該方法提供高度氮化矽選擇性伴以SAC蝕刻之 平順圓化形狀以及島接觸之接近垂直壁。本方法使用以高 射頻功率於低壓下產生之高能電漿之二階段式蝕刻方法。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------^---------^ (請先閱讀背面之注意事項再填寫本頁)
五、發明說明(5 ) 本方法之二步驟通常可描述為(1)最初階段使用全氟 化碳與一氧化碳氣體的組合接著為(2)第二階段於無一氧 化碳存在下使用全氟化碳氣體。兩種階段可於相同射頻功 率及壓力或於不同射頻功率、不同壓力或二者進行。 至於全氟化碳(PFC)氣體,可使用任一種習知用於蝕刻 之全氟化碳。較佳PFC為任一種具有實驗式CsF6,QF6, CJ8或CsF8之任一者,更佳具有下式及結構式:
F F F----
F V c4fs 最佳為c-C4F8。 本發明方法之第一階段組合PFC氣體及一氧化碳(c〇) 氣體。較佳第一階段組合兩種氣體與惰性載氣如氬氣及氧 氣(〇2)或氮氣(N2)。 本方法之第二階段使用如同第一階段之類似反應性氣 體混合物而不存在有一氧化碳。 第及第一階段可使用相同PFC氣體或不同PFC氣 體第及第一階段可於不同且分開步驟進行,DRMRIE 裝置與各步驟間關閉俾改變反應氣體氣氛,或可藉過渡期 連…’其中DRMRIE裝置連續操作,同時氣氛改變且平衡, 結果獲得該方法之第一與第二階段間之過渡階段。 本紙張尺度_ + _家標準(CNS)_A4規格(210 X 297公爱) 495877 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(6 當進行本發明方法時,任何尺寸的標靶皆可使用,以8 吋晶圓為佳。於較佳8吋晶圓具體實施例中,較佳產生電漿 之射頻功率為1700瓦或以上於30毫托耳或以下之壓力。於 本發明條件下,於第一階段(PFC及CO)之TEOS或BPTEOS 蝕刻速率約為6000埃/分鐘,比較習知蝕刻速率3800-4000 埃分鐘。 當使用本發明方法形成島接觸時,本方法提供接近垂 直壁。用於TEOS(由四乙氧矽烷藉電漿CVD沉積之氧化物 層),本方法提供壁角度87.5-88度,比較習知蝕刻之84-85 度(此處90度為完美垂直壁)。與使用之層材料無關,本方 法提供壁角度之顯著改良。例如使用本方法蝕刻 BPTEOS(TEOS摻雜6重量%硼及4重量0/〇磷酸鹽及於 850-950艽退火)獲得壁角度約88.5度,比較習知方法之87.5 度。(於蝕刻技藝,當趨近於90度時每改良1度造成顯著差 異)。使用AMAT十億填補(Gigafill)(得自加州應用材料及技 術公司之類似市售產品)獲得類似結果。 本發明之蝕刻氣體中,惰性載氣可為任一種適合用於 電漿蝕刻之惰性氣體,較佳為氬、氦或氙較佳為氬。氣體 混合物可為氧氣或氮氣。當使用氮氣時氮氣進給速率較佳 比通常使用之氧氣進給速率高10倍。 各階段之較佳氣體進給速率(以標準立方厘米SCCM 表不)為· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 9 經濟部智慧財產局員工消費合作社印製 495877 A7 一 · B7 五、發明說明(7 ) 階段 PFC CO 惰性氣體 〇2 (n2) 1 20-27 50-100 150-300 7-12 (70-120) 2 10·20 0 450-550 5-10 (50-100) 最佳氣體進給速率為: 階段 PFC CO 惰性氣體 〇2 1 25 50 250 1〇 2 20 〇 500 1〇 方法中,PFC/〇2流量比比較絕對流速更為重要。較佳 PFC/Oj量比為2:1至3:1,特別PFC為C4F8。 又於本發明方法中重要地為晶圓底部溫度。較佳底部 溫度為5 0 - 6 0 °C。 本發明方法較佳係使用第1圖說明之雙極環磁控管反 應性離子蝕刻(DRMRIE)系統施行。一個具體實施例中,有 32塊雙極磁鐵1〇各自有60至280高斯磁力。32塊雙極磁鐵以 約20 rpm速度環繞鋁本體處理腔室20旋轉,產生平均120 高斯磁場。此種磁場由於ExB的漂移而加強電漿密度。處 理腔室20使用渦輪分子泵(圖中未顯示)減壓而產生預定處 理壓力。射頻功率13.56百萬赫茲下電極30配備有靜電卡盤 用以卡住晶圓,使用背側氦氣冷卻來控制晶圓5〇背側溫度 至50-60°C。反應處理氣體經上電極40氣體分布而被導入蝕 刻腔室。 第2圖顯示欲藉本方法蝕刻之晶圓50之具體實施例之 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------^---------^. (請先閱讀背面之注意事項再填寫本頁) 10 經濟部智慧財產局員工消費合作社印製 495877 _ A7 B7 五、發明說明(8 ) 示意代表圖。試驗晶圓50較佳堆疊成800毫微米光阻5 1/10 至100毫微米TEOS 52/250毫微米BPTEOS 53/多晶閘(如第 5圖所示係由多晶矽59及矽化鎢58組成)/矽基板54具有0.20 微米至0.27微米孔隙大小。閘部分包含氮化物蓋55,氮化 物間隔體56係於氮化物蓋55之任一側上,總成係由氮化物 觀層57包圍。 氮化物蓋可為任一種氮化物材料較佳為氮化物或氮化 物/氧氮化矽。氮化物蓋厚度較佳為200-250毫微米,以230 毫微米對氧氮化矽而言為較佳。氮化物間隔體較佳厚60毫 微米,氮化物襯墊較佳厚30毫微米。 於第3A圖,顯示於本發明方法之初步去除光阻造成的 缺陷蝕刻以及第一蝕刻階段後之SAC及島接觸(也稱作「突 破」蝕刻)。圖中BPTEOS材料53已經被蝕刻至低抵氮化物 蓋55及氮化物間隔體56角落,突破氮化物襯墊57。此種蝕 刻步驟經進行而提供蝕刻至氮化物蓋角落下方100-1000埃 高度。 於第3B圖,該方法進行至第二蝕刻階段後,於氮化物 55角落有約220埃標靶耗損,開始顯示角落之良好圓化度。 氮化物襯墊57仍然存在於SAC及島接觸之蝕刻井底面。 於第3 C圖,進行最終氮化物去除步驟而由#刻位置去 除剩餘過量氮化物襯塾5 7,氮化物的去除也部分餘刻入基 板支持體(矽晶圓54)至約200埃高度。 第4A及4B圖為實際蝕刻產品之電子顯微相片,明白顯 示角落之平順圓度以及蝕刻壁之高度垂直性。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I I I I · I--I--—訂------— II (請先閱讀背面之注意事項再填寫本頁) 11 經濟部智慧財產局員工消費合作社印製 495877 A7 ---- 五、發明說明(9 ) 本方法之較佳具體實施例始於使用PFC及氧氣(或氮 氣)之初步去除光阻導致缺陷蝕刻步驟經歷1〇秒或以下。接 著為述二階段式鍅刻,伴以最末氮化物姓刻。本發明之 第一階段蝕刻係進行一段足夠蝕刻至氮化物蓋角落下方 100-1000埃高度(參考第3A圖)。較佳於二階段進行蝕刻處 理’故矽化物角落與蝕刻鋒間至少維持3〇〇埃間隔距離。石夕 化物角落與蝕刻點間距較佳至少為600埃及最佳為800_9〇〇 埃。於終產物,於蝕刻階段及最末底部氮化物去除後,多 晶閘上的角落氮化物有250-350埃氮化物由前述厚度去除。 第二階段餘刻施行而提供氮化物角落具有圓化性質俾 維持多晶閘極之足夠距離。進行最末氮化物蝕刻俾完成處 理以及清除剩餘氮化物保護層。 本發明之二階段式方法可用於任一型SAC蝕刻,包括 但非限於淺SAC至深SAC。此外,如前述,本方法可用於 島接觸蝕刻俾獲得更為接近垂直壁。本發明之一種獨特特 徵為’其可同時使用相同條件進行SAC蝕刻及島接觸姓 刻,同時於SAC蝕刻之處理窗以及島接觸蝕刻之壁垂直度 二者獲得絕佳結果。 使用單一階段式P F C方法,僅使用以高射頻功率及低 處理壓力產生的高能離子之一氧化碳組合電漿,提供垂直 姓刻側繪曲線且解決緻密區與隔離區間的顯微負載問題, 但角落周圍的蝕刻側繪曲線太尖銳。依據光罩之對準(或未 對準)而定,如此導致多晶閘極間距不足以絕緣。為了於角 落獲得圓化形狀以及多晶閘極角落間的足夠距離,本發明 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 12 495877 A7 B7 經濟部智慧財產局員工消f-合作社印製 五、發明說明(i〇) 於第二階段未使用一氧化碳電漿。 使用本發明之二階段式方法,習見富碳聚合物沉積於 氮化矽角落上,以及於末端圖樣上於極為隔離區之任何蝕 刻側繪顯微負載未出現,即使於第二步驟未使用一氧化碳 電漿亦如此。 實例 實例1 至於本發明之典型例,於後文對高蝕刻速率SAC蝕刻 處理之蝕刻配方之一,同時進行垂直島接觸蝕刻。 蝕刻配方(1): 除去光阻導致之缺陷蝕刻:40毫托耳1400瓦 C4F8/Ar/02=80/160/20標準立方厘米,7秒 lid蝕刻-1 ·· 30毫托耳1700瓦 C4F8/C0/Ar/02=25/50/250/I0標準立方厘米 60/60°C (注意本例及隨後各實例中如此表示頂電極溫 度以及陰極壁溫) 背側氦氣C/E=7/30T,45秒 ILD 蝕刻-2 : 60 毫托耳 1700 瓦 C4F8/CO/Ar/02 = 20/00/500/10標準立方厘米,60/60°C 背側氦氣C/E=7/30T,75秒 氮化物蝕刻:50毫托耳800瓦CHF3/Ar/02=10/100/20 標準立方厘米, 製程間隙=37毫米, 背側氦C/E=7/40T,9秒 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^--------^---------^ (請先閱讀背面之注意事項再填寫本頁) 13 495877 A7
本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) 14 495877 A7 〇 發明說明(I2 ) 表2:第一階段配方(1>之處理操作範
表3 :第二階段配方(1)之處理操作範圍 壓力(毫 托耳) 射頻功率 (瓦) CF (seem) CO (seem) Ar (seem) 〇2 (seem) 丄毫米、 較佳 60 1700 10 0 500 5 27 低 50 1700 10 0 450 5 27 高 60 2000 20 0 600 10 47 ---- --------------裝--- (靖先閱讀背面之注意事項再填寫本頁} · 表4:配方(1)之處理溫度操作範圍 頂溫及壁溫(°c) 底溫(°C ) C背側氦(托耳) E背側氦(技耳) 較佳 60 60 7 40 低 50 50 5 30 高 70 80 10 50 經濟部智慧財產局肩工消費合作社印製 實例2 與蝕刻配方(2)同時使用垂直島接觸蝕刻之高度選擇 性SAC蝕刻技術: 去除光阻導致之缺陷蝕刻:40毫托耳 1400瓦 CF4/Ar/0=80/160/20標準立方厘米,7秒 lid 蝕刻-1 : 50 毫托耳 1700 瓦 C5F8/C0/Ar/02= 10/150/480/6標準立方厘米 60/60 °C ,背側氦氣 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 15 495877 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(13 ) C/E=7/40T,122秒 ILD 蝕刻-2 ·· 50 毫托耳 1500 瓦 C5F8/CO/Ar/02 = 6/00/500/6標準立方厘米,60/60°C背側氦氣C/E=7/40T, 70秒 氮化物蝕刻:50毫托耳800瓦CHF3/Ar/02= 10/100/20 標準立方厘米,背側氦氣C/E=7/40T,9秒,製程間隙=37 毫米 蝕刻配方(2)包括C5F8化學,由於具有較佳c/F原子比 故對氮化矽比C4F8敏感,可產生較為碳豐富的聚合反應。 但前述蝕刻配方(2)於晶圓上可達成垂直接觸側繪,於0.27 微米孔大小具有87度壁。 習知使用C5F8化學之高度選擇性SAC蝕刻獲得略為錐 形的側繪,於0.27微米孔大小約82-84度。故本發明之接觸 電阻極為改良,使用前述本發明方法獲得遠更改良的裝置 功能。 於SAC部分側繪,使用蝕刻配方(2)於多晶閘極角落間 最短距離確保於底部氮化物去除後邊際比習知SAC蝕刻配 方長約2.0倍。此外於本實例2配方,結果所得氮化物角落 於全體晶圓大於620埃。 基於熱焓Δ-Η研究C5F8解離狀態結果,已知C5F8主要 係解離成為CF及CF2基團,於電漿(3)產生更為富碳元素。 此外已知氟化碳基團與一氧化碳反應而減少來自本體電漿 (4)之氟。 蝕刻配方(2)敘述之c5F8化學對高度選擇性SAC蝕刻獲 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ~ --------^---------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 495877 . A7 B7 五、發明說明(l4 ) 得最理想化聚合,與本體電漿之一氧化碳反應獲得更多富 碳基團例如CF及CF2,以及同時使用垂直島接觸蝕刻獲得 高度選擇性SAC蝕刻。 蝕刻配方(2)描述之C5F8化學獲得閘極間的小開縫,最 小底維度為0.05微米,不含任何因最理想化聚合以及與一 氧化碳反應效果導致的任何蝕刻擋止。本發明方法不僅可 > 增加處理邊際,同時也可增加對未來SAC處理計劃的處理 能力。 此外比較習知SAC蝕刻,本二階段式蝕刻辦法使用蝕 刻配方(2)使用C5F8化學可延長MTBC約兩倍及兩倍以上。 實例3 同時進行高度選擇性高蝕刻速率S A C蝕刻技術與垂直 島接觸蝕刻。 餘刻配方(3): 去除光阻導致之缺陷蝕刻:40毫托耳 1400瓦 1 CF4/Ar/0= 80/160/20標準立方厘米,7秒 ILD 蝕刻-1 : 30 毫托耳 1700 瓦 C4F8/C0/Ar/02= 25/50/250/10標準立方厘米 60/60 °C ,背側氦氣 C/E=7/30T,45秒 ILD 蝕刻-2 ·· 50 毫托耳 1500 瓦 C5F8/CO/Ar/02二 10/00/500/10標準立方厘米, 60/60 °C背側氦氣 C/E=7/30T,90秒 氮化物蝕刻:50毫托耳800瓦CHF3/Ar/02= 10/100/20 標準立方厘米,製程間隙=37亳米,背側氦氣C/E=7/40T, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 17 ^--------^----------^ (請先閱讀背面之注意事項再填寫本頁) 495877 A7 B7_ 五、發明說明(15 ) 9秒 (請先閱讀背面之注意事項再填寫本頁) 本實例組合實例1之C4F8化學效果與實例2 C5F8化學 效果,同時獲得高度選擇性之高蝕刻速率SAC蝕刻與垂直 島接觸蝕刻。 如此蝕刻配方(3)為獲得目前ULSI製造的整體解決之 道之最終SAC蝕刻技術範例。 進一步蝕刻配方說明如後。此等配方維持距多晶閘角 落約700,比習知SAC方法長約2.0倍。 蝕刻配方(4): 去除光阻導致之缺陷蝕刻:40毫托耳 1400瓦 CF4/Ar/0= 80/160/20標準立方厘米,7秒 第一階段:30 毫托耳 1700 瓦 C4F8/C0/Ar/02= 20/50/250/10標準立方厘米背側氦氣C/E=10/40T,45秒 經濟部智慧財產局員工消費合作社印製 第二階段:45 毫托耳 1800 瓦 C5F8/C0/Ar/02= 10/00/500/10標準立方厘米背側氦氣C/E=10/40T,85秒 氮化物蝕刻:50毫托耳800瓦CHF3/Ar/02= 10/100/20 標準立方厘米,製程間隙=37毫米,背側氦氣C/E=7/40T, 12秒 餘刻配方(5) ·· 去除光阻導致之缺陷蝕刻:40毫托耳 1400瓦 CF4/Ar/0二80/160/20標準立方厘米,7秒 第一階段:30 亳托耳 1700 瓦 C4F8/C0/Ar/02= 20/50/250/10標準立方厘米背側氦氣C/E=10/40T,45秒 第二階段:45 毫托耳 1800 瓦 C5F8/C0/Ar/02/CF4= 18 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ♦ 495877 - A7 ------ B7 五、發明說明(16 ) 15/00/500/15/10標準立方厘米,背側氦氣C/E=10/40T 75秒 氮化物蝕刻:50毫托耳800瓦CHF3/Ar/02= 10/100/20 標準立方厘米,製程間隙=37毫米,背側氦氣c/e=7/40t, 12秒 --------------裝--- (請先閱讀背面之注意事項再填寫本頁) 表6 :配方(3)、(4)及(5)之處理溫度操作範圍 頂溫及壁溫(°c) 底溫(°C ) C背側氦(托耳) E背側氦(托耳) 較佳 60 60 7 40 低 50 50 5 30 高 70 80 10 50 訂: 表5 :配方(3)、(4)及(5)之第二階段之處理操作範圍 1力(毫 托耳) 射頻功 率(瓦) CF (seem) CO (seem) Ar (seem) 〇2 (seem) cf4 (seem) 間隙 (毫米y 較佳 45 1800 15 0 500 15 10 27 低 40 1500 6 0 400 5 0 27 高 50 2000 20 0 600 20 10 47 ;線- 經濟部智慧財產局員工消費合作社印製 單純提高CsF8及氧氣之氣體流速同時保持如同配方(3) 第二階段的相同比例,結果獲得蝕刻擋止現象始於狹窄開 缝’特別C^+CVAr之氣體比大於5%,而與氧化物蝕刻速 率以及氮化物選擇性二者的升高事實無關。為了消除於較 南氣體流速範圍或較高氣體比之蝕刻擋止問題,混合額外 一種氣體(至少)其容易產生CFS+離子如CF4、c2F6及c3F8。 如此使用配方如配方(5)之SAC蝕刻可成功地進行而未出 現蝕刻擋止。配方(5)第二階段之蝕刻速率於TE〇s晶圓約 為6000埃/分鐘,該速率比習知sAC蝕刻配方速率快約 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 19 495877 A7 B7 五、發明說明(17 ) 倍。 使用CJ6之姓刻配方,該配方比C5F8更為富碳化學, 同前述使用CJ6替代c5F8之例。 獲得晶圓之如下性質: (厚度係以埃表示) c5f8處理:
晶圓 11-45亳托耳/1800瓦/10 c5F8/500 Ar/10 O2/60°C 底溫/85秒 結果·中心 780-860 (2sac),730-840 (3sac) 邊緣700-840 (2sac),700-840 (3sac) 晶圓 5-45 毫托耳/1800 瓦/11 C5F8/500 Ar/9 O2/60°C 底溫/85秒 結果:中心 810-930 (2sac),760-930 (3sac) 邊緣970-1 190 (2sac),910-1050 (3sac) 晶圓 6-45 毫托耳/1800 瓦/9 C5F8/500 Ar/11 O2/60°C 底溫/85秒 結果··中心 650-690 (2sac),690-740 (3sac) 邊緣700-750 (2sac),690-750 (3sac)
晶圓 9-45 毫托耳/1800 瓦/15 C5F8/500 Ar/15 〇2/1〇 CF4/60°C 底溫/75秒 結果:中心710-780 (2sac),700-780 (3sac) 邊緣900·1130 (2sac),820-910 (3sac)
晶圓 8-45毫托耳/1800瓦/12 C5F8/500 Ar/8 O2/60°C 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨丨——% (請先閱讀背面之注意事項再填寫本頁) 訂---------線. 經濟部智慧財產局員工消費合作社印製 20 495877 A7 B7 經濟部智慧財產局員工消費合作社印製
五、發明說明(IS ) 底溫/85秒 結果:蝕刻擋止 C4F6處理: 晶圓 12-45 毫托耳/1800 瓦/10 C4F6/500 Ar/10 O2/60°C 底溫/85秒 結果:中心740-780 (2sac),720-820 (3sac) 邊緣760-920 (2sac),730-910 (3sac) 晶圓 1-45毫托耳/1800瓦/11 C4F6/500 ArV9 O2/60°C 底溫/85秒 結果:中心740-850 (2sac),730-900 (3sac) 邊緣820-950 (2sac),720-1080 (3sac) 晶圓 2-45 毫托耳/1800瓦/9 C4F6/500 Ar/11 O2/60°C 底溫/85秒 結果:中心 700-800 (2sac),700-850 (3sac) 邊緣700-770 (2sac),700-780 (3sac) 晶圓 3-45 毫托耳/1800 瓦/11 C4F6/500 Ar/11 O2/60°C 底溫/85秒 結果:中心 890-900 (2sac),760-860 (3sac) 邊緣970-1000 (2sac),740-1010 (3sac)
晶圓 4-45 毫托耳/1800 瓦/15 C4F6/500 Ar/15 〇2/10 CF4/60°C 底溫/75秒 結果:中心 740-860 (2sac),770-840 (3sac) 邊緣910-1020 (2sac),740-1010 (3sac) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 21 ^--------^---------^ (請先閱讀背面之注意事項再填寫本頁) 495877 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(19 )
晶圓 7-45 亳托耳/1800 瓦/12 C4F6/500 Ar/8 O2/60°C 底溫/85秒 結果:姓刻擔止 根據本發明所得資料提供下列結論: c5f8化學: 1· C5F8/〇2氣體比最佳為〇.818至1222。 2· C5F8+〇2/Ar之氣體比較佳為〇.〇2至〇.〇5及更佳為 〇_〇3 至 〇.〇4。 C4F6化學: 1.〇4?6/〇2氣體比最佳為〇.818至1 222。 2· QFdCVAr之氣體比較佳為〇·〇2至〇·〇5及更佳為 〇.〇3 至 〇.〇4。 3.如前文對QF8化學案例所述,當c4F6+02/Ar大於 0.05時’需要額外氣體例如cf4、c2F6、C3F8或 C4Fi〇(CnF2n+2)。 由於使用C^F6之配方與使用c5F8之配方間並無重大差 異’故任一種配方為SAC蝕刻技術用於目前沉81製造之整 體解決之道之實例。此等蝕刻配方也可應用至其它方法例 如通孔接觸法或雙重鑲嵌法。 隨著裴置積體程度的增高以及裝置結構大小的縮小, 對SAC方案而言變成愈來愈難以將絕緣材料填補於閘極間 的小開縫。也已知經由佈線電容引起的互連延遲將大增而 造成LSI電路性能的低劣。 為了解決下一代SAC方案之問題,預期應用具有以〇1^ 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) --------1—I—1 (請先閱讀背面之注意事項再填寫本頁) 22 495877 A7 B7 經濟部智慧財產局員工消費合作社印製
五、發明說明(2〇 ) 或SiOF作為主要成份之SOG薄膜。 用於新SAC方案,前述蝕刻方案之ILD-1步驟所述PFC 及C0組合電漿,或前述蝕刻方案之ILD-2步驟所述不含一 氧化碳組合電漿可如同習知氧化物般蝕刻SOG薄膜。 此外經由使用氮氣置換氧氣,此等二步驟式蝕刻辦法 可對習知氧化物方案,以及新材料作為SiOH或SiOF主要成 份實現同時SAC蝕刻與接觸蝕刻技術。 因此本發明方法為ULSI製造上的有用先進SAC蝕刻 技術,原因在於SOG薄膜之蝕刻速率幾乎與習知氧化物薄 膜,例如PSG、NSG、TEOS及熱生長氧化矽之蝕刻速率相 等。 唯有於CMP處理步驟發生某些處理問題。因此晶圓(50) 之薄膜堆疊並非經常確切相同。以第2圖為例,光阻下方的 TEOS(52)依各晶圓可略有薄膜變化。然後若各晶圓間的薄 膜變化大半存在於進給材料,則SAC蝕刻可藉終點偵測系 統控制而去除蝕刻過程的任何錯誤。 用於第一階段蝕刻,於含一氧化碳電漿之例,C-F2基 團(260毫微米)及C_N基團(3 87毫微米)光譜極為可用於偵 測氮化物蓋(55)上方之氮化物襯墊(5 1)之第一終點。以及用 於第二階段蝕刻,C-F2基團(260毫微米)及C-0基團(219毫 微米)極為可用於對底氮化物襯墊(57)偵測第二終點。此 外,當蝕刻氧化物膜時,產生C-0作為副產物,因此於本 發明於第二階段蝕刻配方之不含一氧化碳電漿具有遠更容 易偵測終點的另一項優點。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 裝--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 23 495877 A7 B7 五、發明說明(21 ) 其它發射光譜說明如下:
CF cf2 CO
CN 240毫微米 252毫微米 275毫微米 239毫微米 331毫微米 484毫微米 359毫微米 693毫微米 256毫微米 255毫微米 249毫微米 349毫微米 520毫微米 41 8毫微米 709毫微米 263毫微米、271毫微米 283毫微米 370毫微米 561毫微米 422毫微米 785毫微米 313毫微米 451毫微米 647毫微米 !¾ (請先閱讀背面之注意事項再填寫本頁) 元件標號對照 經濟部智慧財產局員工消費合作社印製
10…雙極磁鐵 20···處理腔室 30…下電極 40…上電極 50…晶圓 51…光阻 52---TEOS 53---BPTEOS 54…矽基板 55…氮化物蓋 56…氮化物間隔體 57…氣化物襯塾 58…矽化鎢 59…多晶石夕 訂---------線· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 24
Claims (1)
- 經濟部智慧財產局員工消費合作社印製 申請專利範圍 L 一種自我對準接觸(SAC)㈣方法,該方法包含: 幻使用含有第一種全氟化碳以及-氧化碳之第一 姓刻氣體姓刻具有氧化石夕層與存在於晶圓上之氮化石夕 層之晶圓,以及 尸b)使用包含第二種全氟化碳之第二㈣氣體於無 一氧化碳存在下_具有經初步#職切於其上之 所传晶圓。 2·如_請專利範圍第1項之方法,其中步驟a)及步驟b)各 自係於大於15GG瓦之電漿產生射頻功率以及5〇毫托耳 或以下之壓力進行。 3·如申请專利範圍第i項之方法,其中步驟心係於至少 1700瓦之電漿產生射頻功率以及3G毫托耳或以下之壓 力進行。 4·如申凊專利乾圍第】項之方法’其中步驟b)係於至 1700瓦之f漿產生射頻功率以及⑽毫托耳或以下之 力進行。 •如申吻專利範圍第3項之方法,其中步驟b)係於至 1500瓦之電聚產生射頻功率以及5〇毫托耳或以下之 力進行。 6·如申印專利範圍第丨項之方法,其中步驟〇係於足夠產 生至>、^6000埃/分鐘之蝕刻速率之電漿產生射頻功率 及壓力進行。 7·如申印專利範圍第丨項之方法,其中第一種全氟化碳與 第二種全氟化碳相同。 1 X 297公釐) -25 少 壓 少 .壓. . --------^--------- (請先閱讀背面之注意事項再填寫本頁) 、、申請專利範圍 •如申請專利範圍第i項之方 第二種全氣化碳彼此不。其中第一種全氣化碳病 9·如申請專利範圍第W之方法中第—種全氣 CJ6、C4F6、C4FptC5F8。 厌马 1〇·如申請專利範圍請之方法,其中第:種全氟化 C3F6、c4F6、C4F84C5F8。 、、 A 經濟部智慧財產局氰工消費合作社印製 u::::_9項之方法’其為 '::範㈣。項之方法’其中第二種全一 13.如申請相範㈣1項之方法,其中該方法進-步包含: 於步驟a)之前錯総導狀缺陷,其巾該去除光 阻導致之缺陷係使用第三種全敦化碳以及氧氣或氣氣 中之任一種蝕刻氣體進行一段10秒或以下的時間,其中 該第三種全氟化碳可與第一或第二種全敦化碳相同或 相異。 14·如申請專利範圍第1項之方法,其中該方法進-步包含. 於最終氮化物蝕刻去除氮化物,其中該最終氮化物 钱刻係於步驟b)後進行-段足夠去除過量氮化物之時 間’以及蝕刻矽晶圓至至多約200埃高度。 α如申請專利範圍第1JM之方法,其中第_蝕刻氣體包含 第一種全氟化碳及一氧化碳以及進_步包含—種惰性 氣體以及一種選自氧氣及氮氣組成的組群之氣體。 ^如申請專利範圍第15項之方法,其中第_#刻氣 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 26 495877 A8 B8 C8 D8 六、申請專利範圍 20-27標準立方厘米(seem)第一種全氟化碳,5〇-1〇〇 seem—氧化碳,150-300 sccm惰性氣體以及7_12 sccm 氧氣之混合物。 17·如申請專利範圍第15項之方法,其中第一蝕刻氣體為 20-27標準立方厘米(seem)第一種全氟化碳,5〇-1〇〇 seem—氧化碳,150-300 seem惰性氣體以及7(M2〇 sccm 氮氣之混合物。 18.如申請專利範圍第1項之方法,其中該第二蝕刻氣體包 含第二種全氟化碳,以及進一步包含一種惰性氣體以及 一種選自氧氣及氮氣組成的組群之氣體而實質上不存 在於一氧化碳。 19·如申清專利範圍第18項之方法,其中該第二姓刻氣體包 含10-20 sccm第二種全氟化碳,45〇_55〇 sccm惰性氣 體,5-10 seem氧氣之混合物。 20·如申請專利範圍第18項之方法,其中該第二蝕刻氣體包 含10-20 sccm第二種全氟化碳,45〇-55〇 sccm惰性氣 體,50-100 seem氮氣之混合物。 21 ·如申請專利範圍第15項之方法,其中該第一蝕刻氣體包 含第一種全氟化碳以及氧之流量比為2:1至3:1。 22·如申請專利範圍第18項之方法,其中該第二蝕刻氣體包 含第二種全氟化碳以及氧之流量比為2:1至3:1。 23·如申請專利範圍第1項之方法,其中該晶圓於整個步驟 a)、步驟b)或二者係維持於底溫5〇-60°C。 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐) f f先閱讀背面之注意事項再填寫本頁) 鮝 訂---------線, 經濟部智慧財產局員工消費合作社印制衣 27
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US56988200A | 2000-05-12 | 2000-05-12 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW495877B true TW495877B (en) | 2002-07-21 |
Family
ID=24277282
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW090111327A TW495877B (en) | 2000-05-12 | 2001-05-11 | Method of high selectivity SAC etching |
Country Status (6)
Country | Link |
---|---|
US (2) | US7030029B2 (zh) |
EP (1) | EP1281193A2 (zh) |
JP (1) | JP4852213B2 (zh) |
KR (1) | KR100759602B1 (zh) |
TW (1) | TW495877B (zh) |
WO (1) | WO2001086701A2 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE202015102094U1 (de) | 2015-04-27 | 2015-05-12 | Liu Kuo-Lung | Multifunktionales Rudergerät |
Families Citing this family (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1249788C (zh) * | 2000-12-21 | 2006-04-05 | 东京毅力科创株式会社 | 绝缘膜的蚀刻方法 |
KR100400033B1 (ko) * | 2001-02-08 | 2003-09-29 | 삼성전자주식회사 | 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법 |
US6787475B2 (en) | 2001-09-06 | 2004-09-07 | Zhuxu Wang | Flash step preparatory to dielectric etch |
US6955914B2 (en) * | 2002-04-10 | 2005-10-18 | Geneohm Sciences, Inc. | Method for making a molecularly smooth surface |
US6790772B2 (en) * | 2002-05-09 | 2004-09-14 | Macronix International Co., Ltd. | Dual damascene processing method using silicon rich oxide layer thereof and its structure |
JP2004327507A (ja) * | 2003-04-22 | 2004-11-18 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
US7425512B2 (en) * | 2003-11-25 | 2008-09-16 | Texas Instruments Incorporated | Method for etching a substrate and a device formed using the method |
KR101202636B1 (ko) * | 2004-02-09 | 2012-11-19 | 고에키자이단호진 고쿠사이카가쿠 신고우자이단 | 반도체 장치의 제조 방법 및 절연막의 에칭 방법 |
US7229931B2 (en) * | 2004-06-16 | 2007-06-12 | Applied Materials, Inc. | Oxygen plasma treatment for enhanced HDP-CVD gapfill |
JP2006196663A (ja) * | 2005-01-13 | 2006-07-27 | Tokyo Electron Ltd | エッチング方法,プログラム,コンピュータ読み取り可能な記録媒体及びプラズマ処理装置 |
US7955515B2 (en) * | 2005-07-11 | 2011-06-07 | Sandisk 3D Llc | Method of plasma etching transition metal oxides |
JP4205734B2 (ja) * | 2006-05-25 | 2009-01-07 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
JP2008078382A (ja) * | 2006-09-21 | 2008-04-03 | Toshiba Corp | 半導体装置とその製造方法 |
JP4257357B2 (ja) * | 2006-09-27 | 2009-04-22 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
JP5568209B2 (ja) * | 2007-03-01 | 2014-08-06 | ピーエスフォー ルクスコ エスエイアールエル | 半導体デバイスの製造方法および製造装置 |
US8222704B2 (en) * | 2009-12-31 | 2012-07-17 | Nantero, Inc. | Compact electrical switching devices with nanotube elements, and methods of making same |
US8603363B1 (en) * | 2012-06-20 | 2013-12-10 | Praxair Technology, Inc. | Compositions for extending ion source life and improving ion source performance during carbon implantation |
US9064801B1 (en) | 2014-01-23 | 2015-06-23 | International Business Machines Corporation | Bi-layer gate cap for self-aligned contact formation |
JP2016157793A (ja) | 2015-02-24 | 2016-09-01 | 東京エレクトロン株式会社 | エッチング方法 |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4904621A (en) * | 1987-07-16 | 1990-02-27 | Texas Instruments Incorporated | Remote plasma generation process using a two-stage showerhead |
JP3778299B2 (ja) * | 1995-02-07 | 2006-05-24 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US5635418A (en) * | 1995-03-23 | 1997-06-03 | Micron Technology, Inc. | Method of making a resistor |
US6066555A (en) | 1995-12-22 | 2000-05-23 | Cypress Semiconductor Corporation | Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning |
US5811357A (en) | 1997-03-26 | 1998-09-22 | International Business Machines Corporation | Process of etching an oxide layer |
US6183655B1 (en) * | 1997-09-19 | 2001-02-06 | Applied Materials, Inc. | Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon |
JPH11186229A (ja) * | 1997-12-18 | 1999-07-09 | Toshiba Corp | ドライエッチング方法及び半導体装置の製造方法 |
US6159862A (en) * | 1997-12-27 | 2000-12-12 | Tokyo Electron Ltd. | Semiconductor processing method and system using C5 F8 |
JP3905232B2 (ja) * | 1997-12-27 | 2007-04-18 | 東京エレクトロン株式会社 | エッチング方法 |
JP2000036491A (ja) * | 1998-05-15 | 2000-02-02 | Fujitsu Ltd | 半導体装置の製造方法 |
US6121098A (en) * | 1998-06-30 | 2000-09-19 | Infineon Technologies North America Corporation | Semiconductor manufacturing method |
US6211092B1 (en) * | 1998-07-09 | 2001-04-03 | Applied Materials, Inc. | Counterbore dielectric plasma etch process particularly useful for dual damascene |
US6033962A (en) * | 1998-07-24 | 2000-03-07 | Vanguard International Semiconductor Corporation | Method of fabricating sidewall spacers for a self-aligned contact hole |
US6168989B1 (en) * | 1999-05-26 | 2001-01-02 | Taiwan Semiconductor Manufacturing Company | Process for making new and improved crown-shaped capacitors on dynamic random access memory cells |
US6221772B1 (en) * | 1999-07-14 | 2001-04-24 | United Microelectronics Corp. | Method of cleaning the polymer from within holes on a semiconductor wafer |
US6451703B1 (en) | 2000-03-10 | 2002-09-17 | Applied Materials, Inc. | Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas |
US6803318B1 (en) * | 2000-09-14 | 2004-10-12 | Cypress Semiconductor Corp. | Method of forming self aligned contacts |
-
2001
- 2001-05-10 JP JP2001582823A patent/JP4852213B2/ja not_active Expired - Lifetime
- 2001-05-10 KR KR1020027015103A patent/KR100759602B1/ko active IP Right Grant
- 2001-05-10 US US10/257,990 patent/US7030029B2/en not_active Expired - Lifetime
- 2001-05-10 EP EP01930027A patent/EP1281193A2/en not_active Withdrawn
- 2001-05-10 WO PCT/JP2001/003897 patent/WO2001086701A2/en active Application Filing
- 2001-05-11 TW TW090111327A patent/TW495877B/zh not_active IP Right Cessation
-
2005
- 2005-08-15 US US11/203,211 patent/US7329610B2/en not_active Expired - Lifetime
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE202015102094U1 (de) | 2015-04-27 | 2015-05-12 | Liu Kuo-Lung | Multifunktionales Rudergerät |
Also Published As
Publication number | Publication date |
---|---|
KR20020094036A (ko) | 2002-12-16 |
JP2003533042A (ja) | 2003-11-05 |
US7030029B2 (en) | 2006-04-18 |
EP1281193A2 (en) | 2003-02-05 |
US20030127422A1 (en) | 2003-07-10 |
WO2001086701A3 (en) | 2002-09-06 |
JP4852213B2 (ja) | 2012-01-11 |
US7329610B2 (en) | 2008-02-12 |
US20050263487A1 (en) | 2005-12-01 |
WO2001086701A2 (en) | 2001-11-15 |
KR100759602B1 (ko) | 2007-09-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW495877B (en) | Method of high selectivity SAC etching | |
TW538476B (en) | Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas | |
US7547635B2 (en) | Process for etching dielectric films with improved resist and/or etch profile characteristics | |
US6670278B2 (en) | Method of plasma etching of silicon carbide | |
US7256134B2 (en) | Selective etching of carbon-doped low-k dielectrics | |
TW546737B (en) | Method of plasma etching organic antireflective coating | |
US5935877A (en) | Etch process for forming contacts over titanium silicide | |
US6297163B1 (en) | Method of plasma etching dielectric materials | |
US6171974B1 (en) | High selectivity oxide etch process for integrated circuit structures | |
TW200405467A (en) | An oxide etching process for etching an oxide layer over a nitride layer | |
KR100255405B1 (ko) | 드라이에칭방법 | |
IL190716A (en) | Method for plasma etching | |
TWI284370B (en) | Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas | |
US6897154B2 (en) | Selective etching of low-k dielectrics | |
Jung et al. | Advanced plasma technology in microelectronics | |
Thomas et al. | ETCHING OF VIAS AND TRENCHES THROUGH LOW k DIELECTRICS WITH FEATURE SIZES DOWN TO 0.1 µm USING MORITM HIGH DENSITY PLASMAS | |
JP3440735B2 (ja) | ドライエッチング方法 | |
US7229930B2 (en) | Selective etching of low-k dielectrics | |
US6653237B2 (en) | High resist-selectivity etch for silicon trench etch applications | |
Janowiak et al. | Etching of organic low dielectric constant material SiLK™ on the Lam Research Corporation 4520XLE™ | |
JP2997142B2 (ja) | 集積回路構造の選択性の高い酸化物エッチングプロセス | |
Ku et al. | Study of the SiO 2-to-Si 3 N 4 etch selectivity mechanism in the presence of polymers in fluorocarbon plasmas |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MK4A | Expiration of patent term of an invention patent |