TW460910B - Method of and apparatus for restoring a support surface in a semiconductor wafer processing system - Google Patents

Method of and apparatus for restoring a support surface in a semiconductor wafer processing system Download PDF

Info

Publication number
TW460910B
TW460910B TW089106839A TW89106839A TW460910B TW 460910 B TW460910 B TW 460910B TW 089106839 A TW089106839 A TW 089106839A TW 89106839 A TW89106839 A TW 89106839A TW 460910 B TW460910 B TW 460910B
Authority
TW
Taiwan
Prior art keywords
support surface
patent application
replacement substrate
item
substrate
Prior art date
Application number
TW089106839A
Other languages
English (en)
Inventor
Gilbert Hausmann
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW460910B publication Critical patent/TW460910B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Description

460910 A7 B7 經 濟 部 智 慧 財 k 局 員 工 消 費 合 作 社 印 製 五、發明說明( 域: 本發明t關於製程前半導體曰曰a圓冑王里系統之工作件 支撐表面的回復方法及設備,更特別的是減少工作件支撐 表面上的累積電荷。 發明昔寻: 靜電夾頭是用來使工作件保有多種應用,包含固定於 半導體晶圓製程反應:!:中的基板(即半導體晶圓)。雖然靜 電夾頌設計上有變化,但他們的原理皆基於施一電壓於夾 頭上的-個或數個電極’以分別於工作件及電極上感應相 反極性的電荷。此相反極性間的靜電吸?丨力會將工作件緊 靠夹頭,以保護工作件。 於半導體晶圓處理設備中,靜電夾頭是用來固定或夾 緊晶圓於製程反應室内的晶圓座i,此晶圓座上提供有額 外裝備,例如:加熱器、熱槽、傳熱氣口、附加的電極及 類似裝置以調節溫度、電子偏壓及.其他晶圓製程條件使產 能最佳化。在某些反應室的類型中,例如感應耦合電漿源 (ips)濺鍍蝕刻室t其電漿被用來啟動晶圓處理條件。在這 些反應室中,晶圓座亦有射頻電源陰極的功能’典型的射 頻電源陽極為反應室壁部。於反應室頂蓋外表面上的一附 加線圈’以射頻電源供應電力使其感應耦合式地穿過頂蓋 (頂蓋為介電質,例如石英)並進入反應室内。陽極及陰極 間所產生的電場及來自線圈的感應耦合電源游離導入反 應罜.内的反應氣體以產生電,漿,該具有可見熾熱白光的電 第3頁 本紙張尺度適用中國國家標準(CNS)A4規格 1 n n ---I 11 n n n In § I ^ ^ I n 1 tj i 」.eJI n n n «^1 n n I I n (請先閱讀背面之注意事項再填寫本頁) 460910 A7 B7 五、發明說明( 衆為…負電反應氣體離子' 巾子及電子的混合,電聚 中的離子揸擊晶圓以產生(蝕刻)~所需的圖案。 =子:壓的晶圓座及晶圓當作陰極可加強晶圓處 。‘,.、而,其後亦將產生一定輕度非預期 以 於靜電夾頭上的介電質支撐表 尤以位 主要是背端氣體的游離,背端氣粬 一屯何源 圓座打入維持在載置二及日圃„上_ 』疋,叹由印 在戰置.及卵圓間支撐表面的熱 口,此氣體於晶圓及載置台間傳 ' 支‘奏面時“整體的均勾溫度條件。但是 * 變成離子化’某此電子將崎轧扯 最終累積於支撐表面上(例如靜 1並 g A , ^ ^ . 夹負)。其餘累積的電荷 /原疋來自於錯誤地堆積於靜 應下Γ曰… 爽頭邊緣或由於場放射效 應下k曰b Η η端吸引至支撐去 面 < 電漿的雜散電子^ 累積電荷是有害的,罔為 挎类品姘+士 . 們曰降低為維持晶圓於支 ^ _ 匕個情況將逐漸形成不良之處 理條件。例如,一個減少的类 ^ ^ . ^ M , 持力將k成晶圓底下不規則 的两响軋體壓力,如此不相同 ^ <力造成晶圓移動或脫離並 扣及溫度控制而形成不良的蚀刿南 蚀刻處理條件或粒子污染。此 外,於批次處理期間,欲取下 匕處理-畢的晶圓,.會因支 払表面上累積靜電電荷的形減 欠的更加困難。因此,提 供-個減少這些累積電荷的方法是必要的。 從支撐表面去除電荷有 Α 丨J的万法可用而且已知於 先丽技術。最簡單的方法是關 、 疋阑閉夹持電極的電源,及/或將 夾持電極接地。然而這是最,無. 政衣的万法,因為殘留電荷 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 29fi^y (請先閱讀背面之注意事項再填寫本頁) 訂---411}--線 ~~^ _經濟部智慧財產局員工消費合作社印製 4 60 9 1 〇
、發明說明( 並不會經由介電質導通至電極上 皮樘”, —找田電何反而留在 決、., Γ刮拭疋第二種電荷去除方法,但這個解 ,万法需要將額外的機械裝置整合於 汾也丨 、表粗反應直中,胳禮 Μ t程反應室變的昂貴並增加 循環頻率。 ,周整及其相關的維護 電漿後處理夹頭表面是—辨浐 本认a 、. 疋獲仵認同之解決方法’立包 。砼卯圓移除後暴露支撐表於 被 牙最面於—具高能量之電漿中。但 其电漿工作的機制並非完全了解。 罕積#丼 ϋ 相叹派-法疋電漿提供 支::…子回復管道以排出,另—派想法是 支‘表面以㈣累積電荷。不 自支撑表面移除累積電荷。不變的3 Τ万法-漿都可 了不k的疋,電漿亦攻擊及劣仆 用以構成支撐表面的材.料。延長 始拓+ _ . 延长忒重複的電漿處理因此會 的:頭的哥命減’此外,於重複撞擊之後,來 :電:亦會累積於支揮表,面之上。目前普遍去除表面電荷 的:法並不會將支撐表面充分地回復至前處理的狀 供電有或中性’且不會損壞或縮短靜W壽命期限。 因此’於此技術中需要猶你 ^ 而妥種從鉍電夾頭之支撐表面去 除殘餘電荷的改進方法,使立支撐矣二 .> ± ,、叉牙表面回復至無損夾頭功 效或壽命期限的前處理狀況。 發明目的方戈述: 、先前技術有關的缺點被一回復基板載置台支撐表面 的万法及設備所克i,其方法包含數個步驟,提供一替代 基板於已作用或已退化之支?.撐表面,提供一接地連結之替 第5頁 (請先聞讀背面之注意事項再填寫本頁) -----r 11 1 ^---------線牙入 經濟部智慧財產局員工消費合作社印製 私紙張尺度·中關家標準(CNSM4規~^1〇 x 297么f A7
460910 五、發明說明( 代基板及提供一介於座措本 及替代基板之間的力量以 去除支撐表面的累積電荷, 芏 此替代基板是一丰壤鞞H圚弋 是一接地的平板或一片導+士 牛導虹印圓或 A夺电或半導體材由 中,接地連結是藉由衝擊電嚷上 '^ 板於-電子接地基準。於另it的’其電聚連接替代基 接附於替代基板與電子接地:::’接地連結是由-的。 Α準上的導電電線所建立 此介於支撐表面及替代基板 連接於替代基板的電力源所物,以產生一; 面及替代基板料.電場。此電力源可傳遞' = 5KVDC的電壓,此電壓可於一 固4 2- .. 疋時間内(大約3分鐘、 以穩足或脈衝方式傳遞。 '重) 詳細去除累積於支撐表面電荷 何的回覆過程因不同沾 晶圓處理條件而不同。產生於去勺 … 座生於又‘表面及替代基板間的雷 %將推離支撐表面上的任一累積雷 览 , %何。去除累積電荷可汝 吾和延長支撐表面的夾持能力,此方 疋 万法亦維持支撢表 料的芫整,讓它免於電漿中高能及/ 付 或具反應性物質的攻 擊。 \ 圖式簡單說明: - 將後續的說明配合下列圖式’將可 丁 J以對於本發明的 示有容易地了解,其中: 第1圖是一描述執行本發明方法步驟的流程圖: 第2圖是使用第1圖所顯帝方法中反應室的 |刀衔剖 第6肓 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 ·,-I ·11111111 — ------------------------—- 經濟部智慧財產局員工消費合作社印製 460910 A7 _B7_ 五、發明說明() 面視圖_; 第3圖是一執行本方法設備之爆炸正視圖;以及 第4圖是一於執行本發明時設備及反應反應室元件之 代表電路圖。 > 為幫助了解,盡可能使用相同參考號碼以指定圖示中 共有的相同元件, 圖號對照說明: 100 .回 復 方 法 200 製 程 反 應 室 202 側壁 204 園 繞 空 間 206 頂 蓋 208 圍 繞 侧 壁 2 10 封 蓋 2 12 溫 度 控 制 設 備 214 底 座 216 處 理 監 控 設 備 218 射 頻 天 線 .220 第 — 射 頻 電 力源 222 反 應 區 224 frft*· 漿 226 晶 圓 座 组 合 228 .晶 圓 座 基 底 230 幸由 心 232 靜 夾 頭 234 夾持 電 極 236 電 源 供 應 器 23 8 處 理 氣 體 供應器 240 極 242 第 二 射 頻 電力源 • 244 支 撐 表 面 246 開 關 250 半 導 體 晶 圓 302 替 代 基 板 304 負 電 荷 306 處 理 電 場 400 % 容 器 402 電 容 器 ? 404 阻 器 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) {: 訂---------線— ·{----- ^ 4609 1 0 A7 _ 五、發明說明(). 發明詳細說明^_ 第2圖描述製程反應室2〇〇的橫剖面視圖,其為處理 例如半導體晶圓2 5 0基板之晶圓處理系統(未顯示)的一部 份a典型的反應室以側壁2 0 2及頂盍2 0 6所圍繞,底座2 1 4 連接側壁202組成完整的反應室200。側壁202通常以足 夠強度及厚度的金屬所組成使其内部可產生一高度真空 (毫托範圍),此類金屬例如為不鏽鋼或鋁。頂蓋2 0 6為半 球形狀並形成位於反應室200上方一额外圍繞空間204的 底部表面,特別是圍繞空間2〇4是由矗立於頂蓋206上與 反應室側壁202排成一列之園繞侧壁208及圍繞侧壁208 與頂蓋206上方之封蓋210所定義界限而成。 圍繞空間204内部是一個或多個設備’其協助晶圓處 理功能,包含但不僅止於溫度控制設備2 1 2及處理監控設 備2 1 6一個合適的溫度控制設備實例描述於1 997年1 0 月.8日申請之美國專利申請案號〇8/9 47,174,另一合適的 處理監控設備描述於1 9 9 7年1 〇月6日申請之美國_專利申 請案號08/944,240。除此之外位於圍繞空間204内部有一 射頻天線2 1 8,'此射頻天線2 1 8以線圈方式配置於頂蓋2 0 6 之頂部,與第一射頻電力源220連接。 反應室200内的晶圓座组合—226於處理過程中支輝晶 圓250,特別是晶圓座组合226包含一垂直通過反應室200 底座2 1 4的軸心23 0、一軸心2 3 0支撐的晶圓座基底22 8 及一晶圓座基底228所支撐的靜電夾頭232。靜電夾頭232 有一.個或數個夾持電極234?.,其由靜電爽頭頂端或内部的 / t 第8頁 本紙張尺度埠用中國國家標準(CNS)A4規格(210 X 297公釐) (諳先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 訂---------線.----------------------- 4 β〇9 1 Ο A7 B7 玉、發明說明( 絕緣材料提供。例如於本發明之 、 極234可能是一導 較佳實她例中,夾持電 ^ 电材科例如一以聚醯亞胺僉 益附著於靜電失頭2 山封<4銅層 Z爽待4極2 3 4以一泰厫·R丄仏 其電壓源可為電源供應器236 %壓源加此’ 器一產生於夾持電碴23…間未“的交流電源供應 電夾頭232之支撐类& 1的电位差使靜 於太吸附並靜電夾持著晶圓㈤。 一"“ ·Α 甲失待極234以-雙極組態及 fe圍大沩為2〇〇至2〇〇〇直流 別是 '-雙極組能為…差予以極化。特 -、為*極2)4透過開關246於"A„位置時 連接電源23 6,如此於每—個電㉟234上將有—相等且反 ㈣偏壓。«叫也有— "B"位置以連接為表面處理之 單極組態電極,較詳盡.之解釋於後。 另一個電極24〇於晶圓處理的過程中擔任另—附加的 陰極以導通另-附加電力源。或I ’整個晶圓座组合226 可作為一陰極,電極24〇(或是晶圓座组合226)連接於第二 射頻電力源242,此第二射頻電力源242提供—偏壓晶圓 2 5 0以改善製程所需的電力。 運作中’處理氣體由位於反應室200外面的處理氣體 供應器23 8供應於反應區222,且第一射頻電力源22〇為 射頻天線2 1 8加能。來自天線2 1 8的電力感應耦合式地穿 過頂蓋2〇6(其由適當的材料建構而成以利感應能量傳 導,例如石英)以離子化及加速反應室2 〇 〇内的處理氣體分 子成為電漿224。 於晶圓處理過程中(例如蝕刻處理)一個預設的積體電 第9頁_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) ' . 丁 -,II n n 1· (H ^ · n n tn 線Λ 經濟部智慧財產局員工消費合作社印製 460910 A7 B7 五、發明說明( 路圖案或其一部份等類似圖案形成於晶圓250上。於蝕刻 處理過程’產生前述電荷累積的現象。每—個累積電荷皆 有-個相關的束缚力,&束缚能量必須克服,以自支標表 面244上移除電荷。當已處理的晶圓已解開夾持並從靜電 炎頭移走’需對於表面回復處理執行與否作一決定,也就 疋說,一些不當數量的殘留電荷已累積於支撐表面244 上。這個決足乃基於先前技術已知不同的測量及/或解開夹 持万法’ &包含但不限於提升銷之力 '背端氣體壓力或是 成場不連續”(flQw burp)現象、電流檢查等之類。例如, 當位.於靜電夾頭下方的提升銷啟動將晶圓自支撐表面244 提昇&升销所需切斷晶圓與支撐表面之間接觸的力量可 被1控此外,介於晶.圓及支撐表面間熱傳導氣流可被監 控假如產生壓力(或流場不連續)驟降,則代表晶圓上.下 拉的靜電力比晶圓上往上推的氣體壓力為小。提升銷的多 餘力量或是突然且大量的埶傳屦六 f的熟得禮力差會損害或毁壞晶 圓。假如任何這些參數的分析可推 ™又得表面的情況已降 級至禁止正當操作的程度,接下來 ^ _ 万去將描述回復支撐 表面及允許正常失持操作所需的步驟。 於本發明之—較佳實施例中’ ^ , &晶圓上之背端氣體 壓力被監控》理想上,背端氣,體於晶圓 、,. Z50及支撐表面244 4間流動以作為熱傳媒介,正常操作 F嗓力大約為4Torr, 假如沒有一個相等且反向的爽持力將 L 购圓扛下,此背端氣 體壓力可將晶圓從支撐表面244移走 .& 4冲離,因此背端氣 體壓力與夾持力有關。一個?,4托的背 β 體壓力大約等於 第10頁 c請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS〉A4規格(210 297公爱) -K 1 n^-.nJ I i n n .^1 I T Γ— 1) n n 1 I n n n i I I n n i fj n n n 1— *^1 1 460910 A7 —-- ---B7 五、發明說明() —個3.8磅的夾持力,假如夹持力 抒乃由於累積電荷作用而降 級至低於維持晶圓之最低要求值,Η 曰0圓移動或背端氣體進 入反應室之氣孔讀值顯示不規則咬 J 4不穩疋的壓力現象被 偵測出,則必須開始支撐表面處理 〜!万法(詳述於後)。 第3圖描述執行回復方法的裝罢抱1 叩震置爆炸圖,為求清楚地 描述本發明及反應室裝置元件間的相互作用,第3圖中物 體的比例及距離被過度的擴大,例如累積於支撐表面之負 電荷3 0 4就遠比顯示的為小。第1固θ ι, 禾1圖是一描述執行本回復 方法;I 0 0步驟的流程圖,為求對太 ^ > 3了本万法及設備更多的了 解’讀者可直接配合著第1圖觀看第3圖, 回復方法1 0 0起始於步騾1 〇 2。 哪於步騾1 〇4,一替代 基板302放置於靜電夹頭232之岁芦本工〜 心夂存表面2 44之上,顯示 於第3圖’此替代基板302不與支樘矣&— 只夂谭表面244接觸。其次, 於步騾106,替代基板302與一電子妓u甘.佳土 • ^ %于接地基準連接,此接 地連結可以用已知或可得的不同方法達成。例如,於第— 實,例中,第2圖的電漿224可於替代基板3〇2之上點燃, 其提供替代基板302與反應室側壁2〇2或其他反應室接地 元件之間的-導通連結。於第二實施例中,接地電線之一 端可接於替代基板302,另—端可接於反應室接地元件上 (例如電源的接地電位端 '接地提升銷與替代基板3 〇2之背 端接觸等之類)。前述接地的方法是—範例且並不限制本發 明的範圍。.此外,替代墓板3〇2可被任何形式可以涵蓋夾 頭232之支撐表面244的導電或半導體材料所取代,例如 替代.基板可選自一组半導體晶圓及—金屬材料平板或一 第11頁 本紙張尺顧肖中_祕準(CNS)A4規格⑽χ挪公楚 (請先閱讀背面之注意事項再填寫本頁) -----Γ I I I ^ ' — — — — — I— I I ^ 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 46091〇 A7 B7 五、發明說明() 片金屬材料所組成之群集。 於接地替代基板302安置後’執行步驟1〇8並於替代 基板3〇2及支撐表面244之間形成-力量。於本發明之— 較佳實施例中'夾頭、232被以單極模式施以一高能、,處理,, 電場。也就是說,開關246移至"B”位置使相同極性之電 壓經由问壓電源供應器236或其他電源供應器施於夾持電 極234上。此高壓電源供應器可傳遞一個比一般處理過程 中(即夹持)所使用更大的電壓(即為,,處理,,電壓)並最好於 大約3-5KVDC的範圍中。當替代基板3〇2接地後完成此 電路。如此’一個具有強大電場(此,,處理,,電場以箭頭3〇6 表示)的力量於支撐表面244與替代基板302背端之間形 成。此電場306於整個晶圓/支撐表面介面間分布十分規則 而且其強度足以克服驅離支撐表面244上累積電荷的束縛 A量’並驅離到替代基板3 0 2及到接地端。理論計算上顯 不此產生的"處理"電場3 06大約為夾持晶圓於支撐表面
244之電場2至10倍且最好是5倍。例如一大約為400VDC 之夹持電壓所產生的電場強度大約是〇.53 MV/cm,且—" 處理"電壓2KVDC所產生的電場大約為2.6MV/cm。此外, 實驗顯示此強度的電場真可驅離這些支撐表面的殘留電 荷。而且,由於電場是唯一施加於支撐表面的力量,支撐 表面不會持續被更多的帶電粒子(也就是從一,,乾淨電衆,' 或之類)撞擊,其帶電粒子可能導致蝕刻支撐表面或植入電 荷。接地的替代物基板提供高壓源一通道以排放累積電荷 而且.形成一遮罩以對抗其與支樓表面不良之棱處理效應 第12頁 本紙張尺度適用中®國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ---—L----訂---------'(. 經濟部智慧財產局員工消費合作社印製 460910 A7 B7 五、發明說明( 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 間的進一步交互作用。 第4圖描述本設備與方法所建立電路的電子圖示。特 別的是,高壓電源23 6或其它之類以單極組態連接於夾持 電極234。因於一般晶圓處理過程的狀況中,大部分負兩 荷累積於支撐表面上,高壓電源將其負端連接至電極上並 將其正端接地。介電質材料絕緣電極及存在於支撐表面與 替代基板302背端之間的小細缝,在每一個電容器4〇〇及 402所代表的電極形成一電容(大約為十億分之一法拉第 範圍)。‘電阻器404所代表之電阻是自然產生於接地連結及 可能晶圓、接地線、電漿等之類所包含之阻抗。高壓電源 供應器啟動的特定時間長度是預弄呔含μ , 定頂无夬疋的。此預定是基於 多個條件包含但不限於.晶圓處理之數目、夾持電壓 '爽持 力量退化的程度及電隸件等不同的狀況。於本發明之— 較佳實施例中,其所需之時間大約為3分鐘^ ,古壓吟 源可用敎次數之脈衝方式(例如開關開及^以移:: 電荷。於預定時間之後,關閉高壓 .、,、積 #供應益且替代物甚 版3 02自夾頭232移走。—個新爆 % 说 入反應宣2〇〇的晶圓繼 續接著正常方式的晶圓處理。或者, v'fe .. 眾針對回復過程成:εΛ 的程度及支撐表面244是否需要額外 知理另作一決定。 總之,本發明描述一靜電夹頭 入'得我面回指虚理夺。 序:監控晶圓處理參數直到靜電爽 知里叙 ^ 員的功效已低於一正當 搡作標準,於此時,-替代基板則置於 :、.吊 面並建立一接地連結,爾後靜電失 X頑的支彳牙表 負於—預定時間中及_ 特足能量水準下以一高電源喺壓,.於 、之镩表面及替代基板 _;____第13頁 本纸張尺度ί射随家標準(CNS;)A4規格(士 χ挪公愛 C請先閱讀背面之注意事項再填寫本頁) :------r---訂- 線 — ·{--- 4 6091 0 A7 B7 支、發明說明( 間形成”處理”電壓將支撐表面的任一累積電荷推離。再關 閉高壓電源並將替代基板移走。可選擇性執行夾頭功效之 功能性監控或測試’或是恢復晶圓處理。此已描述的回# 程序會依據不同之晶圓處理條件以移除累積於支樓表 的電荷。移除累積電荷會減少可能之不良晶圓處理條件 例如晶圓背端不均勻的溫度'晶圓夾持力之減少、 解開夾持力之增加等。本方法亦維持支撑表面 晶圓 上 材料的A 性使其不受高能及/或電漿中反應物質的7W 4 元整 J不艮景> 響。 s兔然在此已顯示及詳述由於本發明奴.s __ 月数導下所組人 成的不同實施例,習知技藝者仍可以輕县Λ Λ ^ 刃的組合這妆泰., 以設計出許多其他不同的實施例。 1敢導 ---1 i —--------{ -----,1---tl----I---* 線 (請先閲讀背面之注音^事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第14頁 本紙張尺度適用争國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 46091〇
    1. 一種回復基板支撐 夂3牙《支撐表面的方,法,其至少包含 步驟: (a) 提供一支撐表面上之替代基板; (b) 連接該替代基板於接地端;以及 ⑷於該支撐表面及該替代基板間形成—力量以移除 該支標表面之累積電荷。 … 2. 如申請專利範圍帛i項所述之方法,其中上述之替代基 板選‘自一半導體晶圓、—金屬材料平板及一片金屬材料 所组成之群集。 3 .如申請專利範園第丨.項所述之方法,其中上述連接該替 代基板至接地端的步驟更包含衝擊電漿以連接該替代基 板與電子接地基準 . 4 -如申請專利範圍第1項所述之方法,其中上述連接該替 代基板至接地端的步驟更包含附著—導線連接該替代基 板與電子接地基準。 .如申請專利範圍第1項所述之方法,其中上述於該支撐 表面及該替代基板間形成一力量更包含增加—連接於該 替代基板的電力源,以產生一介於該支撐表面及該替代 基板間的電場。 第15頁 私紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 < 1 I I — II — I i ^ ---- 經濟部智慧財產局員工消費合作社印製 4 6091 0 as B8 CS D8 、申請專利範圍 6. 如申請專利範圍第5項所述之方法,其中上述之電力源 可傳遞一範圍約2-5KVDC的電壓。 7. 如申請專利範圍第6項所_述之方法,其中上述之電壓可 於一特定時段傳遞。 8. 如申請專利範園第7項所述之方法,其中上述之特定時 段太約3分鐘。 9. 如申請專利範圍第6項所述之方法.,其中上述之電壓是 脈波。 10. —種移除反應室内靜電夾頭支撐表面之累積電荷的方 法,其至少包含以下步琴: (a) 提供含有累積電荷的支撐表面; (b) 放置一替代基板於該支撐表面上; (c) 連接該替代基板於接地端; (d) 於該支撐表面與該替代基板間形成一電場將該累 積電荷自該支撐表面移除。 1 1 .如申請專利範圍第1 0項所述之方法,其中上述之替代 基板是由一半導體晶圓、一金屬材料平板及一片金屬材 料所组成之群集。 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音?事項再填寫本頁) 訂· — -----^ 1 j、 經濟部智慧財產局員工消費合作社印製 460910 | D8 申請專利範圍 12.如申請專利範圍第1 0項所述之方法,其中上述連接替 代基板於接地端更包含衝擊連接該替代基板及反應室接 地元件之電漿》 13 .如申請專利範圍第丨〇項所述之方法’其中上述連接替 代基板於接地端更包含接附—導線於該替代基板及反應 室接地元件。 14. 如申請專利範園第10項所述之方法’其中上述於該支 撐表面與該靜電夹頭間形成一電場的步驟更包含將連接 於該靜電夾頭之電源加能。 15. 如申請專利範園第14項所述之方法,其中上述之電源 可傳遞一範圍约2-5KVDC的電壓° 16. 如申請專利範園第15項所述之方法,其中上述之電壓 可於一特定時段傳遞。 17. 如申請專利範圍第16項所述之方法,其中上述之特定 時段大约3分鐘。 , 1 8 .如申請專利範圍第1 5項所述之方法,其中上述之電壓 是脈波。 第17貫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
    460910 A8 B8 C8 D8 、申請專利範圍 1 9 . 一種製程反應室内回復靜電夾頭支撐表面之設備,其至 少包含: 至少一反應室接地元件; 一置放於該.靜電夾頭之支撐表面上的替代基板; —介於該替代基板與該反應室接地元件之接地通 路;以及 一介於該替代基板與該靜電夾頭之支撐表面的連結 以移除該支撐表面之累積電荷。 20 .如申請專利範圍第1 9項所述之設備,其中上述介於該 替代基板與該支撐表面之連結是一高能直流電源供應 .器。 . 2 1.如申請專利範圍第20頊所述之設備,其中上述介於該 替代基板與該反應室接地元件之接地通路是連接該替代 基板與該反應室接地元件的電漿。 22.如申請專利範圍第20項所述之設備,其中上述介於該 替代基板與該反應室接地元件之接地通路是一接附該替 代基板與該反應室接地元件之接地電線。 第18肓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297 i釐) (請先閱讀背面之注意事項再填寫本頁) --------訂---------線I. 經濟部智慧財產局員工消費合作社印製
TW089106839A 1999-04-13 2000-04-12 Method of and apparatus for restoring a support surface in a semiconductor wafer processing system TW460910B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/290,621 US6099697A (en) 1999-04-13 1999-04-13 Method of and apparatus for restoring a support surface in a semiconductor wafer processing system

Publications (1)

Publication Number Publication Date
TW460910B true TW460910B (en) 2001-10-21

Family

ID=23116843

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089106839A TW460910B (en) 1999-04-13 2000-04-12 Method of and apparatus for restoring a support surface in a semiconductor wafer processing system

Country Status (4)

Country Link
US (1) US6099697A (zh)
JP (1) JP2001044268A (zh)
KR (1) KR20000071664A (zh)
TW (1) TW460910B (zh)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6488820B1 (en) * 1999-08-23 2002-12-03 Applied Materials, Inc. Method and apparatus for reducing migration of conductive material on a component
US6786935B1 (en) 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
TWI294155B (en) * 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US7078317B2 (en) * 2004-08-06 2006-07-18 Silicon Genesis Corporation Method and system for source switching and in-situ plasma bonding
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9232626B2 (en) 2013-11-04 2016-01-05 Kla-Tencor Corporation Wafer grounding using localized plasma source
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105530750A (zh) * 2014-09-29 2016-04-27 盛美半导体设备(上海)有限公司 晶圆导静电装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR102322767B1 (ko) * 2017-03-10 2021-11-08 삼성디스플레이 주식회사 기판과 스테이지 간의 분리 기구가 개선된 기판 처리 장치 및 그것을 이용한 기판 처리 방법
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7096079B2 (ja) * 2018-06-15 2022-07-05 キオクシア株式会社 プラズマ処理装置の再生装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06103683B2 (ja) * 1990-08-07 1994-12-14 株式会社東芝 静電吸着方法
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
KR0164618B1 (ko) * 1992-02-13 1999-02-01 이노우에 쥰이치 플라즈마 처리방법
JP3257180B2 (ja) * 1993-09-21 2002-02-18 ソニー株式会社 成膜方法
TW288253B (zh) * 1994-02-03 1996-10-11 Aneruba Kk
US5459632A (en) * 1994-03-07 1995-10-17 Applied Materials, Inc. Releasing a workpiece from an electrostatic chuck
US5880924A (en) * 1997-12-01 1999-03-09 Applied Materials, Inc. Electrostatic chuck capable of rapidly dechucking a substrate

Also Published As

Publication number Publication date
KR20000071664A (ko) 2000-11-25
US6099697A (en) 2000-08-08
JP2001044268A (ja) 2001-02-16

Similar Documents

Publication Publication Date Title
TW460910B (en) Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
TW417230B (en) Method for improved sputter etch processing
US5790365A (en) Method and apparatus for releasing a workpiece from and electrostatic chuck
TW526558B (en) Focus ring and plasma processing apparatus for semiconductor process
EP0486966B1 (en) Electrostatic chuck
TW423020B (en) Plasma device including a powered non-magnetic metal member between a plasma ac excitation source and the plasma
TWI516174B (zh) 電漿處理系統內之離子能量分布控制
TW409291B (en) Self-cleaning focus ring
US7952851B2 (en) Wafer grounding method for electrostatic clamps
TW425593B (en) Apparatus for retaining a substrate in a semiconductor wafer processing system and a method of fabricating same
TW201246327A (en) Focus ring and substrate processing apparatus having same
JP2005045231A (ja) 誘導性プラズマリアクター
TW293231B (zh)
TWI307122B (en) Method and apparatus for dynamic plasma treatment of bipolar esc system
TW561794B (en) Dechucking with N2/O2 plasma
JPH05217950A (ja) プラズマ処理中の粒子のゲッタ除去
TW567737B (en) High speed photoresist stripping chamber
JP2017123354A (ja) 試料の離脱方法およびプラズマ処理装置
TW396385B (en) The control method for a plasma treatment device
TW392211B (en) Processing method for object to be processed
JP2002518847A (ja) 静電チャックから基板を外す方法及びその装置。
JP5302541B2 (ja) プラズマ処理装置
TW201133696A (en) Platen to control charge accumulation
TW202228232A (zh) 半導體處理設備及方法
TW550658B (en) High speed stripping for damaged photoresist

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees