TW412779B - Lid assembly for high temperature processing chamber - Google Patents

Lid assembly for high temperature processing chamber Download PDF

Info

Publication number
TW412779B
TW412779B TW086116805A TW86116805A TW412779B TW 412779 B TW412779 B TW 412779B TW 086116805 A TW086116805 A TW 086116805A TW 86116805 A TW86116805 A TW 86116805A TW 412779 B TW412779 B TW 412779B
Authority
TW
Taiwan
Prior art keywords
gas
chamber
processing
heater
cleaning
Prior art date
Application number
TW086116805A
Other languages
English (en)
Inventor
Eugene Fukshansky
Inna Shmurun
Jonathan Frankel
Visweswaren Sivaramakrishnan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW412779B publication Critical patent/TW412779B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Description

9 9 經濟部中央標準局員工消費合作社印掣 A7 B7 五、發明説明(1 ) 相關申請案之交互參照 本申請案係和以約拿旦.法蘭克、哈里波涅坎提、 伊納夏慕倫、以及維斯瓦倫.希佛拉瑪克里辛納等人列 為共同發明人且與本案同時申請並作同樣讓渡之專利申請 案“高溫處理腔室用加熱器/舉昇總成,,㈣;且和以約 拿旦.法蘭克、以及維斯瓦倫.希佛拉瑪克里辛納列為共 同發明人且與本案同時申請並作同樣讓渡之專利申請案 高溫處理腔室用腔室内襯"有關;且和以蓋瑞.楓、以 及伊爾文希爾維斯崔列為共同發明人且與本案同時申請 並作同樣讓渡之專利申請案“具底部安裝遠距電漿系統之 基體處理裝置”有關:且和以約拿旦.法蘭克列為發明人 且與本案同時申請並作同樣讓渡之專利申請案“高溫處理 腔室用舉昇總成”有關;且和以維斯瓦倫.希佛拉瑪克里 辛納、以及蓋瑞.楓列為共同發明人且與本案同時申請並 作同樣讓渡之專利申請案“用以檢知熱(非電漿)處理製 程中之腔室清潔動作結束點的系統與方法”有關;且和以 維斯瓦倫希佛拉瑪克里辛納、艾力.岳、約拿旦·法簡 克、夏利昆、蓋瑞.楓、赛瑞尼法斯.納曼尼、伊爾文. 希爾維斯崔、伊納.夏慕倫、以及提姆·雷維尼等人列為 共同發明人且與本案同時申請並作同樣讓渡之專利申請案 “半導體晶®高溫處理系统及方法”有關;且和以蓋瑞· 楓、夏利昆、赛瑞尼法斯納曼尼、以及艾力.岳等人列 為共同發明人且與本案同時申請並作同樣讓渡之專利申請 案“用以清潔基體處理系统内之表面的方法及裝置”有 本紙悵尺度適用中國國家標隼(CNS ) Λ4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本I)
、1T 气 A7 A7 經濟部中央標牟局貝工消費合作社印製 --- - B7 五、發明説明(2 ) 關且和以夏利昆、維斯瓦儉.希佛拉瑪克里辛納、赛瑞 尼法斯.納曼尼、艾力,岳、以及蓋瑞楓等人列為共同 發明人且與本案同時申請並作同樣讓渡之專利申請案“用 以從腔室材料表面吸除氟的方法及裝置”有關;且和以夏 利昆、艾力.岳、以及赛瑞尼法斯·納曼尼等人列為共同 發明人且與本案同時申請並作同樣讓渡之專利申請案“用 以於次大氣壓及高溫狀態下沈積預金屬介電層的方法及裝 置有關;且和以艾力.岳、夏利昆、以及赛瑞尼法斯. 納曼尼等人列為共同發明人且與本案同時申請並作同樣讓 渡之專利申請案《淺槽溝隔離用方法及裝置"有關;且和 以約拿旦.法蘭克列為發明人且與本案同時申請並作同樣 讓渡之專利申請案“用以控制蒸汽沈積裝置之溫度的系統 及方法有關;且和以蓋瑞.楓、張芳、以及龍·那谷耶 等人列為共同發明人且與本案同時申請並作同樣讓渡之專 利申請案‘‘微波清潔應用預穩定電漿產生方法及裝置”有 關;且和以艾力.岳、夏利昆、保羅·集、以及班格.那 谷耶等人列為共同發明人且與本案同時申請並作同樣讓渡 之專利申請案“使用摻雜氧化矽薄膜形成超淺摻雜區之方 法及裝置”有關》上述各專利申請案皆係讓渡予本案受讓 人美商·應用材料股份有限公司,且上述各專利申請案因 而均併結合供本案參考。 發明背景 本發明係有關於半導體處理技術;詳言之,本發明係 有關於用以在比約50(TC還大之溫度下于高縱橫比形貌體 本紙張尺度適用中國國家標準(CNS ) A4現格(’ 210x 297公嫠) C#先閏讀背面之注意事項再填寫本頁) -訂 經濟部中央標準局員工消費合作社印製 41277〇 Α7 __Β7___ 五、發明説明(3 ) 上形成介電薄膜且讓此等介電薄膜具有低含水量與低皺縮 量的一種方法與裝置》本發明之數組實施例特別可用於沈 積諸如硼磷矽酸鹽玻璃(BPSG)薄膜、硼矽酸鹽玻璃(BSG) 薄膜、或是磷矽酸鹽玻璃(PSG)薄膜等等經摻雜介電薄臈, 以及用於形成例如用作為源極/汲極接面或是作為淺槽溝 隔離體中之通道停止擴散體的超淺摻雜區。另外*本發明 之數組實施例亦可用於沈積用作為預金屬介電(PMD)層、 中間金屬介電(IMD)層、或是其它介電層等等經摻雜介電 薄膜。本發明之數組更進一步之實施例更可用於沈積諸如 用作為淺槽溝隔離充填氧化物、絕緣層、蓋帽層、或其它 層體之無摻雜矽酸鹽玻璃(USG)薄膜等無摻雜介電薄臈》 製造現代半導體元件的主要步驟之一,即是在一個半 導體基體上形成一層介電層•如同吾人所熟知地,此一介 電層可藉化學蒸汽沈積(CVD)技術來沈積。于傳統熱CVD 製程中’反應氣體被供應到基體表面,於其上發生熱引發 之化學反應(同質或異質性),而產生期望之薄膜。于傳 統·、電*衆製程中,則是讓一股受控制電漿被形成來分解及/ 或激勵諸反應物種,而形成期望之薄膜。大體而言,熱與 電漿製程中的反應速率可藉由控制下列之一或多個參數而 加以控制:溫度、壓力、及反應物氣體流量率。 自從半導體元件在數十年前問世以來,此等元件之幾 何構形在大小上業已大為縮減。自那時問世之後,積體電 路大致即遵循兩年/大小減半之定律(俗稱“摩爾定 律”),此意謂著裝在一個晶片上之元件數目每兩年即增 -6- 本紙張尺度適财關家料(CNS ) A4規格(2!GX297公楚) -—— (請先聞讀背面之注意事項再填寫本頁)
*1T 4ί2779 經濟部中央標準局員工消費合作社印製 Α7 Β7 i、發明説明(4) 加一倍《今日的晶圓製造廠已在例行地製造0.5微米以及 甚至0.35微米形貌體大小之元件,而明日的製造廠則很 快將會開始製造具更小形貌體大小之元件。隨著元件形貌 體大小之日漸縮小以及集積密度提高,過去從未被業界感 到重要的一些問題便益形受到更大關注;特別是,具曰益 提高之集積密度的元件均含有具有高縱橫比(例如大於約 3:1或4:1)之形貌體。(此處之縱橫比係定義成代表兩相 鄰梯級部之高度對間距的比值。) 製造此種高集積度元件之製程須有更加嚴格之要求, 俾能製造出高品質元件;且傳統的基體處理系統已愈來愈 不能滿足此等要求。其中的一項要求即是,製造此等元件 之過程中形成的介電薄膜必須均勻地沈積在這些高縱橫比 形貌體上,不能留下明顯之間隙或空洞;另一項要求是, 這些薄膜須顯現低敏縮量,俾使後續之加熱及/或濕蝕刻 步驟不會造成所沈積薄膜中生成空洞。但是,通常以低於 大約45CTC之溫度沈積介電薄膜的傳統基體處理系統,並 無法製成具良好間隙充填能力而不會在後續加熱及/或濕 蝕刻步驟中顯現明顯空洞的低含水量薄膜。如同吾人所熟 知地,此類間隙或空洞可能導致元件性能不可靠以及其它 問題。在此等元件中用作為例如PMD或丨MD層的介電薄 膜須有良好的高縱橫比間隙充填能力,以避免這些間隙或 空洞所引生之問題。再一項要求即是,在各處理步驟中滲 入晶圓的金屬污染物須加以降低,俾避免在元件内造成短 路和其它問題。如同吾人所熟知者,于處理期間採用在原 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ,1Τ 經濟部中央標準局員工消费合作社印取 412779 A7 _._B7___ 五、發明説明(5 ) 地非中斷式Πη-situ)電漿的傳統基體處理系統會遭受離子 的物理濺散現象,此等離子會衝擊諸如鋁壁的腔室表面, 造成基體受金屬污染;因此,使用在原地非中斷式電漿便 難合人意。職是之故,業界乃需要有不採用在原地非中斷 式電漿的一種新式基體處理系統,供提供具所預期之低含 水量、高密度、低皺縮量、良好高縱橫比間咮充填能力等 特性的介電薄膜* 除了符合上述嚴格要求之外,基體處理系統亦須能滿 足用以形成具有皺縮式元件幾何形態之一些高集積度元件 所需之超淺摻雜區的更高需求。隨著更小元件幾何形態的 來臨,半導體中的超淺掺雜區已為多種應用場合所需,此 等應用場合包括例如源極/汲極接面、淺槽溝隔離用通道 停止擴散體等等。舉例而言,通道長度小於0.8微米的MOS 元件經常需要深度小於大約250毫微米(nm)的源極/汲極 接面,俾得到適當之元件性能。而對於被大約0.35微米 深之槽溝隔離結構分隔的一些電晶體,經常需要用到深度 在數百毫微米之譜的超淺通道停止區。就需要超淺摻雜區 之一些應用場合而言,在諸掺雜區提供均勻之摻雜劑分 布、以及對接面深度作良好控制,均屬重要者。 目前用以形成超淺摻雜區的方法,諸如離子植入和氣 艘擴散等等,在某些應用場合中並不適當。若採用此等目 前之方法’其控制摻雜劑分布狀況與接面深度之能力均頗 為有限,尤以在摻雜區變得更淺時為然。就類似離子植入 的方法而言’由於離子在半導體材料表面積累之濃度,以 本紙張尺度適用中國國家榡準(CNS ) A4規格(210X 297公釐) (請先聞讀背面之注意事項再填寫本頁) 訂 經濟部中央標率局員工消費合作社印製 41^779 A7 B7 五、發明説明(6 ) 致摻雜劑分布的控制有些困難*而且,離子植入動作會對 半導體表面造成損害’且用於修補此等基體損害點的方法 經常也造成更難以為超淺摻雜區控制摻雜劑分布與接面深 度;例如,以相當高能量準位撞擊之離子有洞穿或挖穿半 導體材料並造成諸如點瑕疵等損害點的傾向;可能會導致 不規則及不均勻接面深度的此等點瑕庇,可藉由在高溫(高 於大約900°C)下煆燒經植入之半導體材料,而略予修補; 然而,蝦燒經植入半導體材料卻也可能會使接面深度進一 步增大超過所期望程度。而就類似氣體擴散之方法而言, 控制摻雜劑分布與接面深度在形成超淺摻雜區時,頗難控 制*是故,隨著技術往更小幾何形態之元件發展,業界乃 亟需有可控制超淺摻雜區中之摻雜劑均勻度與接面深度的 一種替換方法° 欲形成超淺摻雜區時,有一種可替換目前之離子植入 及氣體擴散法的方法是採用一層摻雜介電薄膜作為摻雜劑 擴散源。于此替換方法中,一層摻雜介電薄膜被沈積於一 塊基體上,並用作為擴散到基體内以形成超淺摻雜區之摻 雜劑的來源。例如,摻雜介電薄膜在_個沈積腔室内於低 於500°C之溫度下被沈積,並接著在諸如嘏燒腔室的一個 不同腔室中以高於500°C之溫度予以加熱,以進行掺雜劑 擴散作用而形成摻雜區。為有效率地形成半導體材料内之 超淺摻雜接面,控制該摻雜介電薄膜之厚度、均勻度、與 含水量十分重要;特別是•控制所沈積摻雜介電薄膜之厚 度與均勻度,可對可供擴散用之摻雜劑數量提供某些程度 本紙》尺度適用中國國家標準(CMS ) Α4規格(210Χ 297公釐) (請先閱讀背面之注意事項再填寫本頁)
、1T Λ 412779 A7 B7 五、發明説明(7 ) 之控制;限制用作為擴散源之摻雜介電薄膜的厚度,亦有 助於藉由節省沈積(以及隨後之蝕刻)時間,而提高晶圓 產出量;再者,具有均一之摻雜劑均勻度的均勻沈積薄膜, 可對摻雜劑自薄膜擴散到基體内之過程提供更佳之控制作 用。如同吾人所熟知地,經摻雜之介電薄膜内的水份會與 摻雜劑反應而將後者拘束在一種結晶結構内,以致可供擴 散到基體内來形成摻雜區之摻雜劑數量變少。緣是,較合 意的作法是採用具有低含水量的摻雜介電薄膜,因為這些 薄膜具有較多摻雜剤可供擴散之用》 但是傳統基體處理系統在使用經摻雜介電薄膜作為摻 雜劑擴散源時,卻遭遇數項問題。其中一項問題為,在使 用傳統系統沈積該摻雜介電薄膜時,很難對薄膜厚度與均 勻度作高程度之控制;另一項問題為,經常難以確保該摻 雜介電薄膜中有適當數量之摻雜劑可供擴散到基體内來形 成超淺摻雜區;再一項問題則為,在欲形成諸超淺摻雜區 的基體表面上有本生氧化物存在,成為障壁層而阻礙摻雜 劑從該摻雜介電薄膜擴散進入基體^此等問題特於下文予 以進一步敘明。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 儘管使用摻雜介電薄膜充作摻雜劑擴散源來形成超淺 摻雜區有其優點,但是其在採用傳統沈積系統時無法控制 所沈積摻雜介電薄膜之厚度與均勻度的問題,卻由於兩個 主要理由而特別受到關注。第一,無法使用傳統方法和裝 置適當控制所沈積摻雜介電薄膜之厚度與均勻度的狀況, 會不合人意地造成控制所形成超淺摻雜區之摻雜劑均勻度 •10- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) A7 B7 五、發明説明(8 ) 經濟部中央標隼局—工消費合作社印製 (讀先閱讀背面之注意事項再填寫本頁) 與接面深度的能力亦降低<例如,在一個傳統連續CvD 腔室中,一塊基體置放在一條傳送帶上並移經該腔室的各 個部分;在此腔室之各個部分中可沈積出具某一厚度的一 個層體’所沈積薄膜之厚度可藉著改變傳送帶速度的方式 加以控制*如此僅能提供程度有限的控制;再者,試困利 用傳送帶速度來控制薄膜厚度與摻雜劑濃度時,要對沈積 於不同晶圓上之各薄膜的厚度與摻雜劑均勻度加以控制, 十分困難;亦即,沈積於不同晶圓上之諸薄膜的厚度可能 會有變化且難以預測,而導致晶圓與晶圓之間相較之下的 不可靠度。第二,在整體效率與提高晶圓產出量的考量上, 能夠控制所沈積摻雜介電薄膜之厚度,甚至是對極薄之薄 膜,是合於吾人期望的;然而,傳統方法卻只能形成厚度 在數千埃(A)上下之摻雜介電薄膜;而且,使用依賴傳 送帶速度來控制沈積薄膜厚度之系統,可能難以維持所沈 積薄膜儘量薄;對於傳統上所沈積之較厚的薄膜,某些摻 雜劑可能須花較長時間才能擴散進入基體内,因為在它們 到達基體材料之前必須移動較大距離;又者,藉蝕刻或其 它技術去除充作摻雜劑擴散源的此種較厚薄膜時,經常致 使晶圓加工所需之總時間拉長;隨著製造廠改善效率之壓 力曰漸增長,較合宜的是把摻雜介電薄膜形成得儘可能 薄,以縮減沈積該薄膜及隨後將之去除所需的時間。若有 可輕易控制用作為摻雜劑擴散源之摻雜介電薄旗的厚度與 摻雜劑均勻度(小於約500 A厚,且橫越晶圓只有± 0.2 個重量百分比之摻雜劑變動)的方法與裝置出現,將十分 -11- 本威張尺度適用中國國家橾準(CNS ) 格(2!0X 297公釐) 經濟部中央標準局員工消費合作社印製 n27!79 A7 B7 五、發明説明(9 ) 合乎人意。 使用摻雜介電薄膜作為超淺摻雜區之摻雜劑擴散源的 再一項問題在於,須有適當數量之摻雜劑供擴散進入基體 内。為能提供適當數量之摻雜劑供均句地擴散入基體内以 形成超淺接面,過去經常是必須用到帶有高摻雜劑濃度的 薄膜。然而,水份吸收及氣體釋除現象卻成為和適當摻雜 劑可用率有關的兩個問題;摻雜介電薄膜(特別是具有高 摻雜劑濃度者)傾向於會在晶圊于一潔淨中暴露於周遭水 氣(例如當晶圓在一道多步驟製程中於沈猜完該摻雜介電 薄膜後而自沈積腔室送至另一不同處理腔室以供進行下一 處理步驟時)之後的短時間内吸收水份,遭吸收之水份 (H2o)接著即會和該摻雜介電薄膜内的各種摻雜劑反應, 使該摻雜介電薄膜結晶化;由於結晶結構會把摻雜劑拘束 在該薄膜内,此等摻雜劑便變成不能供用於擴散到基體 内,即使在接下來藉著在另一腔室中進行快速熱處理或鍛 燒而將晶圓加熱之後亦然。因此,水份吸收現象即會降低 供擴散到基體内之摻雜剤的數量。而除了此水份吸收問題 以外,摻雜劑自該摻雜介電薄膜釋出之現象亦可能會發生 於後續之加熱步驟中;此等摻雜劑自該薄膜散佈出來而離 開該基體,導致可供用於擴散進入基體來形成超淺摻雜區 的摻雜劑更少= 而即使有適當之摻雜劑可供擴散之用,在使用摻雜介 電薄膜作為擴散源時,本生氧化物的問題仍是一項必須考 量的重要事項。存在基體表面上欲形成超淺摻雜區之處的 -12- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 丁 ,-'β f 經濟部中央標準局員工消費合作社印製 412779 A7 B7 五、發明説明(10 ) 本生氧化物,會妨礙摻雜劑有效及均勻地擴散進入矽基體 内之作用;緣是,對摻雜劑構成擴散障壁層的此等本生氧 化物便需加以清除β清除本生氧化物之動作在過去曾有人 運用諸如使用液態蝕刻劑的濕式蝕刻法、以及使用在原地 非中斷式電漿的乾式蝕刻法等等傳統技術來執行:然而, 使用液態蝕刻劑時經常難以控制,且可能對基體造成蝕刻 過度之狀況;以諸如濕式蚀刻法之傳統方法清除掉本生氧 化物的基體,在本生氧化物開始再度形成之前,具有少於 大約一週的儲放期間’迫使業界存有必須在清除本生氧化 物之後的短時間内馬上加工處理晶圓才屬合宜的壓力;而 使用以在原地非中斷式電漿清除本生氧化物的乾式蝕刻法 時’則可能對基體表面造成電漿傷害;除了造成基體表面 電漿傷害以外,在原地非中斷式電漿乾蝕刻法亦可能違反 人意地造成更多金屬污染物(如同前文所述)。職是之故, 能i夠有效清除本生氧化物而不損傷基想表面,以使摻雜劑 可均句擴散到基體内形成超淺摻雜區,是相當重要的。 除了提供具有均勻厚度與高縱橫比間隙充填能力且只 產生低金屬污染量之緻密、低含水量介電薄臈以外,改善 積體電路元件製造上之品質和整體效率亦是重要之事。改 進元件製造品質與整體效率的一種重要方式為,有效且經 濟地清潔腔室。隨著製造廠改善製程處理品質與整體效率 之麼力曰增’對於|p省時間與金錢二者而言,消除一道多 步驟製程中之總停產時間而又不致折損晶圓品質’已曰趨 重要。于CVD處理期間’在處理腔室内釋出之反應氣體 -13- 本紙張尺度適用中國國家標準(CNS ) A4規格(210x297*公廣·) (請先閱讀背面之注意事項再填寫本頁) 訂 412779 經濟部中央標準局負工消費合作社印製 A7 B7 五、發明説明(u ) 於受處理之基體的表面上形成諸如氧化矽或氮化矽的一些 層體;CVD裝置内之某些別的位置處會發生吾人不希望 有的氧化物沈積現象,諸如在氣體混合盒與氣體散佈歧管 間的區域:於此等CVD製程期間,不希望有的殘餘氧化 物亦會沈積在排氣通道與處理腔室壁上或附近;一段時間 之後’若不從CVD裝置清除此等殘餘物,則常會造成品 質降低、不可靠的製程和有瑕疵的基體;若未頻繁地施行 清潔程序’從累積在CVD裝置内之殘餘物生出的雜質可 能會遷斧到基體上;隨著現今的元件尺寸日趨縮小,雜質 造成基體上之元件損壞的問題便受到特別關注。因此,為 能讓基體加工處理操作順暢,並改善元件產率及使產品性 能更佳,CVD系統之維護工作即有其重要性。 經常,在每處理一批N個晶圓之後需作定期腔室清 潔,以改善CVD系統製造高品質元件之性能。若能對腔 室及/或基體提供有效而無損害性之清潔操作,常可提高 所製元件之性能與品質。除了改善上述腔室清潔操作(此 操作係在不破壞真空密封之狀況下進行)之品質以外,在 多次定期腔室清潔作業動作之間另須執行預防性維修腔室 清潔作業(其須打開腔室蓋由上到下對腔室作實體抹拭作 業而會破壞真空密封):執行此種必須的預防性維修腔室 清>絮作業時往往必須打開腔室蓋以及可能會阻礙該蓋的任 何其它腔室部件,而這正是會干擾正常製程的一種費時程 序。 由於上述諸項問題’乃需要有更改進之方法、系統、 -14- 本紙張疋度適用中國國家標準(CNS ) A4規格(210X297公f ) (請先閲讀背面之注意事項再填寫本頁) 丁 -5 經濟部中央標隼局員工消費合作社印$i A7 B7 五、發明説明(12 ) 和裝置’供沈積出具有均勻厚度與高縱橫比間隙充填能力 的敏密低含水量介電薄膜。最好,此等經改進之方法與裝 置亦會提供具有低金屬污染量的腔室清潔程序;另亦需有 經改進之方法與裝置供形成欲作為超淺接面之摻雜劑擴散 源的摻雜介電薄膜;此等方法與裝置要可以有效去除本生 氧化物’俾確保能從該摻雜介電薄膜作有效且均勻之摻雜 劑擴散,而不對梦晶圓造成顯著之表面損害;再者,對某 些應用而言’吾人會期望能在單一腔室内以一種經簡化之 設計來提供多重沈積與清潔能力,以縮減不同型式清潔作 業所耗時間。緣是’吾人所企盼且需要者,乃為可執行高 品質有效高溫沈積作業和有效易控制之清潔作業的系統與 方法;特別是,此等系統與方法應被設計成可和供形成具 高縱橫比形貌體之元件以及供形成超淺摻雜區之諸多加工 處理需求相匹配。 發明概要 本發明即提供了用於對半導體晶圓作高溫(至少大約 500-800°C )加工處理的多组系統、方法、和裝置。本發 明之諸實施例包括了能夠讓多道製程處理步驟在原地非中 斷式地于同一腔室内被執行,以縮減總加工處理時間、並 確保可作高品質加工處理來製成具高縱橫比形貌體之高集 積度元件的多組系統、方法、和裝置。在同一腔室内執行 多道製程步禅的作法,亦可提高對製程參數之控制性、大 te降低所沈積薄膜内之含水量、並使因金屬污染物或製程 殘渣污染物造成元件損傷之現象減少。 -15- 本紙張尺度適财關 (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消费合作社印製 412779 A7 B7 五、發明説明(13 ) 特別是,本發明提供了用以形成具有相當薄之薄膜厚 度之介電薄膜的高溫沈積、加熱 '與有效清潔程序β本發 明之諸實施例特別可用於沈積諸如硼磷矽酸鹽玻璃(BPSG) 薄膜、硼矽酸鹽玻璃(BSG)薄膜 '或磷矽酸鹽玻璃(PSG) 薄膜等寺經摻雜之介電薄膜,以及可用於形成充作例如源 極/汲極接面或是作為淺槽溝隔離結構中之通道停止擴散 體的一個超淺摻雜區;另外,本發明之諸實施例亦可用於 沈積用來充作預金屬介電(PMD)層、令間金屬介電(IMD) 層、或其它介電層的摻雜介電薄膜;更且,本發明之諸實 施例更可用於沈積用作為淺槽溝隔離充填氧化物、絕緣 層、蓋帽層、或其它層體的未摻雜介電薄膜。 依據本發明構成的方法包括在具有介於大約10-760 torr間之壓力的一個真空腔室内藉CVD沈積介電薄膜於 一塊基體上,以及把該基體加熱至大於大約500°C的一個 溫度》該基體可被加熱而供多種目的所需,諸如執行使所 沈積介電層再流動俾完成平面化之程序、或者用以從一層 所沈積摻雜介電層驅使摻雜劑進入;此程序可用單一道步 驟予以施行(例如於大於500°C之溫度下沈積該薄膜於晶 圓上並使之再流動),或是以多道步驟施行之(例如于低 於500°C之溫度下沈積該薄膜於晶圓上、以及接著在該薄 膜已沈積成後加熱晶圓上之該薄膜);不管在何種狀況下, 高溫加工處理程序均係在未把晶圓自該真空腔室移出之情 形下完成,如此會有利地降低該介電薄膜中之水份吸收 量;此等高溫加工處理方式亦讓附有蓋帽層之摻雜介電薄 -16- 本紙張尺度適用中國國家標準(CNS ΰ4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) ,?τ Λ 4127 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(w) 膜可在原地非中斷式地沈積出來,而有利地減少摻雜削自 該摻雜薄膜脫出之現象並降低含水量。在一组特定實施例 中,係把反應氣體輸送至基體表面處,讓該處發生熱誘發 化學反應而產生該介電薄膜,此外或是可替換地,可形成 受控制之電漿來促進反應物種之分解。 于一組例示性實施例中,該介電薄膜係為用來充作超 淺接面所需摻雜劑擴散源的一層薄摻雜薄膜;此薄膜係於 超過大約500°C之溫度下被沈積於基體上,並加熱至更高 溫度(通常大於60CTC ’且較佳地係大於大約700°C ),以 將摻雜劑從該介電層擴散到其下方之基體内。於同一腔室 内進行沈積與加熱步驟的這種作法,對該摻雜介電薄膜之 厚度、均勻度、及含水量提供了較佳的控制性;改善該薄 膜之含水量可增加此薄琪内可用摻雜劑之數量,而特別有 利於在高集積度元件内形成超淺接面。 于本發明之另一層面中,一套遠距電漿系統則被提供 來蝕刻真空腔室内壁和其裝置之構件上的不合意沈積物, 及用以在加工處理前從半導體晶圓上清除本生氧化物與其 它殘渣。使用遠距能量源的一種溫和清潔技術較佳地被用 來取代傳統之在原地非中斷式電漿處理程序,以減少金屬 污染物。例如,此遠距電漿系統提供一種遠距電漿,且來 自此電漿之氟根基團(原子困)較佳地可進入處於高溫之 腔室内並對該腔室提供一種溫和的熱清潔作用。運用此種 遠距電漿系統,則只用到化學反應,且物理濺散效應之問 題即被消除:反之,倘若採用一套在原地非中斷式電漿系 -17- 本紙張尺度適用中國國家標準(〔>^)六4規格(2丨0/297公釐) (請先閏讀背面之注意事項再填寫本頁) 、-° ns A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(15 統,滅散效應會衝擊腔室之鋁壁,而因此可能導致所處理 晶圓遭金屬污染。在採用遠距電漿系統的熱清潔程序中, 導入腔室内的根基團可從腔室表面上有效地清除不想要有 的沈積物與殘渣,而同時電漿保持在遠處或在腔室之外; 此種遠距電漿系統的另一項優點為,本生氧化物可有效率 地從晶圓上被清除,而有效地確保能從該摻雜介電薄膜層 作有效而均勻之摻雜劑擴散,且不會對矽晶圓造成顯著之 表面損害;本遠距電漿系統之更一項優點在於,本系統亦 可被組構來用於藉由依需要使用不同輸入氣體來沈積—些 薄膜。 于一組較佳實施例中,該遠距電漿清潔系統係為一種 微波電漿系統’其被組構來產生及輸送一種選擇物種(諸 如氟、氯、或其它根基困)到該加工處理腔室。此遠距電 漿系統藉微波輻射來激勵氣體,而產生帶有蝕刻根基團的 一種電漿。詳言之,其微波係藉一個磁控管或其它合適能 量源產生’並經一套波導系統導引至一個施用管,而於該 處產生電漿;反應氣體接著被送入該施用管,並受微波能 量激勵*此等能量維持所激起之電漿的離子化狀態,以產 生流入加工處理腔室内的根基困流;為達成清潔作用,此 等根基團會與腔室壁上形成之殘渣反應而形成反應作用產 物氣體,此等反應後產生之作用氣艘即適於由排氣系統將 之排離該腔室。此種微波電漿系統亦可適於藉由輸送沈積 反應氣體到加工處理腔室内,沈積出電漿增強型CVD薄 膜。 18- 本纸張又度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
'1T ·ή—. 經濟部中央標隼局員工消費合作社印製 412779 A7 _______B7 五、發明説明(16) 在本發明之另一層面中’該遠距電漿系統包括有用以 指出腔室清潔程序何時已結束的一個终點檢測系統β若使 用傳統的终點檢測系統,在腔室内無電漿時即可能令其難 以精確指出清潔程序已完成之時間(亦即在腔室内之最後 的製程氣體殘餘物已和清潔用蝕刻劑反應使其可自腔室排 出時的時間);此乃因傳統的終點檢測系統往往依賴利用 在腔室内之電衆的方式,來查驗自該在原地非中斷式電致 發出之排出物*以測知清潔程序的终點。而在本發明中, 則把一套終點檢測總成耦裝於處理腔室之氣體排出口上, 藉由檢測光強度由於光線被諸如SiF4等排出清潔氣體反 應作用產物吸收而發生之光強度變化,來測知清潔程序的 終點· 在本發明的又一個層面中,一組方法提供將諸如氟等 任何被吸附清潔氣體自腔室壁表面上吸除(getter)的功 能。依據本發明’含有氟的一種第一清潔氣體被引入處理 腔室内’以從處理腔室中清除掉沈積殘餘物;在以該第一 清潔氣體清除掉殘餘物後,接著把一種第二清潔氣體引入 處理腔室内’此種第二清潔氣體會把因第一清潔氣翘和處 理腔室内部表面反應形成之清潔殘餘物去除。此種從腔室 去除或吸除清潔殘餘物的作法可產生數項優點:例如,在 本發明之一組較佳實施例中,氟根基困(原子團)被輸送 至處理腔室内’藉著形成會被抽泵送離腔室的一種氟化矽 氣想產物’而清除諸如氧化矽等殘餘物;在此以氟為基礎 的腔室清潔程序完成後,在腔室壁表面上之任何吸附氟即 __-19- 本紙張尺度適·用中國國家標準( CNS } A4規格(2丨〇>( 297公釐) ' (請先閱讀背面之注意事項再填寫本頁)
*1T A7 41277q ________B7 五、發明説明(Π ) 被清除,否則其可能會和受處理之下—個晶圓上沈積薄膜 相互作用或是被結合到該薄膜内。于一組替換性實施例 {請先閱讀背面之注意事項再填寫本頁) 中’吸除作用利用以微波產生之原子氧和一個矽源對腔室 作調質處理’在腔室上沈積出一層薄的氧化物薄膜,供陷 捕任何被吸附的氟並防止後來沈積成之薄臈遭污染β 本發明亦提供了用於高溫處理製程的多種耐熱及製程 相容構件。本發明之系統包括有具有容裝一個處理腔室之 包封總成的_套蒸汽沈積裝置,此裝置包括有具有用以將 晶圓加熱至高達大約500-800。(:之溫度之一個臺座/加熱 ♦ 器的一套加熱總成;該臺座較佳地包含有在至少大約4〇〇 C之溫度下且最好在高達大約5 00-8 00 °C之溫度下實質上 可抗拒與處理氣體發生反應及可抗拒被該等處理氣體沈積 的一種材料;另外’該臺座較佳地包含有在高溫(亦即 500-800°C )下實質上可抗拒被在清潔程序期間引入腔室 之氟根基圃蝕刻的_種材料;在一組例示性實施例中,該 臺座/加熱器包含有埋置在諸如氧化鋁或較佳地諸如為氮 化鋁之一種陶瓷材料内的一個電阻性加熱元件。 經濟部中央標準局員工消費合作社印製 本發明之該加熱總成更包括有用以將該臺座/加熱器 支撐於該腔室内且用以容裝所連接之必要電氣連接線的一 根支撐轴,此支撐轴較佳地包含有擴散黏結於該臺座/加 熱器上以在該轴内提供一個真空密封作用的一種陶竞材 料’此真空密封作用讓該轴之中空内部高溫處理程序進行 期間可維持在周遭溫度與壓力下,此可保護各電極和其它 電氣連接體免遭該製程和位於該腔室内之清潔用氣體所腐 -20- 本紙張尺度適用中國國家標準(CNS ) A4^格(210X297公釐) A7 41277 —_____B7 五、發明説明(18 ) 鞋,另外,在該轴内提供周遭壓力的結果,更可使從電源 經由該轴之中空轴心到電力引線或該轴之外壁發生電弧的 機會降至最小。 于本發明的再一層面中,一套腔室内襯被設置圍繞著 該臺座/加熱器,以隔離腔室壁和該加熱器^較佳地,此 腔室内襯包括有由諸如陶瓷等等可耐受高溫及抗拒沈積/ 清潔反應之一種材料所構成的一個内部部分、與由一種抗 裂材料構成的一個外部部分。該内襯之内部部分把腔室壁 隔離,以降低晶圓邊緣冷卻效應,否則此效應可能會不利 地影響所沈積薄膜之均勻度;而該腔室内概之外部部分遠 比該内部部分厚’以跨越晶圓與諸腔室壁間之間隙,並使 龜裂機會降至最低’否則若用一個單一相當厚之陶曼内槻 即可能會發生龜裂•于一組例示性實施例中,該内襯之外 部部分包括有一些氣隙’以提高該内襯所提供之隔離絕緣 作用。 于本發明的更一個層面中’則為該包封總成提供了一 組蓋總成。此蓋總成包括有耦接到一或多個清潔氣體通道 與一或多個加工處理氣體通道以供接收加工處理和清潔氣 體並供輸送此等氣體進入該腔室的一個氣體混合塊(或 盒);在該等清潔氣體通道上或在該等處理氣體通道上設 置有一或多個閥,供選擇性地允許氣體通過而流到該氣體 混合塊。此實施例藉著讓本裝置可在加工處理和清潔程序 之間迅速且有效率地切換,而有助於本發明之該在原地非 中斷式清潔方法之施行,進而能提高整個系統之產出率。 -21- 本紙张尺度適用中國國家標隼(CNS ) A4規格(210X 297公釐)· (請先閎讀背面之注意事項再填寫本頁) 訂 A-- 經濟部中央標準局員工消費合作社印製 經濟部中央標隼扃負工消費合作社印取 412779 A7 __.__B7__ 五、發明説明(19 ) 在一組例示性實施例中,該蓋總成更包括有一故基板 與一塊氣體散佈板,該基板具有用以接受一或多種氣趙的 一個氣體入口,而該氣體散佈板具有用以撒佈氣體進入該 處理腔室的多個孔。此蓋總成包括有在該基板上的一或多 條旁通通道,此等旁通通道對流體流動作用提供比該等氣 體散佈孔為小的阻力•在清潔程序期間,例如,諸清潔氣 體中至少有一部分會直接經過該等旁通通道進入該腔室 内,以增加清潔程序之速度,因而縮短該腔室之停產時間》 本裝置較佳地包括有諸如一個閥與一個控制器的一套控制 系統,用以部分地或完全地關閉該等旁通通道,來控制經 過該等氣體散佈孔之氣體流量。 本發明的這些以及其它實施例1連同其優點和特徵, 將配合下文之敘述和後附圖式加以更詳盡地描述。 圚式簡介 第1A圓係為依據本發明構成之一套CVD裝置的一 幅垂直剖視囡; 第1B圖係為一組多腔室系統中之系統監視器與CVD 裝置10的一幅簡圖; 第1C囷繪示出該CVD裝置10相對於設于一個潔淨 室内之一塊氣體供應面板80之狀況的一幅概示圖; 第1 D圖係為依據一组特定實施例構成之系統控制軟 體電腦程式150之階層式控制結構的一幅示意方塊圖; 第1 E圖係為一套例示性加熱器控制次常式的一幅流 程方塊圖; -22- __ ♦ _ - -—- 本紙張尺皮適用中國國家標準(C,\S ) A4规格(2I0.X297公釐) (請先閱讀背面之注意事項再填寫本頁) .11 I. Λ 經濟部t央標準局員工消費合作社印製 412779 Α7 Β7 五、發明説明(20) 第2圖係為依據本發明構成之CVD裝置丨〇之一組較 佳實施例的一幅分解圖; 第3圓係為沿第2圖中之線3 - 3取得之部分概示垂 直剖視圖; 第4囷係為第2囷之該裝置之一個半導體處理腔室的 一幅放大剖視圖: 第5圊係為供第2圖之該裝置用之一套氣想散佈系統 的一幅分解圖; 第6 A圏係為CVD裝置10之—组蓋總成的一幅部分 切除頂視圚,其中示出氣體散佈系統之多個部分; 第6 B與6 C囷分別繪示出供CVD裝置10用且結合 有供清潔氣體用之一條旁通導管之一組替換性蓋總成的前 視剖面圓與頂視圖; 第7 A與7 B圊係分別為依據本發明之一組實施例構 成之一個腔室内概的側視剖面圓和底視圖; 第8圖係為第3圖沿其線8-8取得的一幅部分概示 剖視囷’其續示出在第2圖之該CVD裝置1〇之排氣系統 中的抽泵通道與氣體流動型式; 第9圖係為依據本發明之一组實施例構成之一套加熱 器/舉昇總成的_幅部分概示垂直剖視圖: 第10圖係為第9圖之該加熱器/舉昇總成之一底部 部分的一幅放大剖視囷; 第11圊係為第9圖之該總成依據本發明之一組實施 例構成之一套臺座/加熱器的側視剖面圖; -23- 本紙ί長尺度適用中國國家標準(CNS ) Λ4規格(210X 297公髮) {請先聞讀背面之注f項再填寫本頁)
«2 «2 經濟部中央標準局工消費合作社印製 A7 B7 i、發明説明(21 ) 第12圖係為該臺座//加熱器的一幅底視圖,其中繪 示出一個加熱器線圈; 第13圖係為第9圖之該加熱器/舉昇總成的一幅分 解圊: 第14囷係為第1〇圖之該臺座/加熱器内之諸電氣連 接結構之一的一幅放大圖; 第15A與15B圖分別繪示出在該臺座/加熱器内用 於收納一個熱電偶的一個孔、和該熱電偶; 第16圏係為依據本發明之一組實施例用以清潔晶園 及/或該加工處理腔室之一套遠距微波電漿系統的一幅簡 rgt · 團, 第17A至17D圖係為依據本發明之一組實施例構成 之一套清潔程序终點檢測系統的數幅概示圖; 第18圖係為依據本發明之一組實施例製造之一個半 導體元件的一幅簡化剖視圖; 第19A至19E圖係為本發明之方法與裝置用於超淺 源極/汲極接面的一組例示性應用例的數幅簡化剖視圖; 第20A至20G圓係為本發明之方法與裝置用於超淺 槽溝隔離結構的另一組例示性應用例的數幅簡化剖視圖; 第21圖鳍示出NF3流量和以依據本發明之一組特定 實施例構成之遠距微波電漿系統55提供而能產生最佳清 潔速率的微波飽和功率之間的關係; 第22A至22C圖係為繪示出一些實驗結果的數幅圖 表’此等實驗結果顯示出利用依據本發明之一组實施例所 -24- 本紙張尺度適用中國國家標準(CNS ) A4規格〔210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -ί° 經濟部中央標準局員工消費合作社印製 412^79 A7 _B7 五、發明説明(22) 製附蓋BSG薄膜形成之一些超淺接面之摻雜劑分佈輪 廓; 第23A至23F圖係為繪示出一些進一步實驗結果的 數幅圖表,此等進一步實驗結果顯示出利用依據本發明之 另一組實施例所製不同附蓋BSG薄膜形成之一些超淺接 面的摻雜劑分佈輪廓與薄片電阻係數; 第24A圖係為展示依據本發明之一組特定實施例于 600eC下所沈積之一些PSG薄膜之沈積時間陳充填能力的 一幅顯微照片; 第24B囷係為第24A圈所示結構之_部段的一幅簡 化圊: 第25圖繪示出依據本發明之一組特定實施例在一些 例示性加工處理條件下於大約600°C下所沈積之一層PSG 薄膜的FTIR頻譜; 第26A與26B圖係為展示一些TE0S/03 USG薄膜之 相對間隙充填能力的兩幅顯微照片,此等薄膜係依據本發 明之一組特定實施例在以大約l〇5〇°C之溫度加熱及隨後 施予濕蝕刻處理之後,分別於大約400°C與大約550。(:之 溫度下所沈積而成者; 第27圖係為展示一層USG薄膜之間隙充填能力的一 幅顯微照片’此薄骐係依據本發明之一組特定實施例在以 大約1000eC之溫度加熱及隨後施予濕蝕刻處理之後,於 大約55〇t之溫度下所沈積而成者;以及 第28圖繪示出依據本發明之一組特定實施例在一些 -25: 本紙浪尺度適用中國國家標準(CNS ) Λ4規格(210 X 297公釐) (請先閱讀背面之注^^項再填寫本頁) 訂 -f、! A7 B7 412779 五、發明説明(23 ) 例示性加工處理條件下於大約55〇艺下所沈積之一層USG 薄膜的FTIR頻譜。 (請先閱讀背面之注意事項再填寫本頁) 特定實施例之詳細敘述 I . CVD反應器条欲 A . CVD反廡器爐铪 本發明之諸實施例係為用於在大於大約5〇〇。〇之溫度 下沈積介電薄膜的系統、方法、與裝置。詳言之,此等系 統、方法、舆裝置可用於沈積未摻雜之介電薄膜與經摻雜 之介電薄膜;此等薄膜可用於形成超淺摻雜區、預金屬介 電層、中間金屬介電層、蓋帽層、氧化物充填層、或其它 層趙》第1A圖係為依據本發明之一組特定實施例構成之 一套CVD裝置1〇之一種實施例的垂直剖視圄;除了可以 沈積出介電薄膜以外,依據本發明構成的此裝置具有可用 於執行摻雜介電層之再流動處理俾完成平面化處理、或是 用於在形成超淺摻雜區時駆使摻雜劑從所沈積之摻雜介電 層進入的高溫加熱能力;再者,此裝置可提供有效率地清 潔CVD腔室各個構件以及清潔晶圓表面之能力。緣是, 經濟部中央標準局員工消費合作社印裝 多道製程步驟可在單一個腔室内執行,而不必再如過去般 地使晶圓從一腔室移送到其它外部腔室;如此便因消除了 晶圓從外界空氣中吸收水氣,而造成晶圓上之含水量降 低’且有利地提高摻雜劑保持在所沈積介電層内的程度。 另外’在單一個腔室内執行多道製程步驟,可節省時間, 而提高製程的整體產出率< 參照第IA圊,CVD裝置1〇包括容裝著一個真空腔 -26- 本紙浪尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 412779 經濟部中央標隼局員工消费合作社印製 A 7 B7 五、發明説明(24) 室15的一套包封總成200,該真空腔室15附有一個氣體 反應區Ιό ; 一塊氣體散佈板2〇設置於氣體反應區16上 方’供經由板20上之貫穿孔撒佈反應氣體到置於一個可 垂直移動加熱器25 (亦稱為晶圓支撐臺座或納置台)上 的一塊晶圓(圖上未示出)。CVD裝置10更包括有用以 加熱支撐於加熱器25上之該晶圓的一組加熱器/舉昇總 成30,此加熱器/舉昇總成3〇亦能可受控制地在如同第 1A圖所示之一個下方裝載/卸載位置和由緊鄰板2〇之一 條虛線13所示的一個上方處理位置之間移動》一塊中央 板(圖上未示出)包括有感測器供提供有關晶圓位置之資 訊。如同下文所詳細描述地,加熱器25包括有以最好為 氮化鋁的一種陶瓷包封住的一些電阻性加熱元件;于一組 例示性實施例中,加熱器25暴露於真空腔室15的所有表 面均以諸如氧化鋁(A1203或礬土)或氮化鋁的一種陶瓷 材料所製。當加熱器25及晶圓位於處理位置13時,它們 即受一個腔室内襯35沿裝置1〇之内壁17加以環繞,並 受由腔室内襯35與腔室15之一個頂部部分形成的一個環 狀抽泵通道40所環繞《如同下文所詳細論述地,腔室内 襯35之表面最好包含有諸如礬土或氮化鋁之一種陶瓷材 料’其係用於降低該電阻性加熱加熱器25 (高溫)和溫 度遠低於加熱器25的諸腔室壁17之間的溫度梯度。 反應與載送氣體經供應管線43供入氣體混合盒(或 氣體混合塊)273 (第5圖),此等氣體較佳地在混合盒中 被混合在一起及輸送至板20。氣體混合盒273較佳地係 -27- 本紙張尺度適用中國·國袁標準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁〕 -訂 經濟部中央標準局員工消費合作社印- 412779 Α7 Β7 五、發明説明(25) 為耦接於處理氣體供應管線43及清潔氣體導管47的—個 雙輸入混合塊。如同下文將會詳細述及地,一個處理器5〇 最好可控制地操作一個閘閥280 (第5圖),以選擇這兩 個替換氣體源中的某一者供送至板20以便撒佈入腔室15 内。導管47接收來自一組一體遠距電漿系統55之氣體, 該系統55具有供接收輸入之氣體的一個入口 57。於沈積 處理程序期間,供至板20之氣體被排向晶圓表面(如箭 頭21所示),其中氣體可均勻地沿徑向散佈跨越晶圓表 面,且其通常係呈疊層狀流動形式。淨化氣體可從一個入 口埠或管(圓上未示出)經包封總成200之底壁送入腔室 15内;此等淨化氣體向上流經加熱器25而到環狀抽泉通 道40處;一組排氣系統接著藉一套真空泵系統(圖上未 示出)使此等氣艘排入(如箭頭22所指)該環狀抽泵通 道40及經過一條排氣管線60:較佳地,排出之氣體與殘 餘物係以由一個節流閥系統63控制的一個速率從該環狀 抽泵通道40經排氣管線60排放出去。 於代表性實施例中,在CVD裝置10内執行之化學蒸 汽沈積程序係為一種熱性次大氣壓程,經常稱為大氣壓 CVD ( S ACVD )。如同前文所論述者,熱CVD製程供應 反應氣體到基體表面上’讓其上發生由熱引發之化學反應 (同質或異質性)’而產生期望之薄膜。在CVD裝置10 中,熱量如同下文所詳述地由電阻性加熱之加熱器25散 佈出去,其可達到高達大約400-8001之溫度〃此種熱量 散佈作用提供了對晶®作均勻快速加熱之作用,以供在原 -28- 本紙張尺度適用中國國家標準(CNS ) Α4規格(2丨οχ”7公着) (請先閱讀背面之注意事項再填寫本頁} 訂 412779 A7 B7 經濟部中央標準局員工消費合作衽印裝 五、發明説明(26)
地非中斷式地在腔室15内進行一道多步驟製程中的沈 積、再流動及/或驅迫滲入、清潔、及/或調質/吸除等 等步驟。或者,可藉著從一個RF電源供應器(圖上未示 出)供至氣體数佈板20的RF能量,在晶圓附近形成一 團受控制之電漿;于另具有一個下方RF電極的一些實施 例中’ RF電源供應器可供應單頻rf功率到板20,或供 應混頻RF功率到板20和該下方rf電極,以增強把引入 處理腔室15之反應物種分解之作用;於一道電漿製程中| 蒸汽沈積裝置10的某些構件將須修改,以調適RF能量, 如同下文所述Q 一艘設置於CVD裝置1〇内的遠距微波電漿系統55 較佳地被調適來用於執行從腔室15包括壁17以及其它構 件的種種構件上定期清除不想要有的沈積殘餘物之工作; 再者’此遠距微波電漿系統55亦可視所想要之應用場合 而定地’執行自晶圓表面上清除或蝕刻掉本生氧化物或殘 餘物的工作;而儘管經管線57輪入電漿系统55之氣體為 用以產生一图電漿以提供氟、氣、或其它根基團(原子團) 的一些反應性清潔氣體’但此遠距微波電漿系統55亦可 被調整成可藉著經由輸入管線57輸入一些沈積用反應性 氣體到該系統55内’來沈積電漿增強型CVD薄膜•一般 而言,遠距微波電漿系統55經由輸入管線57接收氣體, 此等氣體受微波輻射激勵而產生帶有許多蝕刻用根基困的 一團電漿,此等根基團經導管47送出俾供透過板20撒佈 到腔室15内。電漿系統55之特定細節將在下文中進一步 -29- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁} -'β A7
412VV9 經濟部中央標準局負工消費合作社印繁 予以論述。而裝置10的某些實施例亦可包括一套射頻(RF) 電叛系統’來提供在原地非中斷式電漿能力。 一些馬達與光學感測器(圖上未示出)被用來移動及 測定諸如節流閥系統63與加熱器25等等可動機械總成之 位置;加熱器/舉昇總成30、前述馬達 '閘閥280、節流 間系統63、遠距微波電漿系統55、以及其它的系統構件 均受處理器50透過一些控制線65加以控制,在®中僅示 &其中某些控制線。 處理器50負責控制此CVD機器的所有動作;故此處 理器50乃作用如系統控制器而執行系統控制軟體,此等 軟艘係為儲存於耦接到處理器50上之一個記憶體70内的 一套電腦程式。較佳地,記憶體70可為一部硬碟機,但 記憶體70當然亦可為它種記憶體。而除了一部硬碟機(例 如該記憶體70)以外,本CVD裝置10在一組較佳實施 例中亦包括有一部軟碟機及_組卡片載架。處理器5〇係 在系統控制軟體之控制下運作,該套軟體包括有指定某一 特定製程之時序、氣體混合、腔室壓力、腔室溫度、微波 功率準位、納置台位置、及其它參數的多組指令集;其他 電腦程式,諸如於包括例如插在某一部磁碟機或其它合適 碟片驅動器内之一片軟碟片或其它電腦程式產品在内之他 種記憶體上所儲存的程式,亦可用於操作處理器50;此 類系统控制軟體會於下文中詳細論述《該卡片載架含有一 部單一電路板電腦、類比及數位輸入/輸出電路板、介面 電路板、和步進馬達控制器電路板。本CVD裝置1〇之各 -30- 恭紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 、?Τ 線 經濟部中央標隼局員工消费合作社印¾ «12779 at B7 五、發明説明(28 ) 個部件俱符合「凡爾沙(Versa)模組化歐洲(VME)」標準, 此套標準乃定義電路板、卡片殼架、及連接器之大小與型 式’且此套標準亦定義了具有一組16位元資料匯流排與 一組24位元位址匯流排的一種匯流排結構。 使用者和處理器50之間的介面係透過第1B圖中所示 的一部CRT監視器73a與光筆731j所構成,該圖係為系 統監視器與CVD裝置1〇的一幅簡圖,靖示出一套多腔室 系統的諸腔室中之一個腔室β該CVD裝置10最好附接於 一套主構架單元75上,此單元75含有且提供該裝置1〇 所需之電氣、管線舖設、及其他支撐功能;而可和本CVD 裝置10之所繪示實施例配合使用之主構架單元的實例目 前已可在市場上購得,例如美國加州聖大克萊拉市之應用 材料股份有限公司所推出之precisi〇n 5〇〇〇τκ以及 Centura 5200ΤΪ型系統。多腔室系統具有可在其各腔室之 間輸送晶圓而不會破壞真空且不必讓晶圓暴露於此多腔室 系統外之濕氣或其它污染物下的能力。多腔室系統的一項 優點為,此多腔室系統内之不同腔室可供整個製程中之不 同目的所利用;例如’其中一個腔室可用於沈積氧化物, 另一腔室可用於作快速熱處理,而再另一腔室則可用於進 行氧化物清除動作;製程可在此種多腔室系統内不間斷地 進行’因而能使晶圓不再如同過去為執行製程之不同階段 而在各個獨立個別腔室(非在一套多腔室系統内)間輸送 晶囿時經常發生地受到污染。 在較佳實施例中,採用兩部監視器73a,一部安裝於 -31 本紙張尺度適用中國國家標準(CNS ) A4規格(210 x 297公瘦) ~ {請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消费合作社印製 412779 A7 __B7 五、發明説明(29 ) 潔淨室难上供操作者監看,另一部則裝在胁後供維修技師 監看,此一部監視器73a同時顯示相同資訊,但同時間則 只有一隻光筆73b能夠動作。光筆73b以裝在其筆尖之一 個光線感測器檢測CRT顯示器發出之光線;要選擇某一特 定畫面或功能時’操作者可用光筆點觸顯示器螢幕之某一 指定區域,並按壓光筆73b上之按叙;被點觸之區域會改 變成強化之色彩,或是顯示出一個新選單或畫面,來確認 光筆與顯 示器螢幕間之通訊動作。當然,諸如鍵盤、滑鼠、 或是其它指標或通訊裝置等等的它種裝置亦可用來取代光 筆73b或作為额外之工具,讓使用者可與處理器50通訊。 第1C圖繪示出CVD裝置10相對於設置在一間潔淨室 内之一塊氣體供應面板80的一幅概要總匯圖》如同上文 所述,CVD裝置10包括有附有加熱器25之腔室15、具有 來自供應管線43與導管47之輸入的氣體混合盒273、以 及附有輪入管線57的遠距微波電漿系統55。如前所述, 氣馥混合盒273係用於混合沈積氣體與清潔氣體或其他氣 體,並經由入口管線43將之注入處理腔室15内。由第1C 圖可見,遠距微波電漿系統55係_體式地設置安裝於腔 室15下方,以導管47沿腔室15之側邊向上導至位於腔 室15上方之閘閥280與氣體混合盒273 »類似地,沿腔 室15之側邊向上佈設到氣體混合盒273的氣體應管線 43,從氣體供應面板80經由管線83與85供以反應用氣 體。氣體供應面板80包括有通至氣體或液體供應源90的 一些管線,該供應源90可視用於某一特定應用場合之期 -32- 本紙張尺度適用中國國家標準(CNS ) Α4規格(2ΐσχ2幻公釐) : (請先閱讀背面之注意事項再填寫本黃) 訂 A7 412779 B7 — - ______ 五、發明説明(30) ~~ 望製程而變地含容有氣體或液體。氣體供應面板8〇具有 從供應源90接收沈積處理與承載氣體(或蒸發液艘)的 一套混合系統93,用以將各種氣體混合並經由接到供應 管線43的該條管線85送到氣體混合盒273。一般而言, 每一種處理氣體用的供應管線均包括有:(i)可用於自動 或手動地切斷處理氣體流入管線85或管線57之流動動作 的關斷閥95、以及(ii)負責測量經過諸供應管線之氣體 或液體流量的質量流量控制器100。當在製程中用到有毒 氣體(例如臭氧及清潔氣體)時,可用傳統組構方式在每 一條氣體供應管線上安置多個關斷閥95。包括例如四乙 基庳矽酸鹽(TEOS)、氦(He)、與氮(N2)、和視意願摻用之 三乙基磷酸鹽(TEPO)、三乙基硼酸鹽(TEB)、及/或其它 摻雜劑源在内之沈積與承載氣體被供應到氣體混合系統 93的速率,亦由一些液體或氣體質量流量控制器(MFC)(圖 上未示出)及/或由一些閥體(圖上未示出)予以控制。 于某些實施例中’氣體混合系統93包括有用以將諸如TEOS 及TEPO等等反應劑液體汽化的一個液體注入系統;依據 此等實施例,包括有作為磷源之TEPO、作為矽源之TEOS、 以及諸如氧(02)或臭氧(〇3)等等之一或多個氣態氧供應源 在内的一些混合物可用氣體混合系統93予以形成° TEPO 與TEOS俱為液體供應源,其亦可藉傳統鍋爐型熱盒或是 在其它實施例中藉起泡器型熱盒予以汽化;惟,液體注入 系統是較佳的’因為它可對反應劑液體被引入氣體混合系 統内之體積提供較好的控制。經汽化的氣艘接著在被輸送 -33- 本紙張尺度適用中國國家標準(CNS ) A4現格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 气 經濟部中央標準局員工消費合作社印製 412779 A7 B7 ----------_-------------------— 五、發明説明(31) 到經加熱之管線85之前’在該氣體混合系統内和諸如氦 的一種承載氣體混合。當然應知,其中亦可改用其他掺雜 劑源、矽、與氡等等。 另外,氣體供應面板80包括有切換閥95,此閥在處 理器50之控制下可選擇性地以氮氣輸送清潔氣體沿處理 氣體管線83到氣體供應管線43,或沿清潔氣體管線57 到該遠距微波電漿系統55。當處理器55使切換閥95經 由輸入管線57以氮氣輸送清潔氣體到該電漿系統55時, 即會因有微波能量施加而在遠離腔室15之處形成一困電 漿,且產生清潔用根基團供輸送到氣體導管47。處理器50 亦可使另一閥體96透過管線83輸送臭氧到氣體供應管線 43,及從氣體混合系統93經由受加熱之管線85輸送沈積 與承載氣體到氣體供應管線43。于替換實施例中,閥體95 於其輸出端只連接到管線97,且選擇性地允許清潔氣體 與氮氣經管線97送到一個切換閥105(圖上未示出)處; 此切換閥105位於靠近入口 57且遠離系統55的一點處, 而在此等實施例中係被連接於通往遠距微波系統55的該 入口 57上,並連接於通到入口 43的管線83上。于一些 特定實施例中,閘閥280可由處理器50利用得自該系統 軟體電腦程式的一些指令予以控制,以選擇讓清潔氣體或 是沈積氣體流入腔室15内。 在和設置有本裝置10之腔室15的該潔淨室遠隔之 處,設置了一個微波電源供應器110舆臭氧發生器115; 此電泺供應器110供應電力到該遠距電漿系統55内之磁 -34- ^紙浪尺度適用中國國家標準(CNS ) A4規格(2丨OX 297公釐_)~~ (請先閱讀背面之注意事項再填寫本頁)
,1T ^1. 經濟部中央標準局負工消費合作社印衆 經濟部中央標準局員工消費合作社印聚 412779 λ7 __._Β7 _____ 五、發明説明(32 ) 控管;臭氧發生器115施加功率予作為輸入的氧氣(02), 而提供作為輸出的臭氧(03),俾用作為諸供應源90當中 的至少一種。在其他實施例中,電源供應器110與臭氧發 生器115則可設置於該潔淨室内,而非設於遠處。再者, 在需要用到多個臭氧供應源及/或多套遠距微波電漿系統 55的一些多腔室系統中,則可設置多個臭氧發生器115 與多個電源供應器110。 用於沈積薄膜、執行清潔動作' 及執行再流動或驅迫 滲入步驟的諸程序,可利用由處理器執行的一套電腦 程式產品來施行;此套電腦程式碼可用任何可由傳统電臈 讀取之程式規劃語言來編寫,諸如例如68000組合語言、 C語言、C++語言、Pascal、Fortran、或其它語言等等。 合適之程式碼利用一套傳統之文書編輯器程式輸入成為單 一個檔案或多個檔案,並儲存於或載入一種可供電腦使用 之媒體内’諸如電腦的記憶體系統内,若所輸入之程式碼 本文為高階語言型式,此程式碼即會受編譯,而所得之編 泽器程式碼接著與一些經預先編譯之視窗程式庫常式的目 的碼連結起來;當欲執行所連結之經編譯目的碼時,系統 使用者呼叫該目的碼,使電腦系統把該程式碼載入記憶體 内,而CPU即可從該記憶體讀取並執行該程式碼,來施行 該程式中所指定之各項工作。 第1D圖係為依據本發明之一組特定實施例構成之系 統控制軟體(電雎程式15〇)之階層式控制架構的流程說 明方塊圖。使用者可利用一套光筆介面呼應CRT監視器上 -35- 本紙浪尺度適用t國國家標準(CMS ) Μ規格(2IG.X297公釐) ~~ (請先閱讀背面之注意事項再填寫本頁)
II 經濟部中央標準局員工消費合作社印聚 «2779 A7 _____B7____ 五、發明説明(33 ) 所顯示之各選單或各畫面輸入一個程序組號碼及處理腔室 號碼至一個程序選擇器次常式153中。屬於施行特定製程 所需之預定的多組程序參數的該等程序組係由預先定義之 組號碼來辨識。程序選擇器次常式153會辨識:(i)所期 望之處理腔室、以及(ii)操作該處理腔室俾施行所期望程 序所需之期望的程序參數組。施行某一特定程序所需之諸 程序參數,係和諸如例如處理氣體成份和流量率、溫度、 壓力等之處理條件、諸如磁控管功率準位(以及對於配備 有RF電漿系統的諸實施例而言,或者與高、低頻rf功率 準位及低頻RF頻率有關,或是另外與此等功率及頻率有 關)等之電漿狀況、冷卻氣體壓力、與腔室壁溫度等等有 關程序選擇器次常式153控制在某一時刻要在腔室π 内執行何種型式之程序(沈積、晶圓清潔、腔室清潔、腔 室脫氣、再流動);于某些實施例中,可以有一個以上之 程序選擇器次常式。諸程序參數係以製法秘訣之型式被提 供給使用者’且可利用該光筆/ CRT監視器介面予以輸入 執行。 用於監視該程序的信號由系統控制器之類比輸入電路 板和數位輸入電路板提供’而用以控制該程序的信號則在 本CVD系統10之該類比輸出電路板和數位輸出電路板上 輸出。 一組程序排序器次常式155包含有用以接受來自該程 序選擇器次常式153之經辨識處理腔室和程序參數組、以 及用以控制各個處理腔室之操作的的程式碼。多位使用者 -36- 本紙張尺度適用中國國家標準(CNS ) Λ4说格(2IOX297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂 A7 B7 五、發明説明(34 ) 可能輸入多個程序组號碼與多個處理腔室號碼,或是單__ 位使用者可能輸入多個程序組號碼與處理腔室號碼,故排 序器次常式155即會動作而把所選定之諸程序排成所期望 之順序。較佳地’排序器次常式155包括有供執行下列步 驟的程式碼:(i)監視諸處理腔室之操作以判定該等腔室 疋否正被使用、(ii)判定何等程序正在正被使用之諸腔室 内被執行、以及(iii)依據某一處理腔室之可用性和要被 施行之程序的型式來執行所期望之程序。此處可採用諸如 柚檢詢訊方式等之傳統方法來監視諸處理腔室。在排定哪 些程序要被執行之順序時,該排序器次常式155可被設計 成會考量正被使用之處理腔室的當前狀況來和某一選定程 序之諸期望程序狀況相比較,或考量使用者所輸入之每一 特定請求之“年紀”、或系統規劃者希望在判定排序之優 先度時包括進去的任何其它相關因素。 經濟部中央標车局員工消费合作社印製 (請先閱讀背面之注意事項再填寫本頁) 一旦排序器次常式155判定哪個處理腔室及程序組之 組合即將接著被執行,此排序器次常式155即把特定程序 組參數送至一個腔室管理器次常式157a-c而開始該程序 組之執行動作’此一腔室管理器次常式157a-c即根據排 序器次常式155所判定之程序組控制一個處理腔室15内 的多項處理工作。例如,腔室管理器次常式157a包含有 用以控制處理腔室15内之CVD和清潔程序操作的程式碼; 腔室管理器次常式157亦對負責控制施行所選定程序組所 需之腔室構件的操作之各種腔室構件次常式,控制其執行 狀況;此等腔室構件次常式之例子有基趙定置次常式 -37- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29?公釐) 經濟部中央標準局員工消費合作社印裂 A7 ____ B7 五 '發明説明(35 )
160、處理氣體控制次常式163、壓力控制次常式165、加 熱器控制次常式167、電漿控制次常式170、终點檢測控 制次常式159、及氣想吸除控制次常式169等等;視CVD 腔室之特定組配而定,某些實施例包括有所有上述諸次常 式,而其他實施例則可能只包括某些次常式。熟於此技者 將可輕易體會到’隨欲在處理腔室15内執行之何等程序 而定地’亦可把其他腔室控制用次常式包括進來。在操作 上,腔室管理器次常式157a係根據正被執行之特定程序 組’而選擇性地排定或呼叫諸程序構件次常式。腔室管理 器次常式157a極像排序器次常式155排定何種處理腔室 15與程序組要接著被執行之方式地,排定諸程序構件次 常式之順序。通常’腔室管理器次常式157a包括有下列 步驟:監視各個腔室構件、根據供欲被執行之程序組所需 的諸程序參數判定何等構件須被操作、以及響應於該監視 和判定步驟而啟動一項腔室構件次常式的執行動作。 現在將參照第1D圓來敘述諸特定腔室構件次常式的 操作。基體定置次常式160包含有用以控制用於把基體裝 載於加熱器25上並依意願而定地將基體在腔室15内舉昇 至一預期高度以控制基體與氣體散佈歧管20間之間距的 諸腔室構件之程式碼;當一基體被裝載入處理腔室15時, 加熱器25被降下來以收納該基體,然後該加熱器25才又 升至預期高度;在操作上,基體定置次常式160響應於自 腔室管理器次常式157a傳送來之關於支撐高度的諸程序 組參數,而控制加熱器25之移動動作。 -38- 本紙浪尺皮適用中國國家標'牟(CNS ) Λ4規格(210X297公《 ) (請先閱讀背面之注意事項再填寫本萸) 訂 丨· 412779 α7 -—______B7__丨. 五、發明說明(36 ) 處理氣體控制次常式163具有用以控制處理氣體成份 與’流量率的程式碼;此處理氣體控制次常式163控制諸安 全關斷閩之開/閉位置,且亦上/下斜移諸質量流量控制 器’以得到預期之氣體流量率;本處理氣體控制次常式163 如同所有腔室構件次常式一般地,由該腔室管理器次常式 157a呼叫執行,並從該腔室管理器次常式接收關於預期 氣體流量率的諸次常式程序參數=典型地,處理氣體控制 次常式163操作時會打開諸氣艘供應管線,並反覆地:(〇 讀取諸所需質量流量控制器之值、(ii)拿各讀數和自腔室 管理器次常式157a收到之期望流量率比較、以及(i i i)依 需要調整諸氣趙供應管線之流量率;再者,處理氣體控制 次常式163包括有監視各氣體流量率以查知欠妥之流率、 以及在檢知有不安全狀況時致動諸安全關斷閥的步驟;此 處理氣體控制次常式163亦會視被選定之期望程序(清潔 或沈積或其他程序)而定地’為清潔氣體以及為沈積氣體 控制其氣想成份和流量率。一些替換性的實施例可含有一 個以上的處理氣體控制次常式163,而其每一個次常式163 負責控制某一特定型式之程序或特定的氣體管線組》 于某些程序中’會讓諸如氮或氬等等的鈍氣流入腔室 15内’以在反應用處理氣體引入前使腔室内之壓力穩定。 對於此等程序’處理氣體控制次常式163乃被規劃成包括 有用以讓純氣流入腔室15内持績達欲使腔室is内之壓力 穩定所需的時間數量之步驟,而接著再施行前述步驟。另 外’當有一種處理氣體要從例如TEOS的液態先驅物汽化 -39- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公g ) (請先閲讀背面之注意ί項再填寫本頁) t-------- 訂------! *線 經濟部智慧財產局員工消費合作社印製 經濟部中央標準局員工消費合作社印裂 A7 B7 五、發明説明(37 ) 而來時,處理氣體控制次常式163將會被編寫為包括有用 以在一組起泡器總成中使諸如氦的一種輸送氣體成泡狀通 過該液態先堪物、或是把諸如氣的一種承載氣體引入一组 液體注入系統内的步驟;當使用起泡器於此型程序中時, 處理氣艘控制次常式163會調節輸送氣體流量、起泡器内 壓力、及起泡器溫度,以得到期望之處理氣艘流量率;如 同前述,所期望之諸處理氣體流量率係被傳送至處理氣體 控制次常式163作為程序參數。再者,處理氣體控制次常 式163包括有用以藉著存取含有針對某一給定處理氣體流 量率的一些必須值之一份儲存表,而得到所期望處理氣體 流量率所需之輸送氣體流量率、起泡器壓力、和起泡器溫 度的步驟;一旦取得諸必須值,輸送氣體流量率、起泡器 壓力、和起泡器溫度即會受監測、被拿來與諸必須值比較、 並依此受調整。 壓力控制次常式165包含有用以藉著調節腔室之排氣 系統中之節流閥的孔口大小來控制腔室15内之壓力的程 式碼。節流閥之孔口大小係被設定來相對於總處理氣體流 量、處理腔室之大小、及排氣系統之柚泵設定點壓力把腔 室壓力控制於某一期望準位。當壓力控制次常式165被呼 叫時’所期望或目標壓力準位即被收到而作為來自腔室管 理器次常式157a的一項參數。此壓力控制次常式165藉 由讀取連接於本腔室之一或多個傳統式壓力毫微米計而測 出腔室15内之壓力’比較(諸)測量值和目標壓力,自一 份儲存的壓力表取得對應於該目標壓力的一些piD (比 -40- 本紙張尺度適用中國國家標隼(CNS ) A4規格(210x297公f )- (諳先聞讀背面之注意事項再填寫本頁)
,1T " 經濟部中央標準局員工消費合作社印製 4l277d A7 ____ B7 五、發明説明(38 ) 例、積分、與微分)值,再根據得自該壓力表的諸PID值 調整節流閥。或者,此壓力控制次常式165可被編寫成會 把節流閥打開或關閉達某一特定孔口大小,以將腔室15 内之壓力調節到期望準位。 加熱器控制次常式167包含有用以控制一個加熱器元 件473之溫度的程式碼,此加熱器元件473係用於電阻式 地將加熱器25 (以及其上之任何基體)加熱》參照第ιέ 圖,亦由腔室管理器次常式157a呼叫執行的加熱器控制 次常式167接收一個期望目標/設定點溫度參數Tdes作為 一個輸入(步驟580 );于步騍582,加熱器控制次常式167 藉著測量設於加熱器25内之一個熱電偶的電壓輸出而測 定加熱器25之目前溫度,此目前溫度係以T(k)表示,其 中k為加熱器控制次常式167之目前時間階段;控制器會 藉著在一份所儲存轉換表中詢査得到相對應溫度,或是藉 著利用一组四階多項式計算出其溫度,而自熱電偶之電壓 值得出該溫度T(k)°在一组例示性實施例中,加熱器控 制次常式167會在步驟584計算溫度誤差;而以Err, * A 161 p 表示的此溫度誤差係由方程式Errte,p(k)= Tdes — T(k)來決 定β 于步驟584中’加熱器控制次常式167將根據ErrteBP(k) 之絕對值來選擇兩種控制演繹法則中的_種。若該溫度誤 差之絕對值小於一個預定邊界誤差,加熱器控制次常式 167即會選定一個溫度調節器演繹法則(步驟586與588 ); 此演繹法則會把溫度精確地控制在該期望溫度Tdes,該溫 -41- 本紙張尺度適用中國國家標率(CNS ) Α·ί規格(210X297公濩) — '— I n I I I! 訂 -^1 (諳先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印 «^779 A7 B7 五、發明説明(39) 度誤差之絕對值大於該邊界誤差,加熱器控制次常式167 即會選定一個溫度斜坡控制演繹法則(步驟590 );此演 繹法則會控制加熱器溫度趨近該期望溫度Tdes的速率,亦 即,它會控制溫度變化之速率。 該溫度調節器演繹法則(步驟586及588 )利用回授 與前授控制法來更新輸送烚埋置於加熱器25内之加熱元 件電力,以使目前溫度T(k)維持於儘可能接近Tdes。在此 演繹法則中的前授控制法依給定之被供應到該腔室之氣體 流與RF功率之數量和型式,來估計維持該期望溫度所需 的電力大小;回授控制法則利用標準的比例-積分-微分 (PID)控制項’依據該溫度誤差Errteap(k)之動態行為調整 所估計之前授電力。PID控制法係為用於傳統加熱器控制 系統中而和溫度誤差之值無涉的演繹法則型式β倘若此等 常式試圖控制溫度斜坡率,則它們將會定義出一個時變的 Tdes(k) ’且接著運用上述pid控制器來追敢此期望沒度之 軌跡· 於本發明中,加熱器控制次常式167較佳地在溫度誤 差之絕對值大於該邊界誤差時,係運用溫度斜坡控制演繹 法則(步驟590)來控制供至加熱器25之電力;此演繹法 則控制時間階段k處之T,(k),後者乃為溫度之變化率; 此溫度變化率應受控制,因為加熱器25可能係以一種陶 瓷材料所製’而陶瓷材料在溫度變化過快時可能因熱衝擊 而破裂。此斜坡控制演繹法則利用前授與比例回授控制法 來控制T,(k)於一個預定期望斜坡率函數T,des(T),此期 -42- 本紙張尺度適用中國國家標準(CNS )戍4規_格(210X29?公楚) (請先閲讀背面之注意事項再填寫本頁) 丁 經濟部中央標準局員工消費合作社印裝 412779 A7 B7 i、發明説明(40) 望斜坡率主要係為加熱器溫度的一個函數,且主要係根據 加熱器25在各種溫度的熱衝擊抗性,因此,此期望斜坡 率可能會根據加熱器之目前測定溫度連續地變化,或者它 可根據低到足以避免在某一特定程序之溫度範圍内之熱衝 擊的一個最小比率而被設定在一個常數·>此控制演繹法則 亦對供應到加熱器之電力的變化率運用一種飽和函數,以 阻滯系統響應’而降低斜坡率中之振盪現象。 試圖藉著追縱定時的溫度軌跡來調節斜坡率的一些控 制器,充其量只能保證在未來於某時刻K處會達到某一期 望溫度Tdes(K)。在長度為K之時間區間内的平均斜坡率 為T des ;然而,溫度的瞬間變化率τ' (k)在該區間内卻 可能發生大幅變動。考慮有一擾動現象造成溫度在小於κ 之某段時間區間内保持穩定的狀況;控制器將會試圖儘快 地“趕上”期望的軌跡Tdes(k),而在控制器正追趕時的該 段時間區間内之斜坡率將會大於T,des :此種過程可能會 造成熱衝擊引發破裂之現象《而藉著直接控制該斜坡率, 本發明則避免了此種潛在的過程。 參照第1E圈,現在將描述一種例示性的斜坡控制演 繹法則。在期望的溫度Tdes輸入(步驟580 )、目前溫度T(k) 被測定(步驟582 )、且溫度誤差被判定(步驟584 )後, 此斜坡控制演繹法則即利用一種數值微分法來計算實際的 斜坡率T^k);它亦根據T(k)之目前值判定期望之斜坡率 T'des(T(k))並判定斜坡率中之誤差 Errrrate=T,des(T(k)) _ Τ'(k)(步驟592與594);實際斜坡率Τ'(k)係從所測 -43- 本紙张尺度適用中國國家標準(CNS ) A4規格(210.X297公釐) (請先聞讀背面之注意事項再填寫本頁) 訂 A7 B7 4l277d 五、發明説明(41) 定溫度T(k)就一個範圍之溫度測定樣本而計算出來。一 般而言,Τ’ des(T(k))在各個實施例中可為任何連績溫度函 數;而在特定實施例中,T,des (T(k))係被設定為一個預 疋常數值*所計算出之斜坡率係藉由以一個預定取樣率(例 如在本特定實施例中為在1秒的一個電力更新週期内取樣 10次)對溫度取樣(亦即測量),而加以決定出來;接著, 计算該10個樣本之平均值,並拿來和前一組丨〇個樣本之 平均值比較;前述第一组10個測定溫度與前一組1〇個測 疋温度之平均值間之差值’隨後被除以該電力更新週期, 而得到一個平均測定溫度;再來即計算出該平均測定溫度 之導數,而得出計算的斜坡率T,(k);跟著即可藉著取出 該常數值T,des(T(k))舆本特定實施例中所計算出之斜坡 率Τ’(k)之間的差值,而決定出斜坡率誤差ErrR…〆上 述實施例係為可採用之一種數值擻分法的一個實例,但可 能略為複雜的其它方法則亦可在其它實施例中採用;而在 其它實施例中’亦可採用其它取樣率· 為說明步驟596,本特定實施例中所用之一種例示性 控制函數係給定為下列方程式: P(k+1) = PMdeI[T(k),Tdes(T(k))]+Kp*[T'des(T(k))- T'(k)] 其中k為目前時間階段,而k+1為下一時間階段;p(k+1) 為將在下一時間階段供應至加熱器之電力; Pe〇dei [T(k),des(T(k))]為期望斜坡率與測定溫度的一個 函數,且為欲在溫度T(k)得到一個斜坡率T,h(T(k))所 -44- 本紙浪尺度刺__巾—咖家標準(CIS's ) Λ4規格(2!Gx297W~j ~ (請先閲讀背面之注意事項再填寫本頁) 訂 咴! 經濟部中央標準局貝工消費合作社印焚 經濟部中央標準局貝工消费合作社印製 ^12779 A7 ________B7___ 五、發明説明(42 ) 需之電力的某模型化近似值;κρ為由使用者所界定且乘以 斜坡率誤差ErrRRate的一個控制增益常數(以瓦特/(t/ 秒)為單位);在特定實施例中,Pecjdel[T(k),T,des(T(k))] 可被約計為P(k),此近似值對於諸如本發明之具有大熱 質量的該電阻式加熱器的緩慢系統而言特別為真;而控制 函數即約計為下列方程式: P(k+l) = P(k)+Kc*[T,des(T(k))-T, (k)] P(k+l)-P(k)=Kp*[T,des(T(k))-T,(k)] 由於加熱器之饗應偏慢,故在電力被調整的時間和該 調整產生期望結果的時間之間有遲滯。例如,若加熱器溫 度處於穩定狀態,且期望之斜坡率為正值,則控制器會提 高供至加熱器之電力;但是,依據該期望斜坡率,加熱器 溫度並不會立刻升高’控制器於是即會在下_個時間階段 時進一步提高電力;控制器將會持績不斷地提高電力,直 到符合於該期望斜坡率為止;然而,在那時刻以前,所供 應之電力可能已遠大於維持該期望斜坡率所需者,故斜坡 率即會繼續增大而超出其原期望值;此即所謂之過調整; 於是控制器會降低電力來加以因應,而斜坡率便緩慢減 小;但再一次地,控制器可能動作得比加熱器更快,使它 把電力降得過火,且斜坡率將降至超過其期望值之程度: 此即謂擺盪現象》隨著時間的經過,各次擺盪的幅度漸減, 而斜坡率才會達到某一固定的穩態值。而由於所有實際系 統皆會遭遇一些小擾動’故其中亦會含有小的穩態誤差。 Kp之值決定過調整與該穩態誤差的大小:若Kp之值 -45- 本紙張尺度適用中國國家標準(CNS ) Α4規格(2丨0Χ 297公釐) (请先閏讀背面之注意事項再填寫本頁) ,ιτ 唆 經濟部中央標準局負工消費合作社印: 4ί2779 at Β7 五、發明説明(43) 頗大,系統將更具擺盪性,旦穩態誤差則會偏小;若κρ 之值偏小,狀況便反過來。典型地,κρ可為偏大之值, 因為可配合採用導數控制法來阻滯系統響應速度,亦即降 低過調整與擺盪現象。穩態誤差可藉著運用積分控制法予 以縮減,惟此會傾向於使過調整及擺盪現象加大,且因而 它在此控制演繹法則中宜避免用到。其中亦有必要以數值 方式計算溫度的二次導數,因為熱電偶信號之信號對雜訊 比偏低,致其二次導數無法可靠地計算出來;因此,本發 明乃採用一個大的艮1>值,來降低穩態誤差,及用一個餘 和函數(步驟598)取代導數控制來阻滯系統響應速度。該 飽和函數有效地排定該增益Κρ,使Κρ反比於斜坡率誤差 ErrRRate =在系統響應之暫態部分期間,當誤差較大且過 調整狀況可能發生時,有效增益即偏小;而在穩態下,誤 差偏小,故有效Kp便偏大^ 用於阻滯系統響應的例示性飽和函數係由下列方 程式給定(步驟598 )。對於此等方程式,P(k+1)表示前 述控制方程式所界定之電力,(k + Ι)為供至加熱器之 實際電力,P’ max為所供應電力中自一時間階段到下一時 間階段的預定最大可容許變化。此例示性飽和函數如下: 若丨 P(k+1)-P(k) j >P,max , 則,對 P(k+l)>P(k)而言,Mk+lhPOO-P’m^, 且,對 P(k+l)<P(k)而言,PJk+lhPOO-P^M ; 否則,P^k+DsPU+l)。 現在可把一個新項目Kpeff(k)定義為控制器在時間階 -46- 本纸張尺度適用中國國家標準(CNS ) A4規格(2I0X 297公釐) " {諳先閲讀背面之注項再填寫本頁) 訂 g a? —— _!Z__ 五、發明説明(44 ) 段k時之有效增益;明顯地,若PJk+DsPU+l),則在 時間k處之有效增益即等於增益Κρ»但若套用飽和函數, Kpeff(k)即係藉由於上述方程式中以P(k+1)取代Pi(k+1) 並將它們和方程式:P(kH)=Pm(Jdei[T(k),T’des(T(k))] + KP*[T’ des(T(k)) — Τ’ 00]結合,而定義出來。此項目 Kpeff(k)如下: P’max=Kpeff(k)*ElTRjute(k);
Kpeff(k)= P’ mix/ErrRRate(k) · 藉著在斜坡率誤差偏大時使該有效增益偏小,過調整及響 應中擺盪現象即俱被此飽和函數所降低;如此便減低由於 貧乏之斜坡率控制而對加熱器造成損害之可能性。於是, 加熱器控制次常式167之該斜坡控制演繹法則即會在有大 的斜坡率誤差出現時阻滯系統之響應,藉以達成更有效率 之溫度控制效用。 經濟部中央標準局貝工消費合作社印裝 (請先閱讀背面之注意事項再填寫本頁) " 一個電漿控制次常式170包含有用以設定磁控管功率 準位及模式(CW或腺動式)的程式碼《而于具有rf電漿 系統之一些替換性實施例中,電漿控制次常式170亦可包 括有用以設定施加於腔室15中之諸處理電極上之低與高 頻RF功率準位、以及用於設定所用低頻rf頻率的程式碼; 當然,某些實施例可有一套針對微波功率準位用的電漿控 制次常式170、以及另一套針對RF功率準位用的電衆控 制次常式170。同於先前述及之各種腔室構件次常式,此 電漿控制次常式170亦係由該腔室管理器次常式157a來 呼叫之。 -47- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 412779 A7 B7 五、發明説明(45) —套電襞控制次常式170包含有用以設定及調整磁控 管功率準位及模式(CW或脈動式)的程式碼。而于具有RF 電漿系統之一些替換性實施例中,電漿控制次常式17〇亦 可包括有用以設定施加於腔室15中之諸處理電極上之低 與高頻RF功率準位、以及用於設定所用低頻rf頻率的程 式碼;當然,某些實施例可能有一套針對微波功率準位用 的電漿控制次常式170、以及另一套針對RF功率準位用 的電漿控制次常式170»同於前文已述及之各種腔室構件 次常式,此電漿控制次常式170亦係由該腔室管理器次常 式157a來呼叫執行之•在具有閛閥280之一些實施例中, 此電漿控制次常式170亦包括有用以啟閉該閘閥280來與 微波功率準位設定/調整動作相調合的程式碼;或者,系 統軟體在具有閘閥280的一些實施例中,可擁有一套獨立 的閘閥控制次常式。 一個終點檢測控制次常式159包括有用以管理終點檢 測動作的程式碼,其係藉由控制一個光源和一個光檢測 器、接收來自該光檢測器之資料以用於比較因吸收之一些 光強度變化、以及依意願而定地在檢出一個預定光強度準 位時停止清潔程序或提出一個旗標來表示清潔程序之終 點,來瓠到管理終點檢測動作之目的。終點檢測控制次常 式159亦可由該腔室管理器次常式157a呼叫之。對於採 用到下文所述终點檢測系統的那些實施例而言,即包括有 此终點檢測控制次常式159 ;而應知,不具終點檢測系統 的一些實施例將不需要用到或具有終點檢測控制次常式 -48- 本紙張尺度適用中國國家標準(CNS ) A4規格(2〗OX 297公釐) (請先閲讀背面之注意事項再填寫本頁)
、1T - 經濟部中央樣準局員工消費合作社印製 經濟部中央標隼局員工消費合作杜印裝 412779 A7 •___B7 五、發明説明(46) 159 - 依意願而定地’一套氣體吸除控制次常式169可被包 括,供由該腔室管理器次常式I57a加以呼叫。此氣體吸 除控制次常式169包括有用以控制可用於腔室調質、後清 潔氟吸除等等目的之氣體吸除程序的程式碼;于某些實施 例中,此氣體吸除控制次常式169可呼叫内建於清潔製法 秘訣内之特定軟體’以結合所用之清潔製法秘訣來增進氣 體吸除控制功能》 上文所呈現之CVD系統描述,主要係供一般性的舉例 說明之用’不應視為揭限本發明範圍之條件。該例示性Cvd 系統10係為一套單晶圓真空腔室系統;然而,在本發明 之其他實施例中亦可採用屬多晶圓腔室系統的他種Cvd系 統;惟應知’儘管本發明之某些特徵係被繪示及描述成一 套多腔至處理系統内之一個CVD腔室的一部分,但本發明 並不一定要依此方式限制’亦即,本發明亦可用在諸如蝕 刻腔室、擴散腔室等等的多種處理腔室中;上述系統可作 一些變化,諸如可在其設計、加熱器設計、Rf功率連接 位置、軟體操作與結構、某些軟體次常式中所用之一些特 定演釋法則、氣體輪入管線與閥體上作出一些變化、以及 其他變更;另外,諸如電子迴旋加速器諧振(ECR)電漿CVD 裝置、感應耦合RF高密度電漿CVD裝置等等的他種電漿 CVD设備’亦可運用;用於本發明中的介電層與供形成此 等層體的方法,同樣亦不應必然限制於任何特定裝置或限 定於任何特定電漿激勵法。 _ -49- 本紙張纽適用中國國^^^7CNS) M規格(210χ 297公趙j ^ ~ (請先閱讀背面之注意事項再填寫本頁) ,1Τ *3, 1. 經濟部中央榇準局貝工消費合作社印聚 A7 B7 五、發明説明(47) 如第2與3圖所示,CVD裝置10大致包括有一组包封 總成200,此總成具有用以在一真空腔室15内支撐一片 半導體晶圓的可垂直移動加熱器(晶圓支撐臺座或納置 台)25。(多種)處理氣體被送入腔室15内,以對該晶圓 執行多種沈積和蝕刻步驟;一套氣體散佈系統205 (第2 至6圓)把處理氣趙自氣體源90 (第1C圖)散佈到該晶 圓上,且一套排氣系統210(第2至4圖)負責自腔室15 排出此等處理氣體及其他殘餘物。CVD裝置10更包括有 一套加熱器/舉昇總成30 (第1A、9至15圖),此總成 包括有用以將晶圊加熱及用以把晶圊向上舉昇進到在腔室 15内之一個處理位置處的加熱器25; —套一體式遠距微 波電漿系統55(第1A與16圊)亦設置於CVD裝置10中, 用以定期進行腔室清潔、晶圓清潔、或沈積步驊》 如同第2圖所示,CVD裝置10更包括有一套液體冷卻 系統215,用以輸送冷卻劑至腔室15之各構件處,以於 高溫處理程序期間冷卻此等構件。液體冷卻系統215係用 來降低此等腔室構件之溫度,以使由於高溫程序而對該等 構件發生不想要之沈積作用的機會減至最少·此液體冷卻 系統215包括有用以供應冷卻水經過加熱器/舉昇總成30 之一對接水管217、219,以及用以輸送冷卻劑到氣體散 佈系统205 (下文會論述到)的一組冷卻齊丨歧管(圊上未 示出)。一個水流檢測器220檢測從一個熱交換器(圖上 来示出)到該包封總成200的水流。本裝置10之各個系 統的諸多較佳實施例將會在下文中更詳細地加以敘述。 -50- 本紙乐尺度適用中國國家標準(CN'S ) A4規格(210X297公釐) IK--------·.------訂------Υ Ί (請先閲讀背面之注意事項再填寫本頁) 4^277b A7 ______B7 五、發明説明(48 ) A息封總成 參照第2舆6圖,包封總成200較佳地係為由諸如鋁 或陽極化鋁等等之一種程序相容材料所製成的一個一體式 殼體;包封總成200包括有一組外蓋總成225,用以輸送 處理及清潔氣艘經_條入口管線43到位於總成2〇〇内之 一組内蓋總成230 ;内蓋總成23〇係用於散佈氣體遍於腔 室15内而供至被支撐於加熱器25上的一片晶囿(圖上未 示出)之上。如第2囷中所示,一個蓋罩233提供通達包 封總成200頂部上之構件(亦即外蓋總成225)的途徑, 並遮蔽操作者使其免於暴露在系統操作期間所生之高溫 下》為便於進行SACVD程序,蓋罩233較佳地包括有一個 切除部235,以容許用以確保腔室15之氣體一體性的一 些蓋夾237通過。蓋罩233于大部分程序步驟期間通常保 持閉合,除非腔室被打開例如供執行預防性維修腔室清潔 工作而打破真空狀態並使腔室呈現大氣壓時,蓋罩233才 會打開。一個蓋鉸鏈239包括有一個鎖定棘輪機構241, 供防止蓋罩233落下而閉合。 經濟部中央樣牟局員工消费合作社印裝 (請先閱讀背面之注意事項再填寫本頁) "I . 如第2圖所示’包封總成200界定有一個真空鎖門(圈 上未示出)和一個槽縫閥開口 243,供一組晶圓裝載總成 (躅上未示出)穿過而運送一片晶圓W進入處理腔室15 並把晶圚W裝載到加熱器25上。此晶圓裝載總成較佳地 係為設置於多腔室處理系統之一個運送腔室(圖上未示 出)内的一種傳統式機器人機構;一種合適的機器人型運 送總成已描述於頒予梅丹而同樣讓渡予本案受讓人的美國 -51· 本紙張尺度適用中國國家標準(CNS ) A4規格(2_Ι〇Χ297公釐)~~: ' 412779 A7 B7 經濟部中央標準局員工消费合作社印製 五、發明説明(49 ) 專利第4, 951,601號案中,故該專利之整個内容乃併供本 文參考。 參照第3、4、7A、與7B圈’圍繞著腔室15之包封 總成200的内壁245覆有一套腔室内襯250,此内襯係掷 置於包封總成200之一個壁棚部252上;腔室内椒250係 用於制止處理氣體流至晶圓之背側;另外,因為加熱器25 具有比包封總成200為小之直徑’内襯250可防止在加熱 器25下方之處理氣體流至腔室15下方部位;於是,在加 熱器25底部和腔室15之下方部位發生不想要之沈積現象 的機率便降至最低β再者’内襯250會在包封總成200之 鋁壁和加熱器25上之晶圓的邊緣之間,提供熱絕緣作用, 以防止在高溫處理過程期間發生晶圆邊緣冷卻現象β于高 溫處理期間’内襯250防止從加熱器25上之晶圓的較熱 邊緣(例如大約有550-600C)發生到較冷之外圍腔室壁 (例如大約為60°C )的過度熱損現象β若無此内襯250, 在晶圓邊緣處之熱效應可能會不利地影牢跨越晶圓的溫度 均勻度’並導致沈積不均勻現象。内襯250較佳地包含有 以極適用於高溫製程(例如高於大約5〇〇。〇)之一種製程 相容材料形成的一個内部部分253 ;較佳地,内襯250之 内部部分253包含有諸如氮化鋁、礬土等等陶瓷材料,而 以礬土為較佳材料;此内部部分253經常將具有大約〇1 到1英吋的厚度,且較佳地大約有〇 2到〇·3英吋厚。 内概250較佳地包含有一個外部部分255,此外部部 分255係由諸如鋁等等比陶瓷更不易龜裂的一種材料所構 -52· 木纸浪尺度適用十國國家標準(CNS ) Α4規^^10χ297公廣) (請先聞讀背面之注意事項再填寫本頁) <11 蝮 A7 412779 _ B7 五、發明説明(5〇) 經濟部中央標隼局貝工消費合作社印策 成》外部部分255搁置於包封總成200之壁擱部252上, 且包括有一個環形唇254供支撐内襯250之内部部分 253。在一組特別較佳實施例中,外部部分255包括有多 個沿圓周間隔垂直支柱257,此等支柱在其間圍成一些内 部氣隙259 ’如第7A與7B囷所示》諸氣隙259有助於使 内概250之内部部分253絕緣於靠外之腔室壁,以增加晶 圓溫度均勻性(否則,晶圓外緣可能會由於相較於加熱器 與晶圊溫度顯得較冷的外圍腔室壁溫度,而冷卻下來); 另外,諸氣隙259對内襯250提供厚度,使它可橋接靠外 側之腔室壁與加熱器25間之間隙,同時降低在用一套較 厚之内襯250時可能發生的龜裂或其他熱損壞現象之發生 機率。内襯250之外部部分255通常具有大約〇.5至2英 吋之厚度’且氣隙259具有大約0.2至1.5英吋之厚度; 而該外部部分255較佳地係具有大約〇. 9至1.1英吋之厚 度’且氣隊259具有大約〇·6至0.9英叫·之厚度β —烟環 形罩261定置在外部部分255之上表面上,以形成一條抽 泵通道40之下壁(下文會論及);環形罩261較佳地包含 有諸如氧化IS或氛化铭等等之一種陶瓷材料,以把内襯 250之鋁質外部部分255遮蔽隔離於抽泵通道4〇内之處 理氣體和熱量。 在一組替換性實施例(圖上未示出)中,内概25Q只 包含有搁置於包封總成200之壁棚部252上的内部陶竞部 分253、與形成抽泵通道40之底部的環形罩261 ;於此實 施例中’外部部分255係由位於陶瓷部分253和包封總成 -53- 本纸張尺度適用中國國家標準(〇!^)/\4規格(2丨0乂297公釐) 經濟部中央標隼局員工消費合作社印製 ^12779 b7_ 五、發明説明(51 ) 内壁間之氣隙(围上未示出)予以取代,此氣隙把高溫晶 圓絕緣隔離於包封總成之較冷壁,且其提供厚度予内襯 250供橋接諸腔室壁和加熱器25之間的間隙。 B.氣體散佈糸統 參見第2舆6圖,外蓋總成225大致包括有一片蓋或 基板265、一组冷卻劑歧管(圖上未示出)、包括有導管47 之一組清潔氣體歧管270、用以混合及經由入口管43注 入處理氣體及清潔氣體到處理腔室15内的氣體混合盒 273、以及用以選擇性地分配清潔及/或處理氣體至氣體 混合盒273的一個閘閥280。當然,應可明顯了解的是, 其中之閘閥280係非必要的,且外蓋總成225可被修改成 能在無閘閥之情形下選擇性地分配清潔及/或處理氣體到 混合盒273。如同第4圖中所示,氣體混合盒273、清潔 氣體歧管277、及閘閥280較佳地係以例如鎖固方式而被 固定於基板265之頂表面上。第一與第二氣體通道83、85 安裝於基板265外側,並延伸到氣體混合盒273内。氣體 通道83、85各具適當地耦接至諸如臭氧、TEOS、TEPO、 氦、氮、清潔氣體等等氣體之供應源90(見第1C圖)的 一些入口,以及和混合盒273内之一混合區93連通而供 在把諸氣體經入口管43輸送到内蓋總成230内之前將之 混合的一些出口(圏上未示出)》 應注意的是,對電漿程序而言,CVD裝置10將更包括 有容裝氣艘通道83、85的一個氣體饋穿盒(圖上未示出), 以使其可在不產生氣體崩溃現象及不發生氣難沈積於本氣 -54- 本紙張尺度適用中國國家標隼(CNS ) A4規格(21 Ox 297公釐) I---:------·------1T------it.- (請先聞讀背面之注意事項再填寫本頁) 經濟部中央標準局負工消費合作杜印製 Α7 Β7 五、發明説明(52) 體散佈系統内之現象之狀沉下,對該氣體盒施加高電壓RF 功率。有關一種例示性的氣體饋穿盒之描述,可參見頒予 王之美國專利第4,872,947號案中,故該案之整個揭露内 容乃併供本文參考。 如同第6A圖所示,清潔氣體歧管270包括有導管47, 用以接收來自入口 290的氣體,並導引此等氣體經一條流 體通道293進入氣體混合盒273。閘閥280包括有座設在 通道293内之一個閥塞(圖上未示出),供選擇性地允許 或制止氣體經導管47通入氣體混合盒273。閘間280可 藉致動把手281以人工操作,或者,閘閥280可由處理器 50控制》在清潔動作(下文會述及)期間,閘閥280被 組構成容許清潔氣體從電漿系統55通入混合盒273,此 等氣艘即在混合盒内被導引經過入σ管43進入腔室15, 以蝕刻晶圓、或腔室内壁及裝置1〇之其他構件。 如同第3圖所示,清潔氣體歧管270係被建構成與裝 置10的包封總成200之頂部部分成一體,而導管47具有 自腔室15頂部朝向腔室15側邊的適當弩折部或弩曲部。 歧管270之導管47具有通入一體形成於裝置1〇之包封總 成200之一侧壁内之一條通道的一個開口,其可能由於加 熱器25操作時所處之高溫而變熱;此通道配置有一個内 部内襯291’用於保護包封總成200内之通道的内表面免 遭自施加器管292進入之清潔氣體根基困所腐蝕或姓刻; 内襯291亦會防止清潔氣體中之根基困再結合。清潔氣艘 係從一個入口 57引入施加器管292 ’藉由自電漿系統55 -55- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作杜印製 ί 9 Α7 .__一 Β7 五、發明説明(53) 内之磁控管發出的微波能量而從施加器管292内之清潔氣 體產生根基困,該電漿系統55係有利地設置朝向包封總 成200内之腔室15的底部,把電漿系統55設置於裝置10 底部有助於腔室15進行預防性維修清潔、修理等等服務 工作;特別是,打開裝置10之蓋以執行預防性維修清潔 工作將十分易於進行,因為安裝於底部之遠距微波電漿系 統55並非位於裝置10之蓋的頂部上^該微波電漿系統55 會在下文中更進一步詳細描述。如第3與4圓所示,入口 管43較佳地包括有用以輪送處理氣體進入腔室15的一條 内部通道295、以及和通道293連通而用以導引清潔氣體 進入腔室内的一條外部環形通道297» 可固定於基板265頂或側表面上的冷卻劑歧管從熱交 換器接收諸如水或乙二醇/水混合物的冷卻劑流體。冷卻 劑自此冷卻劑歧管經基板265上之一條環形冷卻劑通道93 (第4與5圖)散佈出來,而於處理程序期間對流式地及 傳導式地將熱量自基板265和内蓋總成230之諸構件上移 除(下文會更進一步敘述之)。 如第2與5圖所示,内蓋總成230大致包括有基板 265、一片擋板或氣體分散板301、以及用以把處理和清 潔氣體分散撤入腔室15内之一淋灑頭或氣體散佈板20。 板301、20較佳地係以可耐受高溫程序的一種程序相容材 料所形成;例如,板30卜20可由諸如氧化鋁或氮化鋁(A1N) 的一種陶瓷材料、或是諸如鋁或陽極化鋁之一種金屬所構 成;較佳地,此等板301、20可由諸如鋁或陽極化鋁之一 -56- 本紙張尺度通用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
,1T 經濟部中央標準局貞工消費合作杜印製 A7 _______B7_ 五、發明説明(54) 種材料所構成,以使氣體沈積在板301 ' 20表面上之狀況 最少發生。于一組特別較佳實施例中,氣體分散板3〇1包 含陽極化鋁,而氣體散佈板20包含鋁。氣想散佈與氣體 分散板20、301係各自直接固定於基板265之一下表面上; 較佳地’氣體散佈和分散板20、301係分別以多個附螺紋 安裝螺釘303、305鎖固於基板265之下表面上;此等安 裝螺釘303、305分別在氣體散佈與分散板20、301之接 觸表面和基板265之下表面之間提供一種相當緊固的表面 對表面接觸作用,以促進其問之傳導式熱交換作用(下文 會更進一步述及)。安裝螺釘303、305係由諸如鎳、 HasteloyT"、HaynesTB等等的一種程序相容材料所搆成。 參見第4與5圖,氣體散佈板20係為具有一圈外凸 緣313的_片大致平坦板體311,外凸緣313上帶有供收 納安裝螺釘305的多個孔洞315,以提供把板20之接觸 表面與基板265之下表面接合的機構。基板265包括有一 個外部環形托環316,此托環316把氣體散佈板20與基 板265之底面隔開’並在此二板間形成一個腔室317 (參 見第4圓)供把處理氣體透過多個氣體散佈孔315均勻地 撒佈於一片半導體晶圚上。或者,氣體散佈板20可包含 有具有由一側壁與一底壁所圍成之一個中央定置腔穴的一 套碟形裝置(圖上未示出)。 氣體散佈孔315之大小與配置型態將視所進行處理程 序之特性而變。例如,孔315可均勻間隔以對晶圓提供均 勻散佈氣體之作用;反之,若希望的話,孔315亦可呈不 -57- 本紙烺尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -11 經濟部中央標準局員工消f合作社印製 412779 五、發明説明(55 ) 均勻間隔設置。孔315通常均有在大約5至100密爾範圍 内之直徑,且較佳地係在大約10至50密爾之範圍内。較 佳地,氣體散佈孔315會被設計成可促進半導體晶®上沈 積物之均勻性;此等孔(以及上文述及之歧管溫度)亦會 被設計成可避免在歧管外(底)表面上形成沈積物,且特 別可防止在該表面上澉積軟沈積物,因為這些軟沈積物可 能會在處理程序期間或之後剝落而掉在晶圓上。于一組例 示性實施例中,該組孔陣列係為由多個此等孔315組成之 多圈大致同心環當中的一環;而相鄰環間之距離(環到環 間距)大約相等,且各環内之孔到孔間距亦大約相等。有 關此等氣體散佈孔之合適配置型態的一篇更完整描述文 章,已列述於頒授予王且同樣讓渡予本案受讓人的美國專 利第4, 872, 947號案中,而該案之整個敘述内容已於前文 提及可併供本案參考。 氣體分散板301係為一片大致圓形碟321,其包括有 用以使氣體分散通過而進入外托環316與氣體散佈板20 間形成之腔室317内的多個氣體分散孔325。基板265較 佳地包括有一個第二内托環318,用以把分散板301和基 板265隔離’並用以允許氣體通過基板265而分散進入托 環318與板301間形成之一個腔室320(參見第4圖)内。 或者,氣體分散板301可界定出用以形成該腔室320的一 掘凹部(囷上来示出)’而不用該托環318。分散孔325 們通常將有大約〇· 02至0. 04毫米之直徑。當然,熟於此 技者將會認知到,分散板301可包括在本發明的諸較佳實 -58- 本紙張尺度適用中國國家標隼(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 、11 坡 經濟部中央標隼局負工消費合作社印家 4切79 :77五、發明説明(56 ) 施例中;但是*在一些其它實施例中,處理氣體也可以直 接從基板265通入氣體散佈板20之腔室3Π内。 如同第4與5圖所示,基板265係為一攻一體單片元 件,用於輸送處理氣體到氣體分散板301、及把整個内蓋 總成230安裝於處理腔室之主構架單元上。在RF電漿程 序中,内蓋總成230亦將包括有一個隔離器(圖上未示 出),用於把腔蓋電氣絕緣於地電位,並把腔室本體和RF 氣體盒(圖上未示出)隔離。供與諸RF電漿處理程序配 合使用的一種例示性蓋總成,已列述於頒授予王且同樣讓 渡予本案受讓人的美國專利第4, 872, 947號案中,而該案 之敘述内容已於前文提及可併供本案參考》 如第8圏所示,基板265具有界定出用以排出沈積氣 體(下文會詳細論述)之一條環形抽泵通道40的一個下 表面321。如第4與5圖所示,基板265界定出和入口管 43連通而用以接收來自氣體混合盒273之已混合處理氣 體的一個中央孔327 ;此礼327亦與氣體分散板301之凹 部311速通,供把氣艘分散跨過板301而到達孔315。基 板265更界定有一條冷卻劑通道93,此通道具有耦接於 冷卻系統215之一個入口 331與一個出口 333,供導引冷 卻劑流體穿過板265之一些部分,而對流式地冷卻板265 之此等部分;較佳地,冷卻剤通道93係形成於基板265 相當靠近安裝螺釘303、305的一些部分内,如此可促進 經過散佈和分散板20、301之接觸表面與基板265之下表 面326發生的傳導式冷卻作用。有關冷卻劑通道93之一 -59- 本紙張尺度適中國國家標準(CNS ) A4規格(21〇'乂297公釐) ' :""": (請先閱讀背面之注意事項再填寫本頁}
,5T 經濟部中央標準局貝工消资合作社印製 412779 at __.___B7 五、發明説明(57 ) ~ 些例示性設計的更詳細描述,可見於1996年4月16日提 出專利申請而同樣讓渡予本案受讓人且同樣尚在審理中的 美國專利申請案第08/631,902號案(代理人檔案編號為 1034)中(故該案之整個敘述内容乃併供本案參考以 及頒授予王且同樣讓渡予本索受讓人的美國專利第 4, 872,947號案中(該專利案之整個敘述内容已於前文提 及可併供本案參考》 參照第5蹰可見’基板265更界定有圍繞中央孔327 的一個環形凹部343、以及設置於凹部343内且固定於基 板265上而位於冷卻劑通道93上方的_片環形蓋345; 較佳地,環形蓋345係熔接於基板265之上表面上,以提 供遍於整個通道93的緊密密封作用,藉以有效防止冷卻 劑自通道93漏出》以此組態,通道93即被形成為相當靠 近氣體散佈和分散板20、301 ;另外,此通道93係藉著 在基板265上表面上形成一道凹槽而製成,因而降低製造 該板時的成本與複雜度。 現在請參見第6Β與6C圖,現在將敘述内蓋總成230, 的一組替換性實施例。和前一實施例相類似地,内蓋總成 230'包括有一片基板265、一片氣體分散板301、與用以 將處理和清潔氣體散佈進入腔室15的一片氣體散佈板 20;另外,基板265包括有用以收納諸如水的一種冷卻劑 液體之_個環形冷卻劑通道500,供冷卻基板265和此内 蓋總成230 ,之其它構件;于此實施例t,基板265更包 括有在氣趙分散板301上方繞著中央孔295延伸的一條額 -60- 本紙張尺度適用中國國家標绛(CNS ) A4規格(210X 297公釐)
(請先聞讀背面之注意事項再填寫本頁} A7 412779 B7 _ 五、發明説明(58 ) 外的環形流體通道502,用以和基板265位於氣體分散與 氣趙散佈孔325、315正上方之部位作熱交換。 内蓋總成230'包括有在基板265與氣體分散板301 之間自腔室320延伸至真空腔室15的多條旁通通道510; 此等旁通通道510對流體流動作用提供比氣體分散孔及氣 體散佈孔325、315更低之阻力,於是,流進腔室320的 大部分氣體均會通過旁通通道510而直接進入真空腔室 15»在一組例示性實施例中,諸旁通通道51〇較佳地係繞 著基板265沿81周方向間隔開來,以均勻地輸送氣體進入 腔室15 (參見第1E圖)。而在此實施例的一種較佳使用 態樣中’諸如NFa的一些清潔氣體進入腔室32〇,並會分 別通過氣體分散與氣體散佈孔325、315 :此外,一部分 清潔氣想則通經諸旁通通道510直接進入腔室15,以促 進輪送清潔氣體進入腔室15之機能。 在此實施例中,蒸汽沈積裝置10較佳地將包括有一 組控制系統,諸如耦接於一個控制器(圓上未示出)的一 個閥體,用以防止(或至少抑止)氣想通過諸旁通通道 510。例如,在處理期間,常會希望處理氣體通經氣體散 伟與分散孔325、315,而均勻地撤佈到晶圓上,因此, 該閥體即會被關閉而阻止處理氣體通過諸旁通通道51〇; 而當腔室被清潔時’該閥體則會被開啟以快速輪送至少_ 部分清潔氣體進入腔室内;如此可提高清潔程序之速度與 效率’而縮減裝置10之停產時間。當然,應知,若希望 的話’處理氣體亦可經由旁通通道510輸送。 _ -61- 本紙铁尺度適一國國家標準(CNS ) A4規格(21GX 297公《 ) '—'- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製 經濟部中央梯準局員工消费合作社印策 412779 A7 —__B7_____ 五、發明説明(59 ) c ,耕氣系統 參見第2至4圖,設置於CVD裝置10外部的一個泵 (®上未示出)提供真空壓力,來把處理及清除氣體以及 殘餘物自腔室15抽出並通經環形抽泵通道40,此等氣想 即於該處沿一條排放導管60自裝置10排出。如同第8圖 中所示,沈積及清潔氣髏係於晶圓W上方徑向地向外(如 箭頭351所示)排洩穿過環繞腔室15之一個環狀槽形孔 口 355並進入抽泵通道40*該環狀槽形孔口 355與通道40 較佳地係由腔室之圓筒形側壁17之頂部(包括腔室内襯 250之内部部分253 )與基板265之底部所圍成。從抽泵 通道40起,氣體沿圓周方向繞著通道40流動(由箭頭357 所示),穿過一條向下延伸之氣體通道361、通過一個真 空關斷閥363 (其本體較佳地係舆腔室本體下部成一體)、 再進入連接到一部外部真空泵(圖上未示出)的排放導管 60 - 或者’CVD裝置10可包括有具有使處理腔室15與抽 泵通道40直接連通之多個氣孔的一片分離柚泵板(®上 未示出);于此實施例中,氣孔係繞著腔室之中央開孔沿 圓周方向間隔開,以有助於把處理氣體經此等氣孔均勻地 排放出去。而為調適諸入口與出口的相對位置,諸氣孔可 相對於中央開孔以一種徑向向外方向自諸入口延伸到諸出 口處。諸氣孔的此種徑向朝向亦有助於從處理腔室15把 處理和清除氣體及被排出之殘餘物大致均勻地排放出去。 此型抽泵板的更完整描述,可見於1996年2月26日提出 -62- 本紙張尺度適用中國國家標準(CNS ) A4規格(210〆297公釐)· (請先閲讀背面之注意事項再填寫本頁) 訂 412779 at -___B7^ 五、發明説明(60 ) 專利申請而同樣讓渡予本案受讓人且同樣尚在審理中的美 國專利申請案第08/606, 880號案(代理人檔案編號為 978)中,故該案之整個敘述内容乃併供本案參考》 經濟部中央標隼局員工消費合作社印裝 (請先閱讀背面之注意事項再填寫本I) 參照第2與3圖,一组閥總成(節流閥系統)369包 括有沿排放管線60設置的一個隔離閥371與一個節流閥 373,用以控制氣體通過抽泵通道40之流量率。處理腔室 15内之壓力以容量壓力計381,383 (參見第2圖)來監 測,並藉由以節流閥373改變導管60之流動橫截面積而 予以控制》較佳地,處理器50會自壓力計381、383接收 指出腔室壓力的一些信號;處理器50拿所測得之壓力值 與操作者們(圖上未示出)所輸入之設定點壓力值相比較, 並判定欲維持腔室15内之期望壓力所需之節流閥373需 要調整量;處理器50透過一個控制器385送出一個調整 信號到一部驅動馬達(圖上未示出),此馬達便把節流閥 373調整到對應於該設定點壓力值的一個適當設定值。可 供與本發明配合使用的一些合適節流閥已描述於1996年 6月28曰提出專利申請而同樣讓渡予本案受讓人且同樣 尚在審理中的美國專利申請案第〇8/672,891號案“用以 控制處理腔室壓力的改良裝置與方法”(代理人檔案編號 為891/DCVD-II/MBE)中,故該案之整個敘述内容乃併供 本案參考45 隔離閥371可用於把處理腔室15和真空泵隔離,以 減少腔室壓力因該泵之抽泵動作而降低之現象。隔離閥 371連同節流閥373亦可用於校準CVD裝置10之一些質 -63- 本紙张尺度適用中國國家標準(CMS ) A4規格(210·Χ 297公釐) 經濟部中央標率局男工消资合作社印製 412779 at _B7__ 五、發明説明(61 ) 量流量控制器(圖上未示出);于某些處理程序,液態摻 雜劑會被汽化,且接著隨同一種載荷氣體被輪送入處理腔 室15,該等質量流量控制器(MFC)即用於監測氣態或液態 摻雜劑流入腔室15之流量率;在此等MFC校準期間,隔 離閥371會制止或限制流到節流閥373之氣體流,以使腔 室15内之壓力上升程度增至最大,而有助於MFC之校準。 D ·加熱器/舉昇级成 參見第9-15圏,現在將詳細描述加熱器/舉昇總成 30。此加熱器/舉昇總成30係用於把晶圓舉昇至真空腔 室15内之處理位置處,並在處理期間加熱該晶圓。此處 首先應知’此加熱器/舉昇總成30可被修改來用於或直 接置入本文所描述及顯示之該例示性SACVD腔室以外之其 他多種處理腔室内;例如,此加熱器/舉昇總成3〇可用 於以RF或微波功率產生電漿之一種類似的CVD腔室、一 種金屬CVD(MCVD)腔室、或其他傳統型或非傳統型半導 體處理腔室令。 參照第9與13®,加熱器/舉昇總成30大致包括有 附著於上與下支撐軸391、393上的一個電阻式加熱晶圓 支撐臺座或加熱器25、在加熱器25下方圍住二支撐轴 391、393的一根舉昇管395、以及用以使加熱器25、支 撐轴391、393 '和舉昇管395在腔室15内垂直移動的一 組堪動總成400。如同下文所詳細論述者,加熱器25 (以 及被支撐於其上的晶圆)可以被可受控制地移動於一個下 方裝載/卸載位置和位於氣體散佈板20下方(第3與4 -64- i紙張尺度剌中賴家縣(CNS ) A4規格(21〇χ297公4 ) ' {請先閱讀背面之注意事項再填寫本頁) 訂 焕:! 經濟部中央標準局員工消費合作社印裂 4127V9 at _____B7__ 五、發明説明(62 ) 圊)的一個上方處理位置之間,加熱器25與晶圓位於該 下方裝載/卸載位置時係與包封總成200内之該槽縫243 大致對齊。如同第7圖所示,加熱器25包括有被一個環 形隆起周邊凸緣405圍繞的一個上方晶圓支撐表面403, 使得晶圓於處理期間會被準確定位。晶圓支撐表面403具 有在沈積溫度下,例如在從大約200至8001範圍内之一 溫度下’大略等於晶圓W之直徑的一個直徑;此直徑對於 大尺寸晶圓通常將為大約6至8英吋(大約150至200毫 米),且對於小尺寸晶圓大約為3至5英吋(大約75至130 毫米);當然’若配合腔室、腔室内襯250、及支撐加熱 器25之適當修改,諸如具有大約Μ英吋(大約300毫米) 直徑之晶圓的等等其他尺寸之晶圓亦仍在本發明之適用範 圍以内。 支撐加熱器25較佳地包含有以可耐受相當高處理溫 度(亦即高達600至8001或更高溫度)之一種程序相容 材料所製成的一片碟片;該材料亦應可耐受因與高溫沈積 作用相關聯之反應性化學物質引發的沈積作用,以及可耐 受清潔氣體中之一些根基困所引起的蝕刻作用。加熱器25 的合適材料有諸如氮化鋁、氧化鋁或類似者的種種陶瓷; 氮化铭陶瓷對於加熱器25來說是較佳的材料,因為它具 有高導熱性、絕佳耐腐蝕性、及侵越之抗熱衝擊性;因此, 在一纽特別較佳實施例中,加熱器25之整個外表面即均 以氮化銘構成,氮化鋁具有高溫度容量,且對腔室15内 所用之氟與臭氧等化學物質有高耐受性;比起不鏽銅或鋁 __ -65_ 本紙张&適/flf關家辟(CNS )从規格(2咖297公 ' (請先聞讀背面之注意事項再填寫本育)
-IT 經濟部中夬揉準局貝工消费合作社印製 412779 A7 B7 五、發明説明(63 ) 材料,為加熱器25採用氣化&時’亦可在所處理晶圓中 只會產生較少的背側金屬污染’而能形成更可靠之元件。 此外,銘會傾向於易和通常用於清潔氣艘中的含氟化合物 發生反應,而形成一層氟化鋁化合物,此層氟化鋁化合物 最後會積厚並剝落掉入腔室内或掉在晶圓上,造成污染(下 文會更進一步詳細論及);而以氮化鋁建構成加熱器25 後,更有效消除了清潔期間的此種會造成問題之反應作 用β 再度參見第7圖,驅動總成400可包括有多種驅動機 構,包括氣壓缸、可控制型馬達、或類似機構等等;較佳 地,係以透過一組合適齒輪驅動器409耦接到加熱器的一 部步進馬達407,運作來以受控制之增量在該等裝載/卸 載與處理位置間垂直地驅動加熱器25、支撐軸391、393、 與舉昇管395 »驅動總成400亦包括有附接於支撐轴391 末端與該處理腔室底部之間的上與下蛇腹部411、413, 用以讓加熱器25可大致不受拘束地垂直移動;另外,蛇 腹部411、413亦容許發生某些角度性移動,以確保氣體 散佈板20與加熱器25在處理期間大致平行。 參照第4、9、與13圈,舉昇管395圍繞上方支撐 轴391之下部,並協助讓上蛇腹部411隔離於自支樓轴 391、加熱器25、及處理腔室15内部所發出之熱能。舉 昇管395通常包含有一根銘拍418、掷置於铭轴418上表 面上之一片環形撞擊板420、以及把撞擊板420安裝於紹 轴418上的一個環形凸緣422 ;此環形凸緣422與撞擊板 _ -66- 本紙張尺度適用中額家;^ ( A4祕(21QX 297公楚) (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作衽印製 ^12779 at _______________B7 五、發明説明(64 ) 418較佳地係以諸如氮化鋁或氧化鋁(呈陶瓷或礬土型態 之ΑΙΑ3)等等可耐受高溫之一種材料形成;凸緣422與 撞擊板420把铭轴418和加熱器25隔離開來,以使鋁轴 418起曲或熔融於加熱器支撐轴391或處理腔室的機率降 至最小。在一組例示性實施例中,舉昇管395則包括有諸 如波形彈普的一個彈簧上未示出),裝載於凸緣422 和撞擊板420之間,以防止或至少抑止撞擊板42〇卡嗒卡 嗒振箏。 如同第4舆13圖中所示,多根晶圓支撐/舉昇指43〇 (通常至少有兩根,且較佳地有四根)可滑動地安裝於繞 加熱器25週邊間隅之多個引導椿432内;諸支推/舉昇 指430在加熱器25下方向下延伸,使得撞擊板42〇可接 合到此等指430,並把它們舉昇到加熱器25上表面以上 俾供裝載及卸下晶圓。諸舉昇指430較佳地係以諸如氧化 鋁之一種陶瓷材料所製成,且通常具有一個雙截錐形頭部 (圓上未示出四個舉昇指引導椿432較佳地並不是繞 著加熱器25均勻分佈,而是形成至少一個側邊比機器人 葉片(其通常為一支薄平桿〔圖上未示出〕)之宽度還要 寬的一個矩形’諸舉昇指430即係必須要繞著此矩形來舉 起晶圓•諸舉昇指430之底端成圓頭狀,諸舉昇指43〇具 有大約為100至200密爾且較佳地大約為15〇密爾的一個 相當粗的直徑、以及大约為丨至3英吋且較佳地大約為2 英吋的一個相當短之長度,以降低此等舉昇指于處理期間 黏結於加熱器上之機率。 __ -67- 本紙尺度朝中關家鮮(CNS )錢格(2[()χ 297公瘦) -—----- (請先閱讀背面之注意事項再填寫本頁) 、?τ 412779 A7 B7 五、發明説明(65 ) 在使用時’機器人葉片(圖上未示出)在該加熱器25 位於面對槽縫243之位置處(或是實際上正在槽鏠243下 方處)時,把晶圓移送到腔室15 ;晶圓初始時被諸舉昇 指430所支撐’而此等舉昇指430係由撞擊板420予以舉 昇到加熱器25之上。在諸舉昇指430隨同加熱器25上升 時’諸舉昇指430會碰到一個止動部(圖上未示出);當 加熱器20繼續升至面對著氣體散佈板20之該處理位置 時,諸舉昇指430則沈入加熱器20内之諸引導椿432中, 而讓晶圓置放於環形凸緣405内側之晶圓支撐表面403 上。在欲把晶圓移出腔室15時,則以反向執行上述諸步 錄。 再度參見第9圖,一組電阻性加熱器線圈總成440被 容置於加熱器25内,供在處理期間傳送熱量到該晶圓。 上與下支撐轴391、393支撐該加熱器25,並容裝連至該 加熱器線圈總成440之必要電氣連接線於一個中空心部 445内(下文會論述之上支撐轴391係以可耐受相當 高處理溫度之一種陶瓷材料所製成;較佳地,上支撐軸391 將以擴散黏結之氮化鋁製成,此種氮化鋁可防止在諸電極 上與在轴391内之電氣連接線上發生沈積作用、以及防止 諸電極和該等電氣連接線遭腔室15内所用之化學物質攻 擊’反之而若無此種氮化鋁存在,即可能會發生該等沈積 和攻擊現象。支撐轴391較佳地係被擴散黏結於該加熱器 25上,以在加熱器25與支撐轴391之間提供一種氣密性 密封作用,使得支撐軸391之該中空心部445處於週遭溫 -68- 本紙張尺度適用中國國家榡孪(CNS ) Λ4規格(210X 297公釐) (請先閲讀背面之注項再填寫本頁) 訂 經濟部中央標隼局員工消費合作社印繁 412779 at B7 五、發明説明(66 ) 度和壓力C較佳地為大氣壓力,亦即<760 torr或是1 atm) 下*而在其它實施例中’中空心部445可處在大約〇·8至 1 · 2 atm之一個壓力與大約至2〇〇 °c之一個溫度下,而 腔室15則可能處在至少大約400°C之溫度與大約20 mtorr 至大約600 torr之壓力下;此種配置有助於保護諸電極 舆其它電氣連接線免遭腔室15内之處理和清潔氣體所腐 姓°另外,維持支撐轴391之中空心部445於遇遭壓力下 的作法,可讓自RF電源經中空心部445到電力引線或鋁 軸發生電弧之機率降至最低,因此即避免掉此種發生電弧 之危險;否則若非如此配置,在真空中便可能引致電弧發 生。 經濟部中央標準局負Η消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 參見第9與10圖,上支撐軸391延伸穿過包封總成200 下表面上的一個開口 453,並耦接於在支撐轴391與腔室 15間提供一個氣體密封部的一個基座455上。上支撐轴391 係以例如螺鎖之方式繫結於下支撐轴393,後者乃由諸如 铭或一種鋁合金的一種合適的程序相容材料所構成。下支 撐轴393較佳地係為一支水冷式鋁轴;然而,此下支撐軸 393亦可由諸如氧化鋁或氮化鋁的一種陶瓷材料所構成。 在支撐轴391、393間設置有例如0形環的一或多個密封 構件457,以維持中空心部445與腔室15間之氣密性。 如同第9圖所示,下支撐轴393係安裝於一個可垂直移動 支撐部461上,此可垂直移動支撐部461係在駆動總成400 上而用於在該等裝載和處理位置間移動支撐轴391、393 與加熱器25。支撐軸393界定出繞著諸電氣連接線通過 -69 - 本紙張尺度適用中國國家標準(CNS ) A4規格公釐) 經濟部中央標準局貝工消费合作社印製 412779 A7 ____B7_____ 五、發明説明(67) 之一條内部冷卻劑通道463’以進一步將此等連接線和支 撐轴之高溫隔絕《此冷卻劑通道463具有分別耦接於液體 冷卻系統215之接水管217、219上的一個入口 464與一 個出口 466。冷卻劑通道463係用於在腔室下半部區域維 持一個相對低之溫度,以保護(諸)密封構件457。於一組 替換實施例中,加熱器總成30包含有支撐加熱器25並在 包封總成200中延伸穿過該下方開口 453的單一根支撐 轴;于此组替換性實施例中,諸密封構件457將不會被用 到a 加熱器線圈總成440係被组配來以大約2ITC/min的 一個速率在腔室15中提供至少大約200至8001之溫度。 參見第11舆12圖,加熱器線圏總成440包括有埋置於陶 瓷加熱器25内的一個加熱器線圈471:埋置於加熱器基 座25内之此加熱器線圈471的路線安排,較佳地係提供 一组單一線圈471,此组單一線圈471係在靠近加熱器25 中央之一個電氣接點472處開始、沿加熱器25之一側向 其周邊來回繞行、延伸到加熱器25之另一側、且然後朝 加熱器25之中央來回繞行至一個第二電氣接點474。此 種迴圈型式提供加熱機制來維持跨越板片寬度之大致均勻 溫度’同時並容許熱量漏損。較佳地,加熱器線圈471將 跨越加熱器25之晶圓支撐表面403提供在400°C下至少 大約±2°C及在600°C下至少大约±8aC的一個均勻溫度分 佈狀況》于一組例示性實施例中,加熱器線圈471在靠近 加熱器25中央處將有較大功率密度,以縮減自加熱器支 -70- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本页) 訂 經濟部中央標準局員工消費合作社印製 412779 五、發明説明(68) 撐軸391起的熱梯度。 如第14圖所示,加熱器線圈總成400較佳地包括有 連接於多條導體引線475的一根埋置RF網目接地平面電 極473,諸導體引線475延伸穿過轴341而達至一個電力 能量源處;網目接地平面元件473係為一種鉬網目電極, 其在用到電漿程序的一些實施例中提供接地路徑舆電漿電 阻。諸引線475較佳地係由諸如鎳、銅 '或類似材質等等 可耐受相當高處理溫度的一種導電材料所構成:于—種例 示性組態中,諸引線475係各為由一塊金屬嵌入物477輕 接至電極473的鎳線’而該嵌入物477係被共燒結到電極 473中以避免陶瓷與金屬間有硬焊之需要。諸嵌入物477 較佳地包含諸如鉬等等對氮化鋁具相當緊密之熱膨脹匹配 性的一種材料。如圖所示,鉬製嵌入物477係以例如硬焊 方式各自繫結於一塊鉬塞481上,該塊鉬塞481再硬輝於 引線475上。加熱器之所有線,不論主要是電阻性或主要 是導電性,均包藏於能耐受高溫之連續絕緣覆層(諸如上 述者)内,而能耐受氮化鋁加熱器本體之鑄造程序β 參見第號9、15Α、與15Β圓,加熱器/舉昇總成30 包括有用以測定加熱器線圈471溫度的至少一個熱電偶 491;此熱電偶491包括有一根長形管493,管内有一個 感測器495(第9圖)插置於其内並於距晶圓底部大約0.25 英吋之距離處保持和加熱器25底側相接觸;為此,加熱 器25包括有硬焊於加熱器元件473上的一個熱電偶導引 部5(Η,供將熱電偶491之感測器495連接到該元件473。 -71- 本紙·張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐} (請先閲讀背面之注意事項再填寫本頁)
S*T 經濟邹中央標芈局員工消贽合作社印製 4 如 79 Α7 Β7 五、發明説明(69) 熱電偶491被發自一個壓縮彈簧503 (第15B圈)的一股 輕微彈力保持於定位,並提供溫度控制器(圖上未示出) 所需之一控制信號。感測器495較佳地係被設置於處于大 氣壓力下的一個井505内,如此可加強加熱器元件473與 熱電偶491間之傳熱作用,而提供更準確之讀數。該溫度 控制器係為一種受製法秘訣堪動式比例積分微分(PID)控 制器’其可預測將要發生的製法秘訣步驟,並改變加熱器 牢應特性以維持均勻之溫度分布型態β沿著支撐轴393之 側表面安排有供下方支撐轴393用的真空密封和接地連接 結構(圖上未示出)’連接至加熱器線端511舆熱電偶管 端513之動作係在大氣壓狀況下進行。 當本發明使用時’一片機器人葉片(圖上未示出)在 加熱器25位於面對著槽缝243或實際上正在槽缝243下 方)之位置時,把晶圓移送到腔室15内;加熱器25與晶 圓被驅動總成400舉昇至處理位置,且諸舉昇指430沈入 加熱器25内之引導椿432中,使晶圓置放在加熱器25之 環形凸緣405内的晶圓支撐表面403 (第4、9、與10 圖)上。諸如TEOS和03等等的處理氣體經氣體通道83、 85引入,並在氣體混合盒273之混合區93 (參見第3與 6困)中混合在_起;經混合之氣體接著經由入口管43 之内部通道295及經由基板265之中央孔327送入位於氣 體分散板301上方之腔室320内,此等氣體在此處向外分 散並經由孔325流入氣體散佈板20上方之腔室317内(參 見第4舆5圖)β較佳地,此等氣體係經氣體散佈孔315 -72- 本紙張尺度適用中國国家標绛(CNS ) Α4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央標隼局員工消費合作社印^ 412779 五、發明説明(7〇 ) 均勻地散佈於半導體晶圓(圊上未示出)上。 加熱器25上之晶圓的溫度通常被加熱器線圈總成440 保持於一個最低沈積溫度以上*以使諸處理氣體會在晶囲 表面處一起發生反應而在其上沈積出一個層體。詳言之, 一股電流經導體引線457被導引至電阻性線圈473,以依 據諸特定實施例將晶圓加熱至大約200至800°C之溫度。 于較佳實施例中,溫度係受一組回授控制系統(上文針對 加熱器控制常式167已描述過)所控制,此控制系統根據 腔室内之目前溫度維持斜坡率《于此過程中,内蓋總成230 會接受來自多種來源之熱量,此等來源包括通過的種氣 體、受加熱之半導體晶圓、以及晶圓加熱源;故為保持内 蓋總成230之諸構件在最低沈積溫度以下並因而避免在此 等構件上發生氣邀反應現象與沈積現象,乃將一種冷卻劑 液體引入冷卻剤通道93,俾將熱量自基板265、與氣體散 佈和分散板20、301上移除》 在沈積程序期間,真空泵被致動而在抽泵通道内產生 真空壓力,藉以把處理氣體和電漿殘餘物經由通道40和 排出埠口 361 (第4與8圚)抽出處理腔室15。另外,沖 洗氣可大致向上地經納置台25與内襯250之内部部分253 間的間隙導入處理腔室15内;此等沖洗氣可使處理氣體 漏'沒到本裝置10下方部分之情形減至最少,並有助於處 理氣體經埠口 361排除。 E 一體式遠距微波雷进条缺. 第16圖係為依據本發明之一組實施例用於清潔晶圓 -73- 本紙浪尺度通用中國國家標準(CNS ) Λ4規格(2丨0广297公釐) (請先閲讀背面之注意事項再填寫本頁)
,1T 經濟部中央標隼局員工消f合作社印^ ^ A7__412779_b7__五、發明説明(71 ) 及/或處理腔室之一套遠距微波電漿系統55的_幅簡化 囷。此微波電漿系統55在和處理腔室15遠隔之處產生一 個電漿,用於有效地蝕刻或清潔腔室15中之晶圓及/或 腔室15之構件’且可能可用於進行沈積。微波電漿系統 55包括有施加器管292; —組電漿點火系統(下文會述 及);一組微波波導系統(下文會述及);最佳化元件,此 包括一組阻抗匹配系統701、以及帶有一個負載707的一 個循環器705,該阻抗匹配系統701可包括有需要為自動 阻抗匹配功能作回授安排之一些實施例用的一個視意願選 用之相位檢測器703 ;以及一個磁控管711。 磁控管711係為一種典型之磁控管源,其可針對大約 2.45千兆赫(GHz)頻率之連績波(CW)或脈衝式微波輸出在 大約500至2500瓦間運作。此磁控管711係由可設置於 遠離磁控管7U處之電源供應器110(示於第1C圖中) 供以電力β當然,此處同樣亦可採用其他磁控管。發自磁 控管711之微波被傳輸至微波波導系统,此波導系統包括 有不同長度之筆直和彎曲波導段715、717,此等波導段 可在幾個接合部719處被連接在一起;該波導系統内散置 著一些最佳化元件,用來以最小之反射損失提供低耗損之 最大微波傳輸能力、及保護磁控管免於因反射之功率而遭 損傷。以下敘述將順著微波自磁控管711朝施加器管292 行進之期望方向來陳述。 在一組特定實施例中,微波電漿系統55具有連接於 附有負載707之循環器705的磁控管711,如第16圖所 本紙ί良尺度適用中國國家標準(CMS ) Α4規格(210乂297公釐) " "~ (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員JL消費合作社印製 412779 Α7 Β7 五、發明説明(72) 示°循環器705只容許從磁控管711朝施加器管292進行 的順向微波傳輸’負載707會吸收可能從微波系統朝磁控 管711反射回來的任何功率,循環器705與負載707因而 即指引微波朝順向方向前進並保護磁控管711免於遭反射 功率所損。循環器705連接到波導段715,波導段715連 接到相位檢測器703,而相位檢測器703則連接到另一波 導段715。若有用到的話,相位檢測器703係經由弩曲波 導段717耦接到有調諧或匹配系統701附接於其上的另— 波導段715上。可採用短線調諧器或其他調諧元件的該調 諧系統701,為微波電漿系统55提供使波導段721處之 負載匹配於50Ω (諸波導之特性阻抗)的能力。調諧系 統701可依據各特定實施例而提供固定調諧、人工調諸、 或自動調諧功能。就使用自動調諧功能之實施例而言,相 位檢測器703係為一組三個二極體之陣列,其可檢測所傳 輸微波之相位供回授到匹配系統701,而後者即智慧式及 動態式地適當匹配該負載。在特定實施例中,諸波導段具 有矩形橫截面,惟其它型式之波導當然亦可使用β 如第16圖所見’被導引經過最佳化微波系統的微波 係自輸出波導段721被傳送至施加器管292,而在該處產 生電漿。施加器管292具有接受反應氣體的一條輪入饋入 管線57,該等反應氣體受經由波導系統與其它最佳化元 件而來自磁控管711之微波所激勵。依據一組特定實施 例’此施加器管292係為由較佳地為礬土之一種組合物或 陶瓷材料、或是可抗拒電漿中之根基困蝕刻的其它材料所 -75- 本紙張尺度適用中國國家標孪(CNS ) Α4規格(210Χ297公釐) {請先閱讀背面之注意事項再填寫本頁} -訂 經濟部中央標隼局—工消费合作社印製 412779 at __,_B7__ 五、發明説明(73 ) 製成之一種®形(或呈其它橫截面形狀)管;于此特定實 施例中,施加器管292具有大約18至24英吋的長度、以 及大約3至4英吋的橫載面直徑。施加器管292係設置成 穿過波導段721,此波導段721之一端敞開供傳送微波而 另一端則以一片金屬壁终止;是以微波便可傳送經波導段 721之敞開端而到達施加器管292内對微波具通透性之反 應氣體處。當然,諸如諸如藍寶石等的其他材料亦可用作 為施加器管292之内部。在其他實施例中,施加器管292 可具金屬製外部與由一種組合物或陶瓷材料製成之内部, 而波導段721中之微波進入穿過施加器管292外部的-個 窗口而到達施加器管292暴露出來的内部處,來激勵諸反 應氣。 在該特定實施例中,電漿可藉包括有一個紫外線(UV) 燈731與一個UV電源供應器733的電漿點火系統予以點 燃’該UV電源供應器733可依意願選擇而定地被安裝在 波導段721之該金屬壁上;而當然,該UV電源供應器733 亦可被安裝在除該金屬壁上之位置以外的多個其它位置 處。經UV電源供應器733供電後,UV燈731即在施加器 管292内提供電漿初始離子化作用;接著即由微波能量維 持已點燃之電漿的離子化狀態,以產生根基團流而經由閘 閥280導引至腔室15·由於施加器管292内之負載上自 反應氣體引入管292内及在其内離子化時起所生之變化, 使用匹配系統701可使微波能量耦合在效率上最佳化。于 —些較佳實施例中,匹配系統701包括有在處理器50或 -76- 本紙張尺度適用中國國家標準(tNS ) A#見格(2IOX 297公釐) I d n : 4, I H !- 1· ^ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印聚 一 412779 ab77五、發明説明(74) 一部控制器單元之控制下作自動調諧的至少一個短線調諧 器:如前所述,其它傳統調諧元件亦可用在匹配系統7〇1<> 如同上文所論述地,施加器管292係從腔室15本體 底部安裝且連接於其上,使施加器管292輸出電漿根基困 進入包封總成200之入口 290,如第3圖所見。根基團經 入口 290輸入包封總成200配有内襯291之通道内,該内 概291較佳地係以聚四氟乙烯(PTFE)製成:在商業市場上 已用例如TeflonTM PTFE之商品名販售的PTFE,可抗拒由 入口 290輸入之反應化學物質所生的蝕刻或沈積作用;内 概291在清潔程序期間會防止氟根在該通道内再化合。除 PTFE之外,内襯291亦可用任何氟化材料製成,此等材 料包括諸如PFA (此乃為由聚四氟乙烯樹脂之碳-氟主鏈 與全氟烷氧基側鏈化合而成之一種聚合物)、氟化乙丙烯 (TFE)、或類似物等等的氟化聚合物。該通道較佳地係具 圓形橫截面形狀,或其它型式橫截面形狀,以配合入口 290 與施加器管292之橫截面。從包封總成200内之此具内衹 通道起,電漿根基困入清潔氣體歧管270内之導管47而 達閘閥280 ;該清潔氣體歧管270亦係由PTFE構成。對 會有氟根在電漿内生成的一些清潔應用場合而言,PTFE 是較佳的,因為PTFE可抗拒氟根的蝕刻作用《當然,清 潔氣體歧管270與内襯291二者均可由能抗拒視所用反應 氣體而定之特定化學作用之其他材料(諸如上文針對内襯 291所述者)製成。 在某些實施例中’閘閥280如上文所述地隔絕清潔程 -77- (請先閱讀背面之注意事項再填寫本頁) -訂 AW, 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 B7 412779 五、發明説明(75 ) 序和沈積程序》在腔室15正用於進行沈積、再流動、或 联迫進入步驟時,閘閥280通常,保持關閉;于關閉位置 時’閘閥280可防止導管47内用於清潔程序之粒子在沈 積程序期間污染晶圓’以及降低導管47與通道在沈積期 間之“無效”容積。若在閘閥280開啟之情況下,于大約 200至760 torr間之壓力下發生沈積作用,則亦會在施 加器管292内造成沈積,而導致清潔程序遭到污染。閘閥 280較佳地係以PTFEC或諸如上文針對内襯291與歧管270 所述者之類似材料)製成,以減少由於來自導管47之反 應化學物質而對關閉之閘閥280所生之損害或沈積於其上 之現象。在一組較佳實施例,閘閥280係為一種粒子等級 之閘閥。在使用到閘閥280的一些實施例中,只有在腔室 15用於進行晶困清潔步驟時,或在執行腔室清潔工作時, 閘閥280才會打開,而允許電漿根基團流入氣體混合盒273 之流體通道293内,如第3圖所見。而正如上文曾述及者, 在某些實施例中,則完全未用到閘閥280 ;因此,電漿根 基圈可流經環形通道295並透過氣體散佈板20進入腔室 15,散佈板20以及腔室15之各個部件於是便受清理;殘 餘物及用過之清潔氣馥接著以上文論述過之排出系統自腔 室15排出。腔室15之清潔程序和晶圓表面的清潔工作之 細節會在下文中詳細敘述。 F ·终點檢測糸銃 第17A至17D圖韓·示出依據本發明之另一概念層面所 構成而用於微波電漿系統55的一種清潔终點檢測系統 -78- 本紙張尺度適用中國國家標準{ CNS ) A4規格(210X297公釐) (讀先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央標隼局員工消費合作社印製 A7 B7 412779 五、發明説明(76 ) 800。如上文所論述者,本裝置10較佳地採用一種熱清潔 技術,此種技術運用遠距微波技術來取代傳統之在原地非 中斷式電漿程序,以降低金屬污染。于本發明中,使用遠 距微波電漿系統55的此種溫和而易控制之清潔技術係只 用到一些化學反應,而不像使用該種傳統在原地非中斷式 電漿程序時,物理濺散效應可能和腔室壁上之鋁發生反 應,而在經處理之晶圓中造成鋁金屬污染。 在使用遠距電漿系統55的清潔程序中,電漿係在和 腔室15遠隔之處產生,使得蝕刻劑氣體(較佳地大部分 為氟根)被引入腔室内,使腔室内發生溫和而易控制之熱 清潔作用’但電槳仍保持在腔室之外(亦即在施加器管292 内,參見第16圖)。而此種程序雖具利於清潔腔室15内 之晶圓及/或腔室15本身之諸構件(上文已論述過)的 多項優點’但也因腔室内不見電漿而難以再沿用傳統型清 潔終點檢測系統來準確指出清潔工作已完成的時間(亦即 腔至内最後的處理氣體殘餘物已和清潔蝕刻劑反應而使其 可從腔室排放掉的時間),因為傳統型終點檢測系統通常 係依賴利用腔室内之電漿並檢查從在原地非中斷式電漿發 出之放射物,方能判定清潔程序之终點, 然而,本發明之终點檢測系統則可擴大利用在原地非 令斷式電衆或是一種遠距電聚(;例如由該遠距電衆系統55 所提供者),來執行檢測。例如,在一種例示性程序中, 以氟為主之氣艘被用於和腔室内之叫粉末殘餘物反應 形成叫氣想,此叫氣想再用真空栗自腔室15予以抽 79- 匕紙乐尺度速用中國®轉準(CNS ( 21Qx 297公楚-厂 ---------------ΐτ-----ί ^ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印笨 經濟部中央標準局員工消費合作社印繁 412779 A7 ___B7____ 五、發明説明(77 ) 出·在腔室内實質上所有Si02均已耗盡時,以氟為主之 該氣嫂便不再_能與Si02反應形成SiF4;相反地,此時該 以氣為主之氣體會開始污染腔室15,或與例如腔室之铭 壁反應形成氟化鋁化合物。因此,判定大概終點或是最後 的3丨02殘餘物已和氟氣反應的時點俾使閘閥280可被關 閉而防止更多氟根基團進入腔室15,便相當重要;而如 同下文將會進一步論述到地,本發明之终點檢測系統800 會藉著檢測由於光線遭諸如SiF4等之排出清潔氣體反應 物吸收而發生之光強度上的變化,而能判定某一清潔程序 之終點。 如同第17A圖中所示,清潔終點檢測系统800包括有 沿排氣導管60定置於隔離閥371與節流閥373之間的一 個氣體檢測器802 ;當然,此氣體檢測器802亦可設置於 本裝置10之排氣系統内的一些其他位置處,例如,氣體 檢測器802可如第17B田所示地定置於節流閥373下游 處。在另一實施例中,檢測器802係如第17C圖所示地沿 從導管60接收一股抽樣氣流的一條旁通管線804定置; 于此實施例中’旁通管線804可包括有一個控制閥806 , 供改變流經管線804之流量,或是例如在腔室内有一晶圓 正受氣體處理期間完全中止氣體沿此旁通管線804流動。 參照第17D圖’現在將描述氣體檢測器8〇2的一组較 佳實施例。如圖所示’檢側器802包括有一個殼體804, 此殼體804圍成和導管60連通之一個貫穿孔806供允許 來自腔室15之氣體和其它殘餘物通過;較佳地有一對凸 -80- _本紙張尺度賴巾關家標準(⑽)/\4規格(21GX 297公f ) {請先閲讀之注意事項再填寫本頁}
412779 A7 B7 五、發明説明(78) 緣808、810把般體804附接於導管60上。殼體804之諸 側壁包括有一對紅外線(IR)窗812、813,此等紅外線窗 係被組構成可讓遠紅外線光通過,遠紅外線光具有自大約 10微米開始的波長;二IR窗812、813相隔一段長度l, 且較佳地包含有大致可讓遠紅外線光通過的一種材料,而 使得此等光線完全不會或是實質上僅其極少部分會被窗 812、813吸收•另外’ IR窗812、813之材料應和處理程 序相容、對處理及清潔氣體化學物質呈惰性,且其材料應 不會污染所沈積之薄膜;在使用氟根進行清潔程序的一些 實施例中,窗812、813可耐受氟。IR窗812、813之較 佳材料包括了氟化鍺、鈣或類似材質》 如同第17D圈所概示地,檢測器802更包括有一個遠 紅外線燈814,適當地耦接於殼體804上供產生遠IR光 並傳送此光穿過窗812、813,使光通過貫穿孔806。一個 IR檢測器816耦接於殼體804上供接收及檢測通經窗813 之遠IR光的位置處。較佳地,遠IR燈814可為具有一個 光學凹口波濾波器的一個鎢燈光源= 經濟部中央標準局員工消费合作社印S1 在本發明使用時,清潔氣體反應物(例如SiF4)沿導 管60與檢測器802之貫穿孔806導引;遠IR燈814發出 遠IR光射經窗812、貫穿孔806 '與窗813,而在窗813 被檢測器816接收*當光線通經清潔氣體SiF4反應物時, 此等反應物(亦即矽)吸收了部分遠IR光,而降低檢測 器816所接收到之光強度;氟並不會吸收遠IR光,因此, 當所檢知之遠IR光強度增加達至一個參考值時,檢測器 -81 - 本紙悵尺度適用中國國家標準(CNS ) Λ4規格(210X 297公1 ·) ----------¥------1T (請先閲讀背面之注意事項再填寫本頁) — A7 412779 _______B7_ 五、發明説明(79 ) n^i ϋ. I- in n -- I ^^^1 1^11 - - --^JJ (請先閱讀背面之注意事項再填寫本萸) 816即送出一個信號給一個控制器(囷上未示出),指出 通經導管60之SiF4濃度已大為降低或完全停止,此表示 已到達清潔程序终點。此時,該控制器即送出一個適當信 號給處理器50,以關閉閘閥280及防止更多蝕刻劑氣體 進入腔室。在上述例示性清潔程序中,终點檢測系統800 使用光源814提供可被清潔氣體反應物SiF4舆氟吸收的 遠IR波長並使用檢測器816檢測此等遠IR波長,其中之 清潔氣體反應物SiF4係吸收具大約1〇微米波長的光線, 而氟則吸收具大約5至6微米波長之光線。而在一些其它 實施例中,視清潔氣體程序中所用特定清潔氣體反應物之 光線吸收特性而定地’該光源814與檢測器816則可提供 不同波長之光線- 舉例而言,10為無SiF4流經導管60且檢測器8丨6接 收到來自燈814之全部強度時的遠ir光之強度:當siF4 于清潔程序期間流經貫穿孔806時,遠IR光會被吸收, 且檢測器816所接收到之強度降低,以下式表示: I/I〇 = exp ( -X * L * C ) 經濟部中央標準局員工消費合作社印製 其中X為IR窗812、813或一個濾波器(圖上未示出)的 消光係數,L為窗812與813間之長度(參見第17C圖), 而C為通經檢測器8〇2之SiF4的濃度,當i/iQ接近數值 1時’ SiF4濃度即正降低’其表示正被接近清潔程序終點β 該控制器會持續監視1/1。之值,直到此值接近1而表示 已到達清潔程序终點時為止》 儘管上文之描述係就供一套多腔室處理系統用之一個 -82- 本紙張凡度適用中國國家標隼(CNS ) A4規格(210X 297公芨) 經濟部中央標準局員工消費合作社印製 412779 A7 B7 五、發明説明(80) CVD腔室而發,但應知亦可用他種電漿蝕刻腔室、物理沈 積腔室、或類似者來施行本發明之某些特徵。因此,上文 所作敘述與繪示說明不應視為有欲限制後附申請專利範圍 所界定本發明範圍之意。應知,本發明並不侷限於上文所 述及附圖所示的單一晶圃腔室而已,例如,本發明之節流 閥可被安裝於可同時處理多個晶圓的成批處理腔室内;另 外,本發明應適用於對多個晶®中之每個晶圓依序執行一 些個別處理步驟的一種多晶圓腔室。 Π 使用CVD反應器系统之高溫多舟級_庠 A .例示性結構輿應用 第18圖繪示出依據本發明構成之一種積體電路9〇〇 的一幅簡化剖視圖。如圊所示,積體電路900包括有被由 矽局部氧化法(LOCOS)、或其他技術形成之一個場氧化物 區920予以彼此分隔開並電氣性隔離的NM0S與PM0S電晶 體903和906 ;或者,電晶體903與906在二者均為NM0S 或均為PM0S時,彼此可由一種淺槽溝隔離結構(圏上未 示出)予以分隔並電氣性隔離。每個電晶體903與906包 含有一個源極區912、一個沒極區915、和一個閘極區918·1 -個預金眉介電(PMD)層921把電晶體903、906和金 屬層940隔開,而在金屬層940與諸電晶體間之連接係由 接點924構成。金屬層940係為包括於積體電路900中之 四個金屬層940、942、944、與946中的一層,各金屬層 940、942、944、與946由個別的中間金屬介電(IMD)層927、 928、與929將之和相鄰之金屬層隔開;諸相鄰金屬層在 -83- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) {請先閲讀背面之注意事項再填寫本頁) 衣- 訂 經濟部中央標準局員工消費合作社印製 412779 A7 η— _'_Ε__ 五、發明説明(81 ) 一些選定開口處由一些通孔926予以連接起來《在金屬層 946上積設有幾個平面化鈍化層930。本CVD裝置10即可 用來沈積出例如用作為PMD層921、IMD層927、928、與 929、或鈍化層930的一些薄膜;本CVD裝置10亦可用於 沈積出用於取代LOCOS場氧化物區920之淺槽溝隔離結構 所需的一些氧化物充填層。 上述CVD裝置10之用途的另_實例係為用於形成在 第18圈之該例示性積體電路900中所示的超淺猓極與汲 極區912、與915 »本方法用於在為一個M0S電晶體形成 源極/汲極區時形成超淺摻雜接面的應用狀況,將當作一 個例子配合第19A至19E圖加以論述。 第19A圖係為一個已完成一部分的M0S電晶體之一幅 簡化剖視圖。純供舉例說明之用地,此M0S電晶體1〇〇〇 可為一個PM0S電晶體:而當然,NM0S電晶體亦可被形成。 對於PM0S電晶體1〇〇〇,所用之摻雜介電層1〇〇8可為充 作P型摻雜劑源的一層BSG薄膜。如第19A圓所見者,覆 於閘極氧化物1003上的一個閘極電極1〇〇2己被形成在材 料1004上。在本實例中,材料1〇〇4可為一個N型基體或 是形成一個基體内的一個N井;場氧化物區1〇〇6亦已由 諸如矽局部氧化法(LOCOS)等之一種方法形成《希望形成 超淺摻雜接面的諸區域可利用光罩來劃定;在本實例中, 此等區域為源極/汲極區1010與1012,惟當然此等區域 亦可被界定來形成輕度摻雜没極(LDD)區。使用上述CVD 反應器系統配合下文會詳細論述的程序製法秘訣,本例乃 84- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公奮) ' (請先閲讀背面之注意事項再填寫本頁) 訂 «2779 A7 B7 五、發明説明(82) 在棲置於受電阻性加熱之加熱器25上的一個晶圓上把一 層摻雜介電層1008形成於源極/汲極區1010與1012之 上。 經濟部中央標準局員工消費合作社印製 II - «I— - - Jn I. I ^^1 ^^1 —i i~^ (請先聞讀背面之注意事項再填寫本頁) 于把摻雜介電層1008形成在猓極/汲極區1010與 1012上之前,源極/汲極區1010舆1012之表面可利用 一個電漿或利用一種熱NF3蒸汽把可能存在的任何閘極氧 化物或本生氧化物清除掉,而該電漿可由諸如NF3之來自 上述遠距微波電漿系統55的反應氣體所形成"在此清除 程序,閘閥280打開以讓來自^?3電漿之氟根基困進入腔 室15,而清除掉可能存在源極/汲極區1010與1012表 面上的氧化物。清掉此等氧化物後,可讓把來自形成於源 極/汲極區1010與1012上之摻雜介電層1008之摻雜劑 堪迫進入的作用更一致。來自遠距電漿之氟根基困可用於 從腔室15内之晶圓上除去本生氧化物;于此清除程序中, 加熱器25可被調整到可讓氟根基團能最佳地清除氧化物 而不損及晶圓上之元件的位置處"較佳地,此本生氧化物 去除/清除步驟與沈積摻雜介電層1008之步驟係以在原 地非中斷之方式于同一腔室中執行。使用上文所述之本 CVD裝置10時,可避免水份被晶圓吸收,因為腔室15之 真空狀態並未被打破,且晶圓並未暴露於外界環境。或者, 一些不想有的氧化物可藉由在原地非中斷式地將NF3蒸汽 以熱使之崩溃,而自晶圓上清除:利用此一替換方式,係 藉由在大約200至1500 seem (每分鐘標準立方公分)之 間且較佳地在大約500 seem引入NF3、以及依意願選定地 -85- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2IOX297公釐) 經濟部中央標準局員工消費合作社印裝 412779 at B7 五、發明説明(83 ) 以大約0至1000 seem引入02到腔室15内,而于腔室15 内在原地非中斷式地產生氟根基團,同時,腔室15被加 熱到大約500至650°C之間且較佳地為600eC、並被維持 於大約60至760 torr之間且較佳地為400 torr之壓力, 且加熱器25與板20間隔介於大約150至900密爾之間且 較佳地大約為600密爾的間距;因此,源極/汲極區1〇1〇 與1012之表面即可被清除掉任何本生氧化物障壁層。 在該清除步驟之後,某些實施例中之閘閥280將會被 關閉以切斷摻雜介電層沈積程序令所用之任何反應氣想, 使之不能進入及沈積於導管47内之表面上。加熱器25亦 將被移至適當處理位置,並依據所期望之程序製法秘訣而 在腔室15之真空狀態下被加熱至特定溫度;然後,摻雜 介電層1008即在本CVD裝置10内如同下文所述地於高溫 (大約500至600°C)下被形成。此時由於無本生氧化物 障壁層’來自形成於晶圓上之摻雜介電層1〇〇8的摻雜劑 即可更容易且均勻地被驅迫進入基體内,以形成超淺源極 /汲極區1010與1012。 在沈積成摻雜介電層10 08後’晶圓仍留在腔室15内, 受電阻式加熱之該加熱器25舆置於其上之晶圓隨後即被 加熱到一個較高溫度(大約800°C)持續一段特定時間; 此加熱步驟驅使來自摻雜介電層之摻雜剤進入N型材料 1004内。摻雜介電層1〇〇8係為所生成之超淺接面1〇2〇 被用作為P型摻雜劑擴散源,如同第19B圖所示β當然, 閘閥280在此驅迫進入步驟期間内係保持關閉。作為此種 -86- 本紙狀度賴巾酬I:縣(CNS ) 44雜U1GX〗97公瘦) --; I I - . *»^1 - ^^1 It— 義 -- 1^1 n- - -- - J )^1 牙-d (請先閲讀背面之注意事項再填寫本頁) 412779 經濟部中央標準局員工消費合作社印製 A 7 ___B7_五、發明説明(84 ) 在原地非中斷式被加熱之方式的一種替換方法,晶圓可被 移送到_個回火爐或是_個快速熱處理反應器(較佳地係 在該多腔室系統内)中,以駆迫來自作為摻雜劑擴散源之 摻雜介電層1008的摻雜劑進入;其擴散作用係藉由回火 或是一道快速熱程序來執行;較佳地,於此等其他實施例 中,其擴散作用係用一道快速熱程序(因為有較好之產出 率)于介於大約950至1100°C之間的溫度下以介於大約1 至3分鐘之時間來執行,且較佳地係在大約l〇〇〇t下持 績大约1分鐘。 在擴散之後’摻雜介電層1008藉乾或濕蝕刻技術或 其他移除技術自Ν型材料1004上去除。本CVD裝置10亦 可用於利用遠距微波電漿系統55配合適當之蝕刻化學物 質來去除該摻雜介電層1008。對於此介電質去除步驟, 閘閥280將開啟以容許遠距電漿蝕刻該摻雜介電層1 , 直到完成去除工作為止,此時閘閥280便關閉。此去除步 驟可在原地非中斷式地執行,而不必把晶圓移離腔室15 中之加熱器25。或者,此去除步驟可在亦和CVD裝置10 位於相同之多腔室系統内而專供執行介電質去除動作的另 _個腔室内進行’如此亦可避免讓晶圓暴露於該多腔室系 統之真空環境以外的外在環境下。第19(:圖繪示出在去除 摻雜介電層1008之後的部分完成之PM0S電晶體1000: 此PM0S電晶體1〇〇〇包括有閘極電極1〇〇2、以及為超淺ρ 型摻雜接面的相鄰源極/汲極區1020。於此之後,用以 製成該元件的餘下處理步驟即可在晶圊上進行。 -87- 本紙張尺度適用.中國國家標準(CNS ) Α4規格(210X297公釐) - -ί r ^mi -J^i II 1^] In ^^^1 I- ^9, (請先閱讀背面之注意事項再填寫本頁) 五、發明説明(85 A7 B7 經濟部中央梯準局〖貝工消f合作社印製 在每個晶圓(或數個晶圓)己在CVD裝置10之腔室15 内受處理之後,一道腔室清潔動作便可被執行。于晶圓之 處理動作在腔室15内完成後,晶圓即經槽縫243移送離 開’而該槽縫隨即被真空閉鎖;閘閥280接著可被打開, 以讓腔室清潔程序可利用遠距微波電漿系統55來執行, 直到該腔室被清潔到如同由终點檢測系統所指出者的規格 時為。 在一组替換性實施例中,第19D至19Ε圖所示之步驟 係在針對第19Affl所述之步驟之後被執行;其中,在摻雜 介電層1008如第19A围所見地被形成於源極/汲極區 1010與1012及閛極電極1〇〇2上之後,諸如USG的一層 蓋帽層1030較佳地在腔室15内以一道在原地非中斷式程 序予以形成而覆蓋於該摻雜介電層1008上。于沈積該摻 雜介電層1008期間,閘閥280將保持關閉"接著,基醴 可被加熱,以如同上文就第19B圖所述地把摻雜劑自摻雜 介電層1008擴散到半導體材料1〇〇4内;或者,晶gj可自 腔室15被移出’供移送至一個回火爐或快速熱處理反應 器,以便如同上文針對第19B圖所述地使摻雜劑自摻雜介 電層1008擴散’而其中,該基體係在以該腔室15(摻雜 介電層1008與蓋帽層1030係在其内沈積而成)為其一部 分的同一組多腔室系統内遭受一道快速熱程序所處理。由 第19E®可見,來自具有覆蓋於上之蓋帽層1〇3〇之該摻 雜介電層1008的摻雜劑已擴散入半導體材料1〇〇4,而形 成諸超淺源極和汲極接面1020。蓋帽層1030和摻雜介電 -88- 本紙張尺度適用中國國家標隼(CNS ) Μ規格(210X297公f ) --------- .衣-- {請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準.局員工消費合作社印製 412779 A7 Β7 五、發明説明(86) 層1008接著如同上文所述地被蝕刻掉,而提供如第19C 圖中所示已備妥供進行餘下之處理步驟的部分完成PMOS 電晶體1000 >就大約0.25微米之元件幾何構形而言,根 據針對PMOS電晶體的諸較佳實施例,擴散硼原子可形成 厚度介於大約100至200埃(A )且復蓋有大約1〇〇至200 埃之一層USG薄膜的一層BSG薄膜,並產生落於大約0.05 微米至大約0.1微米之範圍内的一個接面深度。 當然,一個NMOS電晶逋1000包括有閘極電極1002 和源極/汲極區1010與1012,其中依據本發明之幾個其 他實施例,If型超淺接面可利用諸如一層PSG薄膜或一層 砷摻雜矽酸鹽玻璃薄膜作為摻雜劑源而形成於P型半導體 材料1004内。P型材料1004可為一塊P型基體或是形成 於基體内的一個P井。對於大約0.25微米之元件幾何構 形而言,依據幾組較佳實施例,厚度介於大約1〇〇至200 埃間之一層PSG薄膜以及介於大約1〇〇至200埃的一層USG 薄膜會導致磷被驅迫進入半導體材料内之深度落在大約 0. 05微米至大約〇. 1微米間之範圍内。當然應知,其他 的掺雜矽酸鹽玻璃薄膜亦可視應用場合而定地用於提供該 等N型或P型超淺接面。 本發明的另一種應用實例為用於形成充作元件間之一 種淺槽溝隔離結構中之通道停止區的一個超淺摻雜區β本 發明用於形成超淺通道停止區的應用狀況係參照第2〇α至 20G圓來論述。 第20Α圖係為在半導體材料11〇〇中形成之一個部分 -89- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X29?公釐) ^^1 n^— J SI ^^^1 I 」aJ (請先閲讀背面之注意事項再填寫本頁) 412779 at __:_ B7 五、發明説明(87 ) 完成淺槽溝隔離結構的一幅簡化剖視圖。如第20A圈中所 見者,一個槽溝1102利用包括反應離子蝕刻、電漿蝕刻、 或其他技術在内的異向性蚀刻技術形成於半導體材料 1100内。于本實例中,半導體材料11 〇〇可為一塊P型基 體或形成於一塊基體内的一個P井;一個光革1104可被 用來於淺槽溝隔離體中劃定該通道停止區;利用下文所詳 細論述之程序製法秘訣,而運用該光罩1104在槽溝1102 上形成一個摻雜介電層1106;此摻雜介電層1106構成摻 雜劑原子源,供擴散及形成用α防止在半導體材料11〇〇 内之諸元件之間形成傳導路徑的一個通道停止摻雜區。對 於Ρ型材料1100而言,摻雜介電層1106可為充作ρ型摻 雜劑源的一層BSG薄膜。 經濟部中央標準局員工消費合作社印裂 n^i ^^^1 tn ^^^1 HI ^^^1 I I ^nt ^^^1 —r^i V J. 穿-* (请先閲讀背面之注意事項再填寫本I ) 在把摻雜介電層1106形成於槽溝1102上之前,槽溝 1102之表面可利用遠距微波電漿系統55如同以下所述地 把可能存在的任何閘極氧化物或本生氧化物清除掉,而該 遠距微波電漿系統55係在利用諸如NF3之反應氣體所形 成之一個遠距電漿中提供氟根基團。在此清除程序,閘閥 280打開以讓氟根基團從導管47流經外部環形通道297 並經由散佈板20進入腔室15»加熱器25連同置於其上 之晶圓被降至用以進行清除作業的一個位置處,使氟根基 ffl可從晶圓上把可能存在槽溝1102表面上之一些不想要 有的氧化物清除掉,而不會損及基體。清掉此等氧化物後, 可讓把來自形成於槽溝1102上之摻雜介電層1106之摻雜 劑驅迫進入的作用更一致。此清除步驟與沈積摻雜介電層 -90- 本紙張尺度適用中國國家標準(CNS M4規格(210X 297公釐) A7 412779 87 五、發明説明(88) I-I i 1 - - —^ϋ· In -— I I- - ^^^1----- \^ (請先閱讀背面之注意事項再填寫本頁) 1106之步驟係以在原地非中斷之方式于腔室15中執行。 在一些替換性實施例中,該清除步驟可如同上文所述地藉 由在原地非中斷式地將NF3以熱使之崩溃而完成;來自NF3 電漿或蒸汽之氟根基困接著便可把可能存於槽溝1102上 之一些氧化物清除。而在一些更進一步之替換性實施例 中,則可用上述該多腔室系統中之另_個腔室來執行此清 除步驟》而因為腔室15(或是在替換狀況下的該多腔室 系統)之真空狀態並未被打破,而避免水份被晶圓吸收, 故槽溝1102之表面上即無任何本生氡化物障壁層。在該 清除步驛執行完之後,閘閥280即被關閉;此時由於無本 生氧化物障壁層存在,來自摻雜介電層1106之摻雜劑即 可更輕易且均勻地被駆迫進入基體_,以形成用作為通道 停止區的超淺接面,而提供淺槽溝隔離作用。加熱器25 與置於其上之晶圓被移至處理位置,並被加熱至高溫(大 約500至700t),以供沈積該摻雜介電層1106。 經濟部中央標準局員工消費合作社印製 在沈積成摻雜介電層1106後,晶圓仍留在腔室15内 供進行驅迫進入步驟;閘閥280在此時係保持關閉,且該 加熱器25即被加熱到一個較高溫度(大約800。〇;此加 熱步驟持續發生一段視擴散所需之期望接面深度而定的特 定時間。或者,晶圓隨後可被移送到一個回火爐或是一個 快速熱處理反應器(較佳地係在該多腔室系統内)中,以 驅迫來自摻雜介電層1106的摻雜劑進入P型材料1100 ; 摻雜介電層1106係針對所生成之超淺通道停止區1108被 用作為P型摻雜劑擴散源,如同第20B圖所示。超淺通道 -91- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公t ) 經濟部中央標準局員工消費合作社印裝 412779 Α7 Β7 五、發明説明(89 ) 停止區1108係為在P型材料11〇0中形成的一個p+型區β 在擴散之後,摻雜介電層1106藉濕蝕刻技術或其他 移除技術自Ρ型材料11〇〇上去除。較佳地,晶圓於此時 係保留於腔室15内,使得閛閥280可被開啟且來自遠距 微波電漿系统55之根基團可把該摻雜介電層11〇6蝕刻 掉;而當然,輸入到電漿系統55之反應氣馥係視該摻雜 介電層1106之型式而定。第20C圖繪示出在去除摻雜介 電層1106之後的部分完成之淺槽溝離結構,而如第2〇D 圖所示,槽溝1102接著被填以一種氧化物1110,以形成 該淺槽溝隔離結構;于一組較佳實施例中,以高溫下沈積 而成的一層高品質USG薄琪可用作為該氧化物111()來充 填諸高縱橫比槽溝;又’該氧化物1110亦可用其他沈積 技術予以形成。 在完成具有超淺通道停止區1108之淺槽溝隔離結構 後’即可形成被淺槽溝隔離結構分隔之元件1112與1114, 如第20Ε圈所示。元件1112與1114各包括有一個閘極電 極1116和相鄰之源極/汲極區ms與1丨20。接下來, 藉著把晶圓移送至較佳地在多腔室系統内之另一腔室,即 可執行其餘處理步驟來完成該元件;于晶圓被移送離開腔 室15之後,可利用該遠距微波電漿系統55配合把該受電 阻式加熱之加熱器25調整到一個清潔位置處以及將閘閥 280打開,來執行腔室清潔作業,此即如同上文參照第ι9Α 至19Ε圖已描述過者。 在一組替換性實施例中,第20F至20G圖所示之步禅 -92- •本纸張尺度適用中國國家標準(CNS ) Α4規格(21 Οχ 297公釐) (請先閱1*背面之注意事項再填寫本頁) 装- 訂 經濟部中央標準局貝工消費合作祍印製 412779 A7 _________^______ 五、發明説明(9〇 ) 係在第20A圏所示之步驟之後被執行;其中,在摻雜介電 層1106如第20A囷所見地被形成於槽溝11 〇2及光革1104 上之後,諸如13SG的一層蓋帽層111〇在腔室15内以一道 在原地非中斷式程序予以形成而覆蓋於該摻雜介電層 1106上。于沈積該蓋帽層〗〗〗〇期間,閘閥28〇被關閉。 接著’基體於腔室15内在原地非中斷式地被加熱,作摻 雜劑媒迫進入處理’以如同上文就第20Β圖所述地把摻雜 劑自受復蓋之摻雜介電層1106擴散到半導體材料11〇〇 内;或者,晶圓可自腔室15被移出,供移送至一個回火 逾或快速熱處理反應器(較佳地係在該多腔室系統内), 以便如同上文針對第20Β固所論述地使摻雜劑自受覆蓋之 摻雜介電層1106擴散到半導體材料11〇〇内β由第20G囷 可見’來自具有覆蓋於上之蓋帽層Η10之該摻雜介電層 1106的摻雜劑已擴散入半導體材料11〇〇,而形成超淺通 道停止區1108 <*蓋帽層111〇使摻雜劑自摻雜介電層ι106 向上隨氣體釋出之狀況減至最少,因而導致有更多摻雜劑 可供向下擴散進入基體材料11〇〇。在執行擴散步驟之後, 閛閥280被打開,且蓋帽層mo和摻雜介電層11〇6接著 可利用該遠距微波電漿系統55配合適當蝕刻劑化學物質 予以蚀刻掉,而提供如第20C®中所示已備妥供進行第20D 至20Ε圓所示餘下之處理步驟的部分完成淺槽溝隔離結 構。當晶圓被移出腔室15時,閘閥280處於關閉位置; 然後,可藉著操作電漿系統55配合閘閥280被打開,而 對腔室15執行一道腔室清潔動作。 -93- I —i —^n ^^^1 1 i I In _ - (請先閲讀背面之注意事項再填寫本頁) 本紙浓尺度適用中國國家標準(€阳)八4規格(210'/297公竣) 412779 A7 B7 五、發明説明(91 ) 對於在一塊P型基體中之諸NMOS電晶體間的淺槽溝 隔離結構’該摻雜介電層U06可為一層BSG薄膜;而對 於在一塊N型基體中(或在CMOS電路之諸N井中)之諸 PM0S電晶體間的淺槽溝隔離結構,該摻雜介電層U06可 為一層PSG薄膜或一層砷摻雜矽酸鹽玻璃薄膜。就諸如小 於0. 35微米而具有深度大約為〇. 5微米之一個槽溝1102 的較小元件幾何構形而言,具大約0.1微米接面深度之通 道停止區1108可利用大約200埃厚的一層BSG薄膜1106 和大約200埃厚之一層USG蓋帽層,依據本發明的諸較佳 實施例予以形成。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 應知’該簡化積體電路900係僅供舉例說明之用而 已;大凡熟於本項技藝之人士皆可施行本案之方法來製造 諸如微處理機、特定應用積體電路(ASIC)、記憶體元件、 以及類似物等等其他積體電路;再者,本發明可應用於 PM0S、NMOS、CMOS、雙極性、或BiCMOS元件上。而雖然 上文係論述超淺源極/汲極接面以及超淺槽溝隔離結構之 應用例,但本發明當然亦可用於希望有超淺摻雜區的其他 應用場合中;本發明亦可用於形成供在多種積體電路元件 中用作為包括PMD層、IMD層、鈍化層、及金屬鑲嵌層在 内之各種層體的未摻雜氧化物以及摻雜氧化物。 供在腔室15内進行在原地非中斷式或個別操作的各 種例示性晶圓清潔、沈積、與腔室清潔程序,會在下文中 根據本發明之各個實施例加以進一步敘述· B ·在沈積前清除本生氩化物 -94- 本紙張尺度適用中國國家標窣(CNS )A4規格(210X297公t } 412779 A7 _B7 五、發明説明(92 ) 依據本發明之一組特定實施例,可能存在妙基想上或 是期望有超淺換雜接面之區域上的本生氧化物,可在沈積 成可例如充作一個摻雜劑擴散源或作為一層PMD層的該摻 雜介電層之前,予以清除掉。于此等實施例中,諸本生氧 化物可藉由利用來自以諸如NF3之反應清潔氣體由遠距微 波電漿系統55形成之一個電漿的氟根基團,加以清除。 而使用在一個腔室内或是替代地在同一組多腔室系統之多 個腔室内進行的一些在原地非中斷式程序,即可讓依據此 等特定實施例所形成之諸超淺接面有更為增強之品質,並 可提供具低含水量與低皺縮量的介電層。 經濟部中央標準局貝工消費合作社印製 H 1 1 --^—^1 ——ύ^. - ^11 ^—t (請先閲讀背面之注意事項再填寫本頁) 在一組特定實施例中,腔室15相對於整個清除(潔) 程序係維持於沈積溫度,即介於大約300至650°C且較佳 地介於550至600°C範圍内的一個溫度;而在維持於此溫 度下的同時,腔室15被維持於落在大約1至2 torr間之 範圍内且較佳地大約為1.5 torr的一個壓力。加熱器25 被移至距氣體散佈板20大約600密爾之位置處,同時閘 閥280被打開*且清潔氣體NF3以大約600 seem之速率 被引入施加器管292 ;其中,清潔氣體係被引入施加器管 292,且在微波能量被施加於施加器管292内之NF3氣體 之前,該壓力被容許可保持穩定持續達大約3秒鐘。接著, 介於大約500至2500瓦之間且較佳地介於大約1〇〇〇至 1500瓦之間而來自以CW模式運作之磁控管711的微波功 率,被持續施加大約5至10秒鐘;如同前文所述,此微 波係從磁控管711傳送經過波導與最佳化系统,而經由窗 -95- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) 412779 A7 B7 五、發明説明(93 ) 進入施加器管292 · UV燈731點燃施加器管292中之反應 氣體而形成電漿,而其離子化作用係由在窗處進入施加器 管292之微波能量來維持。來自施加器管292内形成之上 游電漿的根基困被輪出而進入到入口 290;此等根基團流 經包封總成200中有内襯之通道,經開啟之閘閥280到達 清潔歧管270之導管47並進到外部環形通道297,而進 入腔室15内及清除掉晶圓上之本生氧化物•用過的清潔 氣體反應物和氧化物殘餘物接著經由開啟之節流閥自腔室 15排出》現階段所作敘述乃係針對總容精大約6公升的 腔室15所作成;應知,流量值可視諸其他實施例中所用 之腔室的大小和型態而異= 經濟部中央標隼局員工消費合作社印裝 ---------装-- (請先閱讀背面之注意事項再填寫本頁) 在一組特定實施例中,若腔室15維持在低於大約1 至2 torr之壓力下,進行快速去除氟物種之作業,則造 成清潔結果不良;又若腔室壓力高於大約1至2 torr, 則會由於碰撞損失而可能發生重新化合現象,並造成過熱 以及使施加器管292受損。腔室15應保持在氤物種不會 被快速去除、不發生重新化合現象、以及施加器管292不 會破裂的壓力準位下;在某些實施例中,腔室壓力可在微 波能量正被施加時,由施加器管292之實體尺寸和材質來 限制;在一組特定實施例中,施加器管292内之壓力在有 微波能量被施加時,可為最佳腔室壓力的大約3倍;當不 同施加器管S己合不同流量率而被使用時,最佳腔室壓力亦 會改變。而當然,在施加器管292於未被施加微波能量之 狀況下被使用時,則可採用任何壓力。 -96- 本纸浪尺度適用中國1家標準(CNS ) A4規格(210X 297公釐〉 412779 A7 ____. B7_ 五、發明説明(94 ) 因為電漿係在晶囲上游生成,故只有電漿中之反應性 氟根基困能到達晶圓處,把本生氧化物從晶圓上清除掉。 如同前文所述,對於厚度大約為90埃的一層典型本生氧 化物,清除(清潔)步驊較佳地係被持續執行大約5至1〇 秒鐘;上述清除步驟會以大約2微米/分鐘之速率蝕刻掉 本生氧化物;當然,清除步驟之總時間係依欲自晶圓上除 去之特定氡化物的厚度而定。利用本發明之遠距微波電漿 系統55,本生氧化物或其他氧化物可被蝕刻掉,而又可 避免對晶圓產生電漿損害。 雖然上文已對本發明舉以上晶圓清潔程序處理條件為 例加以說明,但其亦可採用其他條件•上文所作敘述中, 純粹只當作一例地論及NF3氣體,其係用在可向美商.應 用材料股份有限公司購得之針對200毫米晶圓配設的Giga FillT11 Centura系列系統中;而以下所作之各種關於沈積 的敘述内容亦是如此。不過應知,其他含氟或含氣之氣體, 諸如NF3與N2、NF3與氬、NF3與02、稀薄的F2、CF4、、 C3F8、SF6、或Cl2等等,同樣亦可採用。 C .例示性矽酸鹽沈精 經濟部中央標準局貝工消費合作社印製 ^^1 ^^^1 ^ni - - - I I 1— nn . ί ^^^1 0¾ ,vs (請先閲讀背面之注意事項再填寫本頁) 依據本發明之程序,用作為摻雜劑源、PMD層、IMD 層、氧化物充填層、蓋帽層、或其他層體的諸介電層,可 用數種不同製程中的任一種來製成。在下文中,BSG薄膜、 PSG薄膜、BPSG薄膜、及USG薄膜的程序製法秘訣係被提 出供作為本發明所用之經摻雜及未摻雜介電層的實例》當 然,在以下所述各種介電薄膜之沈積過程中,閘閥280均 -97- 本紙張尺度適用中國國家榇準(CNS ί Α4規格(2:0X20公釐) 412779 A7 B7 五、發明説明(95 ) 保持關閉(除非該遠距電漿系統55正根據一組替換實施 例被用來進行沈積程序)·諸例示性程序均可在該CVD裝 置10内執行,而該裝置10在較佳實施例中係為一套封閉 之單一晶圓SACVD系統。 經濟部中央標準局員工消費合作社印裝 I - - - Ί - ^—^1 ^—^1 ^—^1 Λ - - - I — (諳先聞讀背面之注意事項再填寫本頁) CVD裝置10亦可妥適地被調適來配合不同的及/或額 外的輸入氣趙供應源,供沈積出BPSG、摻雜珅之珍酸鹽 玻璃(AsSG)、或是其他介電層β當然,熟於此技者將會理 解到,類似電漿增強型CVD ( PECVD )的其他程序製法秘 訣及其他反應系统亦可用於沈積出該等介電薄膜層。硼溧 之例子包括有ΤΕΒ、硼酸三甲酯(ΤΜΒ)、乙碉烷(Β2Η6)、及 其他類似化合物;磷源之例子包括有磷酸三乙酯(ΤΕΡΟ)、 亞磷酸三乙酯(ΤΕΡ!)、磷酸三甲酯(ΤΜΟΡ)、亞磷酸三甲酯 (TMPi)、及其他類似化合物β而除了 BSG或PSG薄膜以外, 摻雜砷之氧化物或矽酸砷玻璃(ASSG)亦可利用例如以砷化 合物或砷氣體稀釋於例如氬中的一種液體源來沈積而成。 矽源之例子包括有矽烷(SiH4)、TEOS,或類似之矽源,而 氧源包括有02、03、微波產生之原子氧(〇)、或類似物。 在以下敘述中’液體源之流量率係以每分鐘毫克(ragni)為 單位來提供’而氣體源係以每分鉉標準立方公分(sccm)為 單位來提供:于此等敘述中,液體源係用一套精密液體注 入系統將之汽化,且以mgm為單位的液體流量率可藉著把 液體流量率乘以大約為1.923的一個因數而予以轉換為以 seem為單位的氣體流量率,是故流量率便可依此計算。 較佳地,穩定的摻雜介電薄膜可用TE0S/O3化學物質以 -98- 本紙張尺度適用中國國家標準(CMS ) A4規格(2丨0X 297公釐) 412779 A7 A7 B7 五、發明説明(96 ) —道SACVD程序來形成,而在某些實施例中形成無損壞之 均勻摻雜超淺接面:而在其他實施例中,一層低含水量之 摻雜介電薄膜可用TE0S/03化學物質以在CVD裝置10内 進行的一道SACVD程序予以形成,而提供具高縱橫比(宽 高比)間隙充填部、低皺縮性、低金屬污染量、及低氟結 合量的一種平面化絕緣層。 1 ·例示性的BSG薄膜沈箝 純粹舉例而言,以下所述之BSG薄膜沈積製法秘訣可 以形成可用作為充當摻雜劑擴散源之摻雜介電層的一層 BSG薄膜;當然,此製法秘訣可依該BSG層之特定用途及 預期品質而有所改變。被形成來供充當摻雜劑擴散源之掺 雜介電層的一層PSG薄膜亦可類似地利用以下所述之製法 秘訣’並適當地替換所用之摻雜劑源氣體,而予以形成。 經濟部中央標準局員工消f合作社印裝 -- I ·- - - - ^^1 1 ^^1 ^^1 - - ^^1 ^^1 5 、-" (請先聞讀背面之注意事項再填寫本頁) 例示性的BSG整塊薄膜在沈積時係把晶圓與加熱器25 加熱至介於大約200至650°C之間的一個溫度,較佳地係 加熱至在大約400至650°C之範圍内的一個溫度,且更好 的是加熱至大約500°C,並在整個沈積期間維持此溫度範 圍·將閘閥280關閉,把腔室15保持在落於大約1〇至760 torr之範圍内的一個壓力下,較佳地,此壓力係維持在 大約400至600 torr之範圍内,且更好的是維持在大約 600 torr*加熱器25被定置在距氣體散佈板20大約150 至300密爾處,且較佳地係定置在距散佈板20大約250 密爾處- 包括有作為硼源之TEB、作為矽源之TE0S、以及作為 -99- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 412779 at B7 五、發明説明(97) 氧的氣體源之〇3在内的一種處理氣體被形成。處於液態 的該等TEB和TEOS源被汽化,並和諸如氦的一種惰性承 載氣結合。該等液體係由氣體混合系統93中之液體注入 系統予以汽化,如此可對所引入反應劑液體之體積提供較 大程度之控制。TEB之流董率介於大約50至550 mgm之 間且視所期望摻雜劑濃度而定,而TEOS之流量率則介於 大約300至1000 mgm之間且較佳地大約為500 mgme經 汽化的TEOS與TEB氣醴接著和氦承載氣體混合,該氦承 載氣體之流量率係介於3000至6000 scan之問且較佳地 大約為4000 seem。呈03型態的氧以介於大約3000至6000 seem之間的一個流量率被引入,且較佳地係以大約5000 seem的一個流量率被引入;此臭氧混合物含有介於大約5 至16個重量百分比(wt%)之間的氧。此等氣體混合物自 氣饉散佈板20被引入腔室15,以供應反應氣體到基體表 面處,而於該處發生由熱引發之化學反應來生成所預期之 薄膜》 經濟部中央標準局負工消費合作社印製 ^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1^^^^1 ^^^^1 ^^^^1 ^^^^1—V (請先閲讀背面之注意事項再填寫本頁) 上述條件可產生以介於500至1000埃/分鐘之間的 一個速率沈積出來的一層BSG薄膜。藉由控制沈積時間, 具有介於大約50至500埃之間且較佳地介於大約1〇〇至 300埃之間的一個厚度之BSG薄膜,便可於大約1〇至60 秒内以上述處理條件生成。較佳地,在所生成BSG薄膜中 之用的重量百分比係落在介於大約2至8 wt %之間的一 個範圍内,且較佳地係為大約6 fft % 。 2 ·例示性的PSG簿膜沈精 -100- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 412779 A7 B7 五、發明説明(98 ) 純粹舉例而言’以下所述之PSG薄膜沈積製法秘訣可 以形成可用作為一層PMD層的一層PSG薄膜;當然,此製 法秘訣可依該PSG層之特定用途及預期品質而加以改變》 被形成來供充當摻雜劑擴散源之摻雜介電層的一層PSG薄 膜亦可利用和以下所述之製法秘訣相類似之製法秘訣,並 適當地替換所用之(諸)摻雜劑源氣體,而予以形成。 例示性的PSG整塊薄膜在沈積時係把晶困與加熱器25 加熱至介於大約200至650eC之間的一個溫度,較佳地係 加熱至在大約400至650°C之範圍内的一個溫度,且更好 的是加熱至大約600°C,並在整個沈積期間維持此溫度範 圍。將閘閥280關閉,把腔室15保持在落於大約1〇至760 torr之範圍内的一個壓力下,較佳地,此壓力係維持在 大約400至600 torr之範圍内,且更好的是維持在大約 450 torr。加熱器25被定置在距氣體散佈板20大約250 至350密爾處,且較佳地係定置在距散佈板20大約330 密爾處。 經濟部中央標準局員工消費合作社印繁 ^^^1 ^^^^1 ^^^^1 ^^^^1 I —^n ntv ϋ— —^^^1 (請先閱讀背面之注意事項再填寫本頁} 包括有作為磷源之TEP0、作為矽源之TE0S、以及作 為氧的氣體源之03在内的_種處理氣體被形成》處於液 態的該等TEP0和TE0S源被液體注入系統汽化,並和諸如 氦的一種惰性承載氣結合。TEP0之流量率介於大約1〇至 100 mgm之間且較佳地介於大約5至30 mgm之間而最妤 是大約為24 mgm,視所期望摻雜劑濃度而定,而TE0S之 流量率則介於大約500至1500 mgm之間且較佳地大約為 1 000 mgm。經汽化的TE0S與TEP0氣體接著和氦承載氣體 -101- 本紙烺尺度適^1中國國家標準(〇阳)/\4規格(210乂 297公釐) 經濟部中央標準局員工消費合作社印取 412779 A7 B7 五、發明説明(99 ) 混合,該氦承載氣體之流量率係介於2000至6000 seem 之間且較佳地大約為4000 seem »呈03型態的氧(具有介 於大約5至16個重量百分比之間的氧)以介於大約2500 至6000 seem之間的一個流量率被引入,且較佳地係以大 約4000 seem的一個流量率被引入。此等氣艘昆合物自氣 體散佈板20被引入腔室15,以供應反應氣體到基體表面 處,而於該處發生由熱引發之化學反應來生成所預期之薄 膜。 上述條件可產生以大約1780埃/分鐘之一個速率沈 積出來的一層PSG薄膜。藉由控制沈積時間,所沈積之該 PSG薄膜之厚度便可輕易予以控制。較佳地,在所生成PSG 薄膜中之磷的重量百分比係落在介於大約2至8 wt %之 間的一個範圍内,且較佳地係為大約4 wt % 。 3 .例示性的BPSG薄膜沈精 純粹舉例而言,以下所述之BPSG薄膜沈積製法秘訣 可以形成可用作為一層PMD層的一層BPSG薄膜;當然, 此製法秘訣可依該BPSG層之特定用途及預期品質而加以 改變。 例示性的BPSG整塊薄膜在沈積時係把晶圓與加熱器 25加熱至介於大約100至800°C之間的一個溫度,較佳地 係加熱至在大約400至650eC之範圍内的一個溫度,且更 好的是加熱至大約480°C,並在整個沈積期間維持此溫度 範圍》將閘閥280關閉,把腔室15保持在落於大約10至 760 torr之範圍内的一個壓力下,較佳地,此壓力係維 -102- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐).~' : ^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 £ —^^1 ^^^^1 ^^^^1 麵 IΊ (請先閱讀背面之注意事項再填寫本頁) A7 412779 B7 五、發明説明(100 ) m m d^l im 111 .¾. (請先閱讀背面之注意事項再填寫本頁) 持在大約150至600 torr之範困内,且更好的是維持在 大約200 torr。加熱器25被定置在距氣想散佈板20大 約150至400密爾處,且較佳地係定置在距散佈板20大 約300密爾處。 經濟部中央標準局員工消費合作社印製 包括有作為硼源之TEB、作為磷源之TEP0、作為矽源 之TE0S,以及作為氧的氣體源之03在内的一種處理氣體 被形成。處於液態的該等TEB、TEP0和TE0S ί康被液體注 入系統汽化,並和諸如氦的一種惰性承載氣結合;此處當 然應知,其他種類之硼、磷、矽、與氡泺亦可採用<·ΤΕΒ 之流量率較佳地介於大約150至200 mgm之間;ΤΕΡ0之 流量率介於大約10至100 mgm之間且較佳地介於大約35 至75 mgm之間而最好是大約為24 mgm,視所期望摻雜劑 濃度而定;而TE0S之流量率則介於大約300至700 mgm 之間•經汽化的TE0S、TEB、與TEP0氣體接著和氦承載 氣體混合,該氦承載氣體之流量率係介於2000至8000 seem之間且較佳地大約為6000 seem。呈03型態的氧以 介於大約2000至5000 seem之間的一個流量率被引入, 且較佳地係以大約4000 seem的一個流量率被引入;此臭 氣混合物含有介於大約5至16個重量百分比之間的氧。 此等氣體混合物自氣體散佈板20被引入腔室15,以供應 反應氣體到基體表面處,而於該處發生由熱引發之化學反 應來生成所預期之薄膜》 上述條件可產生以介於3500至5500埃/分鐘之一個 速率沈積出來的一層BPSG薄膜。藉由控制沈積時間,所 -103- 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) 經濟部中央標準局負工消费合作社印!^ 412779 A7 ____B7五、發明説明(仙) 一 沈積之該BPSG薄膜之厚度因而便可輕易予以控制β所生 成之BPSG薄膜具有介於2至6個wt %之間的一個硼濃度 準位、和介於2至9個wt %之間的一個磷濃度準位。 在上述BSG、PSG、BPSG製程中和在以下所述USG製 程中的諸參數,均不應視為是對申請專利範圍的限制。例 如,本發明亦可適用於摻雜以包括例如砷在内之其他摻雜 劑的氧化梦薄膜;再舉一例言之,上述諸流量值亦適用於 針對200毫米晶圓配設之一種腔室,但仍可視所用腔室之 型態或大小而加以改變;熟於此技者亦可用其他化學材 料、腔室參數、及條件來製成類似之薄膜。 一般均相信薄臈穩定性可能是諸摻雜介電薄膜中用以 擴散進入半導體材料之摻雜劑原子可得率的一項影響因 素。有數種用來改善薄膜穩定性及增進對摻雜劑自摻雜介 電薄膜擴散進入半導體材料之過程加以控制的能力之方 法’業已經過研究》所述之每一種方法均可於一層摻雜介 電層利用諸如上文所述之諸例示性沈積製法秘訣中之一種 的製法秘訣沈積形成後’在此摻雜介電層上執行β再者應 知’雖然以下所述之諸方法係針對BSG (或PSG)薄膜之 處理來描述,但此等方法同樣亦可適用於任何有摻雜之氧 化矽薄膜。 4 例示性的BSG薄膜沈精 a·氣化物充瑱材料或絕緣屉 依據本發明之一組實施例’一層未掺雜梦酸鹽破璃 (USG)層可在本CVD裝置10内沈積形成,供用作為例如用 -104- (請先閲讀背面之注意事項再填寫本頁〕 - - I· i · 裝 訂 本紙浪尺度適用中國國家標準(CNS ) A4規格(2丨0 X 297公釐) 412779 經濟部中央標準局負工消費合作社印製 Α7 Β7 五、發明説明(102) 以充填一種淺槽溝俾用來作淺槽溝隔離的一種氡化物充填 材料;當然,此USG薄膜亦可用作為一層IMD層、一層絕 緣層、或其他層體。下文所述之例示性的USG製法秘訣可 提供極緻密且均勻之薄膜,且此薄膜可承受在高於800°C 之溫度下的回火處理而僅有最少量之皺縮現象;此USG薄 膜對高縱橫比之梯級部復蓋處理提供了極佳的間隙充填能 力,且亦可忍受以十分均勻之蝕刻速率進行的數道蝕刻程 序而不會在USG薄膜内生出任何空隙;此種USG薄膜另亦 可承受化學機械拋光(CMP)平面化處理,而不會在USG内 生出任何空隙或敝摺。 晶圓與加熱器25被加熱至落在大約200至650°C之範 圍内的_個溫度,但較佳地係介於大約550至651TC之間, 且接著在整個沈積期間維持於此溫度。加熱器25被定置 在距氣體散佈板20大約250至400密爾處,且較佳地係 定置在距散佈板20大約350密爾處《將閘閥280關閉, 把腔室15内之壓力保持在介於大約10至760 torr之間 的一個準位,較佳地,此壓力係大約為600 torr。 包含氧與矽源的一種處理氣體被引入沈積腔室内。在 —組較佳實施例中,矽源為TE0S,且氧源為〇3,但熟於 此技者將會認知到,諸如SiH4、TMCT、或類似來源的額 外矽源、以及諸如02、H20、N20、微波產生之原子氧、及 類似來源、及前述者之混合物等等的其他氧源,亦可被採 用於此。在使用TE0S作為矽源時,諸如氦或氮的一種承 載氣艘會被使用β03對TE0S的比例可落於自大約2:1至 -105- 本紙張尺度適用中國國家標準(CMS ) A4規格ΐ 210X297公廣)· (請先聞讀背面之注意事項再填寫本頁) •-=9 Γ «2779 A7 B7 五、發明説明(⑽) 17:1之範圍内,但較佳地係介於大約2 :1至6:1之間β 諸氣態反應劑之最佳總流量將依據沈積腔室之幾何構 形舆設計而變;氣體流量亦可被改變俾控制沈積速率。典 型地’ TEOS係以介於大約500至2500 mgm之間的一個流 量率被引入,且較佳地係以大約2000 mgm之流量率被引 入;〇3(含有介於大約5至16個wt %之間的氧)以介於 大約2000至1 〇〇〇〇 seem之間的一個流量率被引入,且較 佳地係以大約5000 seem的一個流量率被引入。氦或氮可 被用來作為承載氣體,該承載氣體係以介於2000至10000 seem之間且較佳地大約為7000 seem的一個流量率被引 入。通常,進入沈積腔室之諸氣體的總流量將在大約5000 至20000 seem之間變化,且較佳地大約為15000 seem。 在上述條件下,可得到大約1450埃/分鐘或更大的一個 沈積速率;上述諸流量值係針對一套200毫米晶圓腔室而 言,且將會視所用腔室之大小與晶圓之大小而變。 b 蓋帽層 經濟部中央標隼局員工消費合作社印裝 ^^1 ^^^1 ^^^1 ^^^1. ^^^1 ^—^1 ^—^1 ^—^1 Λ mi - 3¾ 、vs (請先鬩讀背面之注意事項再填寫本頁) 依據本發明之另一组實施例,所沈積之該BSG(或PSG ) 層可被覆蓋以一層薄的個別USG層。此種USG蓋帽層係為 不易吸收水份的一種穩定層體,因此,此USG蓋帽層即在 BSG (或PSG )層之頂上提供一種斥水性表面,此斥水性 表面會防止週遭環境中存在之水份被吸收到BSG (或PSG) 薄膜内'再者,此USG蓋帽層係為會阻止硼(或磷)放出 的一種相當緻密薄膜,是故,此USG蓋帽層便有助於使摻 雜介電層中的更多摻雜劑原子受控制地向下擴散進入半導 -106- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ 經濟部中央標準局員工消費合作社印製 412779 A7 B7 --------—____ 五、發明説明(⑽) 體材料中;而若無此USG蓋帽層存在,某些摻雜劑原子可 能會在後績之回火或快速熱處理程序當中向上擴散離開半 導體材料;因此’此一蓋帽層的利用便有助於控制擴散和 接面深度。此USG層可在和BSG (或PSG)層不同的一個 獨立處理腔室中沈積形成,但較佳地係在亦進行BSG (或 PSG)層之沈積工作的該腔室15中以一種在原地非令斷式 程序來完成:而當然,這當中可以用許多種程序方法來形 成一層蓋帽層。 以下用以形成一層USG層的製程係被描述來作為一組 實例;而一層未摻雜矽酸鹽玻璃層當然不只也可以如同下 文所述地用來作為一層蓋帽層而已,而係亦可在未用到一 層位於下方之摻雜介電層的情況下被用來作為一層絕緣介 電層。 晶圓與加熱器25被加熱至落在大約200至60(TC之範 圍内的一個溫度,但較佳地係大約為500 °C,且接著在整 個沈積期間維持於此溫度。加熱器25被定置在距氣體散 佈板20大約250至350密爾處,且較佳地係定置在距散 佈板20大約300密爾處•將閘閥280關閉,把腔室15内 之壓力保持在介於大約50至760 torr之間的一個準位, 且較佳地係維持在介於大約200至600 torr之間的一個 壓力,而最佳地係為大約400 torr。 包含氧與發源的一種處理氣體被引入沈積腔室内β在 一組較佳實施例中,矽源為TE0S,且氧源為〇3,但熟於 此技者將會認知到,諸如矽烷、TMCT、或類似來源的額外 -107- 表紙張尺度適用中國國家標準(CNS ) Α4現格(210Χ297公釐) (請先聞讀背面之注意事項再填寫本頁) 裝- 訂 412779 at __B7____ 五、發明説明(105 ) 矽源、以及諸如02、H20、N20、及類似來源、及前述者之 混合物等等的其他氧源,亦可被採用於此。在使用TEOS 作為矽源時,諸如氦或氮的一種承載氣體會被使用。〇3對 TEOS的比例可落於自大約2:1至17:1之範園内,但較佳 地係介於大約2 :1至6:1之間。 經濟部中央標準局員工消費合作社印製 ^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 ·*ly ^^1^1 K^i 1 , (請先閱讀背面之注意事項再填寫本頁) 諸氣態反應劑之最佳總流量將依據沈積腔室之幾何構 形與設計而變;氣體流量亦可被改變俾控制沈積速率。典 型地,TEOS係以介於大約500至1500 mgm之間的一個流 量率被引入,且較佳地係以大約1000至1250 nigm之間的 一個流量率被引入;03 (含有介於大約5至16個wt %之 間的氧)係以介於大約2000至10000 seem之間的一個流 量率被引入,且較佳地係以大約7000 seem的一個流量率 被引入。氦或氮可被用來作為承載氣體,該承載氣體係以 介於2000至6000 seem之間且較佳地大約為4000 seem 的一個流量率被引入。通常,進入沈積腔室之諸氣體的總 流量將在大約5000至20000 seem之間變化,且較佳地大 約為15000 seem。在上述條件下,可得到介於大約500 與1500埃/分鐘之間的沈積速率;於此等沈積速率下, 厚約100至200埃的一層USG層可在大約20秒内即沈積 形成β上述諸流量值係針對一套200毫米晶圓腔室而言, 且將會視所用腔室之大小與晶圓之大小而變。 以下用以形成一層USG蓋帽層的製程係被描述來作為 實例而已。幾種較佳之應用狀況將具有厚度介於大約50 至500埃之間且較佳地介於大約1〇〇和300埃之間的一些 -108- 本纸張尺度適用中國國家榇準(CNS Μ4規格(210X297公嫠) A7 412779 ________B7____ 五、發明説明(106) ^^^1 ^^^1 .^^^1 ^^^1 ^^^1 ^^^1 —^—^1 ^^^1 ^^^1 - hi m3. ,言 (請先閱讀背面之注意事項再填寫本頁) USG蓋帽層;然而,熟於此技者將可體認到,依特定應用 場合與元件幾何構形大小之差異,自可採用不同厚度之蓋 帽層。依用到此類沈積薄膜之應用場合及間隙大小而定 地,縱使並非必要,讓該等蓋帽暨摻雜介電層相當薄可能 是較佳的。而對於薄的層體而言,其沈積形成所需之時間 和蝕刻掉所需之時間均短於較厚之層體。該USG蓋帽層被 沈積,而該USG層與該摻雜介電層二者均被深蝕刻《亦應 認知的是,諸如其他類似之穩定氧化物薄膜的一些不同蓋 帽層可被用來覆蓋該摻雜介電層;再者,該USG蓋帽層可 被形成於以APCVD、PECVD、或LPCVD替代SACVD所沈積 而成之一些摻雜介電薄膜上。又如同前文所述,採用腔室 15供在原地非中斷式地進行多道製程處理步驟是最佳 的,而採用一套多腔室系統且其中于在此多腔室系統之各 腔室間移送基體時不會打破真空狀態的作法亦是較佳的。 經濟部中央標準局員工消費合作社印製 依據本發明之另一組實施例,則是藉著就在一層摻雜 介電薄膜沈積完成之前關掉硼源或磷源,而於該摻雜層上 形成一層在原地非中斷式USG或類似之蓋帽層。于此實施 例中*諸如BSG (或PSG)的初始掺雜介電層係如上文所 述地製成;接著把流入腔室15之摻雜劑源停止,但讓熱 反應繼續進行介於1至30秒之間的一段額外期間,較佳 地,此熱反應係持續大約3至10秒:又于此實施例中, 係藉著把摻雜劑源之供應管線上的一個閥體關閉而將該換 雜劑源停止供應,使得該熱反應在無摻雜劑存在之情況下 繼續維持至少5秒鐘。 -109- 本紙張尺度適用中國國家標準(CNS ) A4規格(2〗OX297公釐) 412779 A7 B7 五、發明説明(107) 當然,停止摻雜劑氣體源之動作必須配合該氣體自該 閥體所在位置行進至氣體混合系統93及接著通過散佈板 20之面板所花費之時間》在大部份的CVD機器中,氣體 自注入閥流到沈積腔室須花費數秒鐘,故該閥體應提前足 夠之時間即關閉,以抵消此等延遲。緣是,若硼源為TEB, 則在BSG層沈積完成前數秒關閉TEB供應管線上之閥體 後,便會形成一層可防止前述水份吸收及脫氣現象的薄 USG蓋帽層。 以在原地非中斷方式沈積出形成於摻雜介電層上之 USG蓋帽層,可創造經改善之穩定性和抗水份收力,並有 助於對形成超淺摻雜區的沈積作用有程度更為改善之控制 性。 經濟部中央標準局貝工消費合作社印策 ^^^1 ^^^^1 ^^^^1 Jn« ^^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 ^^^^1 I,J (請先KJ讀背面之注意事項再填寫本頁) 若不採用或是除了採用一層USG蓋帽層以外,亦可利 用對該摻雜介電層作電漿處理之方式來降低水份吸收性並 改善該摻雜介電層之穩定性。于配備有一套RF電漿系統 之諸實施例中,此種電漿緻密化處理可在對元件引起之電 漿損害無關緊要時運用。在某些實施例中,於高於大約500 °C之溫度下進行的沈積動作即可能足以提供一層緻密的介 電薄膜。經電漿處理過之摻雜介電層的獲改善之穩定性, 有助於使對形成超淺摻雜區的擴散作用之控制性獲得增 進。于此種電漿緻密化處理過程中,腔室15被維持在介 於大約1至5 torr之間的壓力下;把閘閥280關閉’再 將利用諸如例如氮(N2 )、氨(NH3 )、或氩之一種反應氣 體所形成的一團電漿引入腔室15内;在僅當作可採用之 本紙張尺度適用中國國家標芈(CNS ) Α4規格(2!〇xm公釐) A7 A7 經濟部中央標準局負工消費合作社印製 B7 五、發明説明(108) 電裂處理的一種可行實例之狀況下,可把諸如n2的一種 反應氣體以大約1000 seem之速率引入氣體混合系統93 内’而和1000 seem之氦混合:RF電漿系統例如在大約350 兆赫(MHz)之RF頻率下以大約450瓦之功率準位運作,而 在腔室15内形成一團電漿;此電漿用於使該摻雜介電層 之表面純化,其中在該層體之表面上會有一些氮化作用; 如此,此種電漿處理作法便把該摻雜介電層薄膜緻密化: 經緻密化而可抗拒水份吸收現象的該摻雜介電層薄膜中的 更多摻雜劑,因而即可供形成諸超淺接面之用。 D.在原地非中斷式沈精及/或再流動所需之加 本CVD裝置10具有容許在一道沈積程序之後在同一 晶圓上進行一道在原地非中斷式加熱步琢以完成一種雙步 棘沈積/再流動程序、或是容許和一道沈積程序同時地在 同一晶圓上進行一道在原地非中斷式加熱步驟以完成一種 單步驟沈積/再流動程序的能力。吾人皆知,為了用來作 為形成在一些高縱橫比電晶體或隔離槽溝上的一層pMD 層,諸如PSG的一層未經摻雜或經摻雜之介電薄臈往往需 要加以平面化,因為此種平面化在形成積體電路元件時麟 為重要;而一層摻雜介電薄膜層之平面化可藉著於高溫下 使該層體再流動(ref low)而完成;執行再流動程序亦有助 於增進所沈積薄膜之間隙充填作用,尤以晶圓上之高縱橫 比形體部位為然。當然,針對其他目的及應用場合而作的 加熱步驟同樣亦可在本CVD裝置10内執行;接下來於下 -111- /本婊張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ~ I— km - ^^^1 I ^in In 1'J {請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局貞工消費合作社印製 A7 ____ _B7__— 五、發明説明(109) 文中所論述之加熱程序只是要作為可用於進行再流動處理 的一種例示性加熱步驟而已,而為了在某些應用場合中執 行摻雜劑媒迫進入或是針對其他目的而作的其他加熱步 驛,自亦可進行。 依據一組特定實施例,以下將描述雙步驟沈積/再流 動程序β于閘閥280關閉之狀況下,把腔室15維持於大 約200至760 torr之壓力;將晶圖與加熱器25置於距氣 體散佈板20介於大約200至400密爾之間且較佳地介於 大約330至350密爾之間的處理位置處後,把晶圓和加熱 器25在腔室15内加熱至介於大約500至800 °C之間且較 佳地介於大約550至65(TC之間的一個高溫,俾進行沈積 處理;依據一組特定實施例,停止反應氣體流動,接著可 在介於大約750至9501:之間且較佳地介於大約750至850 它之間加熱該晶圊經大約5至30分鐘且較佳地大約15至 20分鐘,以使該介電層再流動;于此雙步驟程序中,再 流動溪度可同於或高於沈積溫度;再者,對於一道多步禅 沈積/再流動程序而言’其溫度可在依一斜坡率調整至再 流動溫度之前先依一斜坡率自沈積溫度調整到一個(或多 個)中間溫度;當然,諸加熱步称之時間和溫度,可能會 視正在執行之特定應用場合以及視正要形成之特定層體而 變。 依據另一組特定實施例’以下再描述一道單步棘沈積 /再流動程序。于閘閥280關閉之狀況下,把腔室15維 持於大約200至760 torr之壓力;將晶圓與加熱器25置 -112- 不紙ί長尺度適用中國國家標準(CMS ) A4規格(ZlOX297公釐) t請先聞讀背面之泣意事項再填寫本頁) .笨 經濟部中央標準局員工消費合作社印製 412779 at ---- .__ 五、發明説明(110 ) 於距氣體散佈板20介於大約200至400密爾之間且較佳 地介於大約330至350密爾之間的處理位置處後,把晶圓 和加熱器25在腔室15内加熱至介於大約750至950°C之 間且較佳地介於大約750至850°C之間的一個足夠高之溫 度,俾同時進行沈積與再流動處理;當然,該沈積/再流 動步驟所需之時間和溫度,可能會視正要形成之特定層體 而變。 如同上文所述,把晶圓保持在同一腔室15内供進行 多道在原地非中斷式製程的作法|可防止晶圓暴露於可能 發生水份吸收及雜質污染現象的外界環境下《再者,以高 於大約550°C之温度進行諸介電薄膜之沈積的作法,可提 供在受加熱時不會形成空隙的一些緻密且高品質之薄膜; 同時,于此等溫度下沈積該等沈積形成之薄膜,亦會降低 皺縮量。 E腔室清潔/調質/吸除鋥庠 于在腔室15内於晶®上執行該處理步称或是諸如上 文所述之諸例示性步麻的多道處理步麻之後,晶圓即會視 需要被移出腔室15以進行後續之製程處理步驊。接著在 真空閉鎖門關閉而把已無晶圓在加熱器25上之腔室15密 封起來之後,腔室15即可接受一道腔室清潔程序,以從 包括腔室15下方部分之無内襯腔室壁、以及種種其他腔 室構件在内之諸腔室部位上,把諸如不想要之氧化物及/ 或IL化物除去;此種腔室清潔工作係把諸沈積輕序期間累 積之任何殘餘物清除掉’以確保每一片晶@1之間相較之下 -113- 本紙張尺度適用中囷囤家標準(CNS ) A4規格(210X.297公羞) (請先閲讀背面之注意事項再填寫本頁} 訂 412779 Λ7 B7 五、發明説明(lu) 有可靠的不變性。此等殘餘物可利用例如從由遠距微波電 激系統55以反應性清氣體形成之電漿取得之氟根基團, 予以自諸腔室構件上清除。由於和氤原子有高反應力,故 殘餘之氧化矽可藉著形成一種SiF4氣體產物並自腔室15 令抽泵離開而予以清除。以下所述之腔室清潔程序可在每 處理一片晶圓或是每處理多片晶圊之後執行。 在本發明之一組較佳實施例中,叩3被用作為用來提 供該等氟根基困的清潔氣艘。本發明較佳地係利用該组遠 距微波電漿系統55來分解NF3氣體並產生送入腔室15的 F (氟)原子流。採用氟化學材料配合本發明之該組遠距 微波電漿系統55,比起一些在原地非中斷式電漿處理程 序來,有利地具有低動能、以及不會有物理濺散效應或在 後續所沈積成之薄膜中不會形成帶電物種;再者,使用NF3 不會產生任何長壽命的全氟化碳(PFC)產物,而符合現今 降低全球溫暖化效應的環保要求。 經濟部中央標準局員工消费合作社印製 -- I H -- » » - *t m m· I I n^i ^^^1 ^^^1 ^^^1--SJ (#先閲讀背面之注意事項再填寫本頁) 為確保有最佳的清潔效率,F通量以及其清潔均勻性 應予最佳化。在某一個NF3氣體流量下,會有一個眩界微 波功率設定值,若高於此設定值,F原子的產生作用會被 其重新化合作用所抵消一部分《第21困顆示出依據本發 明之一組特定實施例在NF3流量與能產生最高清潔率而無 任何過多硬體成本之微波飽和功率之間的關係;如第21 圖所示,此微波飽和功率依據該組特定實施例相對於介於 大約500至950 seem之間的對應NF3流量係落在介於大 約1300至2100瓦之間的範圍内,而針對以下所論述之一 -114- 本紙悵尺度適用中國國家標孪(CNS ) A4規格(210X 297公釐) 412779 at B7 五、發明説明(112) 组較佳實施例’微波飽和功率相對於大約950 sCCni之NF3 流量’則大約為2100瓦。清潔均勻性可藉由腔室壓力以 及加熱器間距來控制’此二因素俱可調整氣體物種之平均 自由路徑與抽泵輪廓:如同稍早曾論述者,由於施加器管 292之前述實施例所能忍受之最高壓力大約為2 torr,故 乃利用間距來使清潔均勻性最佳化:而在使用施加器管 292之諸不同實施例的其他實施例中,壓力和間距二者均 可用於清潔均勻性之最佳化控制上》 在一道例示性的腔室清潔程序中,腔室15在一些較 佳實施例中于整個清潔程序期間被維持於落在介於大約 300至650°C之間且較佳地介於大約550至60ITC之間的範 經濟部中央標隼局員工消費合作社印製 I- ^—^1 —^ϋ ^^^1 1^1 I -I —L n^i m (請先閲讀背面之注意事項再填寫本I ) 圍内之一溫度;最佳地,腔室15係被維持於和腔室15内 孰行某特定程序時相同的溫度;舉例言之,腔室清潔程序 可在如同上文論述之一组實例用來在600eC下沈積PSG薄 膜的該等腔室中,於600eC下進行。在節流閥打開而閘閥 280關閉之狀況下’加熱器25被定置於距氣體散佈板20 大約100至250密爾處,且較佳地在距離大約150密爾處, 使得氣體散佈板20被加熱起來:如此把氣體散佈板2〇加 熱起來可讓清潔作用更快發生;而此加熱步騍可持續進行 介於大約3至1 〇秒之間且較佳地大約5秒鐘的時間。 接著’在執行清潔動作之前’使壓力與清潔氣體流量 最佳地穩定化;于此清潔前穩定化步驟期間,腔室15最 佳地應被維持於亦用於清潔步驟期間的壓力準位下,此時 之氟物種不會被快速去除且不會發生重新化合e在此清潔 -115- 本紙張尺度適用中國國家標準(CNS ) A4規格(2〗0乂 297公釐) 經濟部中央標準局員工消費合作社印製 «2779 A7 B7 五、發明説明(113) 前穩定化步驟期間,把閘閥280打開,讓腔室15處於介 衿大約1至2 torr之間且較佳地大約為1.5 torr的一個 壓力下。若腔室15維持在較大約1至2 torr為低之壓力 下’會發生氟物種遭怏速去除之效應,導致腔室清潔效果 不良;而若腔室壓力較大約1至2 ton:為高,則可能由 於碰撞損失而發生重新化合現象,以及造成過熱並令施加 器管292受損。加熱器25被移離氣體散佈板20到達介於 大約450至700密爾之間且較佳地大約為600密爾之距離 處;清潔氣體NF3亦以介於大約600至1100 sccra之間且 較佳地大約為950 seem的一個速率被引入施加器管292 ; 此一清潔前穩定化步驟在微波功率于腔室清潔步驟期間被 施加以前持績了介於大約2至6秒且較佳地大約3秒的一 段時間。 在腔室清潔步驟期間,腔室15中之該等清潔前穩定 化狀況條件在落于介於大約1至2 torr之間之範圍内且 較佳地在大約2 torr的一個壓力下被維持著。當清潔程 序執行時,介於大約500至2500瓦之間的微波功率被施 加至施加器管292;較佳地,磁控管711提供大約2. 45 GHz 之微波,且針對大約950 seem之較佳清潔氣體流量以大 約2100瓦用CW模式來操作:如同上文所述,此微波係自 磁控管711傳送經過波導與最佳化系統,再透過窗進入施 加器管292 ; UV燈731點燃施加器管292中之反應氣體而 形成電漿,且離子化作用係由在窗進入施加器管292之微 波能量予以維持。 -116- 本紙張尺度適用中國國家標準'(CNS ) A4規格(2丨0 X 297公釐) (請先聞讀背面之注意事項再填寫本頁〕 -5 it 經濟部中央橾準局員工消費合作社印掣 A7 ______B7 五、發明説明(114) 于腔室清潔步驟期間,來自在被施加微波之施加器管 292中形成之電漿的氟根基困,接著便可流經開啟的閘閥 280’並進入腔室15來把諸表面上不想要有的氧化物殘餘 物清除掉》由於電漿係在腔室15上游處形成,故只有電 漿中之反應性氟根基團可到達並去除腔室15之殘餘物累 積部分處;是以’腔室15之各個部分上的沈積程序殘餘 物可被清除掉,同時並使電漿對腔室15造成之直接傷害 減至最少。此腔室清潔動作持續了介於大约3〇秒到大約 10分鐘之間,且較佳地介於大約至2〇〇秒鐘之間,而 最佳的是大約160秒的時間;當然,腔室清潔時間可依腔 室15内之氧化物殘餘物厚度與型態而變;如前所述,應 知’流量值亦可視其他實施例中所用之腔室大小與型式以 及施加器管尺寸與材料而有不同。上述清潔程序亦減少了 在阻隔器和氣體散佈板二者後方的背側不想要有的殘餘物 沈積量。 在腔室清潔動作之後,可執行另外的清潔後步驟β于 •此等清潔後步辞期間,腔室15較佳地被維持於上文針對 以上沈積及清潔程序所述之溫度。在該腔室清潔步驟結東 時’清潔氣體流被停,且微波功率亦不再施加;腔室15 會被抽泵以除去大部分的F殘餘原子。在此清潔後柚栗步 驟期間’加熱器25被移至距氣體散佈板20介於大約1500 至2200密爾之間且較佳地大約2000密爾之位置處,同時 把節流閥打開並讓閘閥280保持開啟;此抽杲步称持續了 介於大約5至20秒之間且較佳地大約1〇秒鐘的一段時 -117- 本“張尺度適用中國國家標準(CNS ) Α4規格(210X 297公f ) ~~~ — ^^1 - — I- - - - :| 1*fi- — i i^i ^^1 I i - (請先閱讀背面之注意事項再填寫本頁) 412779 __B7_______ 五、發明説明(U5) 間,視自腔室15排出之清潔氣體反應劑和殘餘物之數量 而定。前述之清潔終點檢測系統亦可在此被用來協助判定 該清潔後抽泵動作直到大致上所有沈積程序殘餘物俱已自 腔室15中去除為止的停止時間》 于該以氟為主之腔室清潔程序之後,於諸腔室壁表面 上靠近進行下一沈積程序時欲放置晶圓之位置處的部分 上,可能會吸收一些活性氟物種;在下一沈積程序中,此 等氟便可能相互作用或被結合到所沈積之薄膜内,而於該 表面上造成薄膜敏感性:此種薄膜敏感性本身係作為一個 粗糙表面地顯現出來,而此種粗糙表面對於高集積度元件 所要求之公差而言可能構成問題,會導致元件故障。本發 明因而乃進一步提供了以下述多種方法自諸腔室壁表面上 吸除任何被吸收之氟的能力。 在該清潔後抽泵步驟之後,可藉由化學反應或是透過 氧化矽(Si02)沈積把F (氟)陷捕於諸腔室壁上,而執行 一道調質(seasoning)動作來使所有自由F物種重新化 合。此等清潔後抽泵及調質步驟乃係執行來降低後續沈積 薄膜内之粒子組織與F二者之含量。 經濟部中央標準局貝工消費合作社印製 >^1— ^^^1 ^^^1 f - - - d ^^^1 I D— ^^^1 In - i ,--iJ (請先閲讀背面之注意事項再填寫本頁) 最佳地,在該清潔後抽泵步驟和該調質步驟之間,另 有一道穩定化步驟用來使腔室壓力及氣體流查穩定化,及 把加熱器25移至供進行此調質步驟的位置處。于此穩定 化步驟中,閘閥280被關閉且腔室15被維持在介於大约 20至70 torr之間且較佳地為50 torr的一個麼力下; 加熱器25亦被移至距氣體散佈板20介於大約300至550 -118- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) A7 412779 _____B7 五、發明説明(116) 密爾之間且較佳地大約為500密爾之一位置處。在一組特 定實施例中’目前所描述之此調質步驟利用臭氧與TE〇s 並以氦作為承載氣體,來對腔室15調質以使之適合進行 後續之氧化矽沈積;當然,在該等調質及調質前穩定化步 驟中亦可採用其他氣體,端視所希望之氧化矽沈積型態而 定。於該調質前穩定化步驟中,以介於大約200至400 mgm 之間且較佳地大約為300 mgm之一個流量率供應的液態 TEOS被汽化’並由以介於大约4〇〇〇至8000 seem之間且 較佳地大約為6000 seem之一個流率流動的一種氦承載氣 體予以載送入腔室15内;諸氣體流可經由沈積用之一般 入口引入腔室15内,或是經由施加器管292在未施加微 波的情況下引入腔室15。在調質步驟開始引入氧源來開 始沈積調質氧化物於腔室15上之前,此穩定化動作步驟 持續進行介於大約5至25秒且較佳地大約為15秒的一段 時間。于此一加熱調質步驟中,臭氧係以供所用特定沈積 程序用之流量率(例如供上文所述在550eC下進行之試驗 性USG沈積程序用的大約5000 seem,或是供上文所述在 600eC下進行之試驗性PSG沈積程序用的大約4000 seem) 在介於大約10至20秒之間且較佳地大約為15秒之一段 時間内被持續引入,以將一層薄的氧化矽(例如具有大約 12.5 wt %之氧的該試驗性USG沈積程序,或是具有大約 8 wt %之氧的該試驗性PSG沈積程序)沈積於腔室1 5中 之諸表面上《在該調質步驟期間内,臭氧流量最佳地係和 沈積程序一致’以使臭氧流量及濃度上之任何波動減至最 119- 本紙張欠度適用中國國家標準(CNS ) A4規格(210X297公鐘) ^1. 裝 I I I 訂— I I I (請先聞讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 A7 A7 經濟部中央標隼局負工消費合作.社印製 五、發明説明(m) 小β藉此,將腔室15調質之動作即能把可能已被吸附在 腔室15諸表面上的氟原子陷捕住。 在上述的加熱調質步驊之後,則可執行最终的穩定化 與抽泵步驟。最佳地,此等最终步驟亦係在上述之沈積溫 度下進行’在最终的穩定化步驟中,節流閥會被定期開啟 以讓腔室壓力調整到大氣壓’而閘閥280則保持關閉;加 熱器25被移至距氣體散佈板20介於大約800至1000密 爾之間且較佳地大約為900密爾之一位置處,TEOS停止 流動’而氦與臭氧則保持與調質步驟相同之流量:在該最 终的抽泵步驟開始之前,該最终的穩定化步驟被持績執行 經過介於大約5至20秒之間且較佳地介於大約為1〇秒鐘 的一段時間周期。而在該最终的抽泵步擇中,閘閥280保 持關閉,且加熱器25未被移置,所有氣髋流均停止,而 節流閥打開:在另一個晶圓被置入腔室15内俾準備進行 下一階段之沈積處理、加熱、或晶圓清潔步驟之前,此最 终的抽泵步驟持續了介於大約5至20秒之間且較佳地大 約為10秒鐘之一段時間。應知,此等最终的穩定化及抽 栗步驟亦可配合所選定之特定調質步驟或替換之吸除步琢 (以下會論述其實例),而被修改及類似地被使用β 在可供替代上述諸實施例的一些替換性實施例中,上 述之清潔前穩定化步驟可進一步包括有把微波功率從一個 低微波功率依斜坡率調高至最终清潔操作微波功率準位的 動作’以容許清潔前把壓力穩定化及讓微波電漿生成。在 一組較佳實施例中,上述之清潔前穩定化步驟可由以不之 -120- 本紙張尺度朝〖關家辟(CNS ) Α4規格(21()χ 297公楚) ' -S - ---11 - I -次 nn n^i «^^1 l.eJ (請先聞讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作杜印製 412779 A7 B7 五、發明説明(118) 清潔前穩定化步驟取代* 在一方面容許壓力及微波功率同時穩定化的同時,依 斜坡率調高微波功率以產生一個N2(或其他惰性氣體, 視所用清潔氣體而定)電漿之該步驟,依據一組特定實施 例,在生成NF3電漿時對施加器管292提供了一種較低的 壓力衝擊輪廓·自微波電源供應器11〇供至磁控管711之 微波功率準位,可在處理器50之控制下受調整;例如, 微波功率在穩定化步騍期間可從零依斜坡率調高至大約 300瓦的一個準位(或是介於〇與該最终清潔操作功率準 位之間的某一其他功率準位),且接著在清潔步驟期間被 調至2100瓦,以提供一種較漸進的最佳穩定化程序β特 別地,在加熱步驟之後,加熱器25被移至距氣體散佈板 20大約600密爾之一位置處,且Ν2以介於大約1〇〇至400 seem之間且較佳地大約300 sccra之一流量率被引入施加 器管292,而節流閥雉持打開狀態且閘閥280維持關閉狀 態;在大約5秒之後,節流閥被關上且閘閥280被打開, 以在腔室15被調至清潔處理壓力(在一組特定實施例中 為大約1.5 torr)時容許壓力在接下來的5秒鐘内進行 穩定化;隨後,介於大約200至400瓦之間且較佳地大約 為300瓦的一個中間準位微波功率被施加到該施加器管 292 ’以在該段接下來的5秒鐘期間形成一個N2電漿;於 此段5秒鐘時間内,NF3亦於微波功率準位依斜坡率被調 高至清潔準位的同時被引入到施加器管292内;特別地, NFjj可於微波功率準位依斜坡率被調高到大約21〇〇瓦之該 -121- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐]r {請先閲讀背面之注項再填寫本頁) .裝- 訂 經濟部中央標準局員工消費合作社印^ A7 二_________B7 五、發明説明(⑴) 最終微波功率清潔操作準位的同時,用介於大約600至 1100 seem之間且較佳地大約為950 seem的一個流量率 而被引入施加器管292 ;然後,N2流量停止,且電漿改成 只由NF3生成’以容許NF3電漿生成作用在大約5秒鐘内 穩定化;從此時點開始,該清潔操作即可如同上文所述地 進行。在上述替換性實施例中,壓力與電漿生成作用二者 均在用NF3清潔電漿執行清潔步驟以前即被穩定化。此一 替換性的清潔前壓力/電漿穩定化動作可持續較佳地介於 大約20至30秒的一段總時間周期,而每個功率準位依斜 坡率調高動作係被分派予從該段時間周期選出的一個遍當 時間切片。於是,因直接單一步驟一次施加高額微波功率 (例如從0至2100瓦)於施加器管292上而造成的壓力 衝擊’便因此得以降至最低,致使施加器管292能有大為 提高的壽命。 儘管上述實施例所提及者係為一種兩階段功率準位依 斜坡率調高方式,但其他實施例則可用多階段依斜坡率調 高之方式(例如,從〇到300到1200再到2100瓦)。再 者’在上述清潔步驟與清潔後抽泵步驟之間則可依意願而 定地執行一種微波功率依斜坡率調降步驟;而對於其他實 施例’亦可執行兩階段或多階段依斜坡率調降步称;當然, 依斜坡率調整動作可為連績動作、含_系列分立步辟、或 前二者之組合》對於具有一組RF電漿系統的一些CVD系 統而言,依據一些進一步的實施例,可針對用到在原地非 中斷式電漿腔室清潔程序之_些清潔前穩定化步碑執行依 -122- 本紙張尺度適用標準(CNS ) A4規格(2!Gx 297公楚) ' ----- I In n 1 - I - -I I I- - - --- —^1 (讀先閲讀背面之注意事項再填寫本頁) 412779 A7 ---------B7 五、發明説明(120) ^ ---— 斜坡率調高及/或調降奸功率準位之動作。而雖然上文 已針對穩定化程序之各個部分討論其特定之執行時間,此 等特定時間仍可改變,且蘇玄几总十 ^ (諳先間讀背面之注意事項再填寫本頁) 稞定化程序之諸部分可被結合起 來或是取消而缩短所花時間β 作為上述加熱式腔室調質動作的-種替換方式,可採 用一種使用TE0S與〇2的腔室調質程序;其中經汽化之 TE0S可經由入口 43與氣體混合盒m或是利用蓋中之旁 通通道,引入腔室15内,〇2則被送經施加器管292俾受 來自微波電漿系統55之磁控管711的微波施予輻射(例 如介於大約500至2100瓦之間且較佳地大約為21〇〇瓦) 而產生原子氧;〇2可用介於大約5〇至2〇〇 sccm之間且較 佳地大約為1〇〇 sccm的_個流量率被引入施加器管292, 經濟部中央標準局員工消費合作社印製 同時閘閥280打開,而腔室15維持在介於大約工至2 t〇rr 之間且較佳地大約為1.5 torr的一個壓力 '以及介於大 約300至6501之間且較佳地介於大約55()至6〇〇ec之間 的一個溫度下。原子氧能夠和腔室15内之TE0S反應,而 提供一種微波增強腔室調質作用。或者,對於具有能提供 一個在原地非中斷式電漿之一組RF電漿系統的一些實施 例而言’經汽化之TE0S可被引入腔室15内能由該RF電 衆系統生成一個電漿之處,而原子氧即可和該電漿反應以 進行一種RF增強腔室調質作用a 作為能提供自諸腔室表面吸除氟原子之作用的腔室調 質程序的另一種替換作法,可把SiH4以介於大約50至200 sccm之間且較佳地大約為1 〇〇 sccm之一個流量率送入腔 -123- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 經濟部中央標準局員工消f合作社印製 A7 ---:_E__ 五、發明説明(m) 室15中以沖洗該腔室15;此等矽烷可在閘閥280關閉之 情形下經管線85自其他供應源90 (第1C圈)之一到氣 體混合系統93而流入腔室15、在閘閥280關閉之情形下 經其他沖洗管線流到腔室15、或是在有或未施加微波且 閑閥280打開之情形下經由施加器管292流到腔室15。 在此矽烷沖洗過程中,腔室15係維持在大約1至5 torr 間之壓力以及介於大約300至650t之間且較佳地介於大 約550至600eC之間的溫度下,且閘閥280關閉;此一沖 洗腔室15動作吸收掉F原子並導致形成SiF4氣體,此等 氣體接著即可透過排氣系統自腔室15予以抽泵出去;隨 後,上文已詳細描述過的該终點檢測系統便能讓系統判定 腔室清潔程序何時完全完成。 作為如同上述以矽烷對腔室15作調質處理或沖洗腔 室15之程序的又一種替換方式,吸除作用可藉著提供活 性氩到腔室15内來達成:其中,氫(h2或其他氫源)被 用作為“清潔氣體”供應源,其以介於大約50至200 seem 之間且較佳地大約為100 seem之一個流量率供應,並透 過切換閥105經由入口 57(第1C圖)送入施加器管292: 磁控管711以CW模式用介於大約500至2500瓦之間且較 佳地大約為1000瓦之一個功率準位運作,提供微波能量 到施加器管292,而在其内生成一個電漿;來自施加器管 292内之電漿的活性氩接著便流經包封總成20〇内之具内 槻通道並進入導管47,以供在腔室15内使用》當然,對 於亦包括有一些RF電漿系統在内的諸系統而言,氫可被 -124- 本紙張尺度it财家辟"ΰϋ4雖U1G X所公釐): ---------.衣------訂 (請先閲讀背面之注意事項再填寫本頁) 412779 經濟部中央標準局負工消费合作社印製 A7 B7 五、發明説明(122) 逕引入腔室15内,並把RF能量供至腔室15内而提供活 性氩。在此吸除作用過程中,腔室15係維持在介於大約 1至2 torr間之一個壓力、以及最適合地介於大約300 至650°C之間且較佳地介於大約550至600°C之間的一個 沈積溫度下,而閘閥280保持開啟°活性氫會和被吸附之 氟反應生成氟化氩(HF)蒸汽,而隨後可被抽泵離開腔室 15;此處亦可採用依與上述终點檢測系統相同之原理運作 但用於檢測因被HF吸收而引起之光強度變化的一種終點 檢測系統。 在以矽烷或用活性氩對腔室15作調質處理或沖洗的 方式之外的再一種替換手法,是提供氨到腔室15内。其 中’氨(NH3)被用作為氣體面板80中之“清潔氣體"供 應源,其以介於大約50至200 seem之間且較佳地大約為 100 seem之一個流量率供應*並透過切換閥1〇5經由入 口 57 (第1C圖)送入施加器管292 ;磁控管711以CW模 式用介於大約500至2500瓦之間且較佳地大約為1〇〇〇瓦 之一個功率準位運作,提供微波能量到施加器管292,而 在其内生成一個電漿;來自施加器管292内之電漿的氨接 著便流經包封總成200内之具内襯通道並進入導管47, 以供在腔室15内使用。在此吸除作用過程中,腔室15係 維持在介於大約1至2 torr間之一個壓力、以及最適合 地介於大約300至65(TC之間且較佳地介於大約550至600 °C之間的一個沈積溫度下,而閘閥280保持開啟。氨會和 被吸附之氟反應生成氟化銨化合物與HF蒸汽,而隨後可 -125- 本紙張尺度適用中國國家標準(CNS ) Λ4現格(210X297公釐) . m ^^^^1 ^ 1 律-5 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標隼局員工消费合作社印袋 A7 ____B7_ 五、發明説明(123) 被抽泵離開腔室15;當然,對於亦包括有一些RF電漿系 統在内的諸系統而言,氨可被逕引入腔室15内,並把RF 能量供至腔室15内而提供氟化銨化合物與HF。此處亦可 採用依與上述終點檢測系統相同之原理運作但用於檢測因 被氟化銨和HF吸收而引起之光強度變化的一種終點檢測 系統。 雖然上文已對本實施例舉以上清潔程序處理條件為例 加以說明,但其亦可採用其他條件。上文所作敘述中,纯 粹只當作一例地論及NF3氣體,其係如同以下所作各種沈 積程序介紹一樣地,用在可向美商·應用材料股份有限公 司購得之針對200毫米晶圓配設且具有6公升之總容積的 Giga Fi 11TM Centura系列腔室系統中。不過應知,其他 含氟或含氣之氣體,諸如NF3與氬、NF3與N2、NF3與02、 NF3舆由微波電漿系統55產生之原子氧、稀薄的F2、CF4、 C3F8、SF6、C2F6、或Cl2等等,同樣亦可採用;除了以上 所述者以外尚有其他氣體亦可供此吸除程序利用,而且, 諸調質前穩定化步驟將視由上述熱調質作業之各種替換方 法中所選用之特定調質/吸除處理程序之型態而定地改 變。以上針對清潔、吸除、及調質作業所作之敘述,均提 及可在一些較佳溫度(例如大約550至600。〇下進行, 但應知,最佳地’腔室15係維持在當其内正執行某特定 處理程序時所處的相同溫度;而當然,于其他實施例中自 亦可採用不同溫度;再者’某些實施例可以把上述諸清潔、 吸除、調質步驟中之某些部分加以結合、增加、或消除。 -126- ^紙浪尺度财關家辟(CNS ) A4規格(210X297公釐) — '~~ HI 1 · - -1 111 - I - . - - -1 I H -ί— (請先閲讀背面之注意事項再填寫本頁) 412779 A7 B7 i、發明説明(124) m·測試結果與測量 a ·超淺換雜接面 為示範依據本發明諸實施例構成之裝置與方法的操 作,乃進行實驗來測量利用當作實例被製造成無USG蓋帽 層之一些BSG薄膜所形成、以及利用附有USG蓋帽層之一 些BSG薄膜所形成的一些超淺摻雜接面的薄片電阻率和接 面深度;未附蓋帽層之諸BSG薄膜各約為150埃厚,而附 有蓋帽層之諸BSG薄膜則各約有150埃厚另加上大約200 埃厚之USG蓋帽層:附有蓋帽層和未附蓋帽層之二種BSG 薄膜均係沈積在一種低電阻率N型矽晶圓上;接著量測利 用未附蓋帽層與附有蓋帽層之BSG薄膜所形成的諸超淺接 面之薄片電阻率和接面深度。對於如同上文所詳細描述地 在本CVD裝置10之腔室15中沈積成的諸薄膜,閘閥280 在依據一组特定實施例進行之諸薄膜沈積步称期間係被關 閉起來。此等實驗中所用之實際處理條件如下:特別地, 諸BSG薄膜係在大約500eC之一個溫度以及大約600 torr 之一個壓力下沈積出來,納置台與歧管間之間距大約為 300密爾;此等實驗中之氣體流包括以大約200 mgm之一 個流量率引入TEB到腔室内、以大約500 ingm之一個流量 率引入TE0S、以大約5000 seem之一個流量率引入氧(〇3)、 以及以大約8000 seem之一個流量率引入氦承載氣體。 上述處理條件導致BSG薄膜以大約700埃/分鐘之速 率被沈積出來;相對於大約15秒鐘的一段處理時間,沈 積成之BSG薄膜具有大約150埃之厚度。 -127- 本紙張尺度適用杉國國家標準(CNS ) A4規格(210x297公釐) ---------装— (請先閲讀背面之注意事項再填寫本百)
•1T 經濟部中央標準局員工消费合作社印製 «5779 A7 _____B7 五、發明説明(125) ~~ 在有用到USG蓋帽層之諸實驗中,USG蓋帽層係緊接 在整塊BSG層沈積出來之後立刻以一道在原地非中斷式程 序予以形成。諸較佳實施例係採用屬一種封閉系統的一套 腔室,而使可在沈積出USG蓋帽層薄膜之前能和BSG薄膜 反應的水份降至最少。納置台被加熱到大約500°C的一個 溫度’腔室15内維持於大約600 torr的一個壓力,且納 置台被定置於距氣體散佈歧管大約300密爾之處。TE0S、 臭氧、與氦係分別以大約500 mgm、5000、和5000 sccin 之流量率被引入到沈積腔室内。上述處理條件導致一層 USG薄膜以大約700埃/分鐘之速率被沈積出來;相對於 大約15秒鐘的一段處理時間’沈積成之USG薄膜具有大 約200埃之厚度。 摻雜劑自未附蓋帽層及有附蓋帽層之BSG薄膜擴散之 作用,係藉著利用回火或一道快速熱程序把該薄膜加熱而 完成。例如,在一種氮氣(N2)環境中持續大約60秒的一 道快速熱程序,如可視溫度、時間、與摻雜劑濃度而定地, 產生大約500至1000埃的一個接面深度》 經濟部中央標準局員工消費合作杜印聚 1 H - ! I _ — - I ---I -- I I n) (請先閱讀背面之:項再填寫本頁) 在採用BSG薄膜之該等實驗中所用的諸參數,並不應 侷限於本文所述之申請專利範圍所列者而已;大凡熟於此 技者亦可採用其他化學物質、腔室參數、摻雜劑、及條件, 來產生BSG薄膜或是諸如PSG、AsSG、及他類材料之其他 薄膜。 另使用厚度大約為200埃且具有大約6 wt %之硼的一 些未附蓋帽層之BSG薄膜進行實驗;此等實驗之结果顯示 -128- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央栋準局負工消费合作社印製 «2779 A7 B7 五、發明説明(126) 了使用BSG薄膜作為後續擴散步驟之摻雜劑源形成超淺接 面的能力- 而在硼濃度超過6 wt %之硼的程度時,在低於大約500 °C之溫度下沈積而成的未附蓋帽層之BSG薄膜會傾向於變 得不穩定,且在數小時内結晶化。如同前文所述,結晶化 以後會使可供擴散入矽基體之硼原子數目減少》于大於大 約550°C之溫度下沈積BSG的結果,據信可提供含有高於 6 wt %之硼濃度的穩定未附蓋帽層之BSG薄膜。對於需要 硼濃度大於6 wt %之硼的一些應用場合而言,諸BSG薄 膜可最適地覆以一層USG薄膜,以防止發生結晶化。而由 於會防止脫氣(outgassing),故USG蓋帽層亦提供控制摻 雜劑原子擴散進入梦基體之方向的能力。是以,此種USG 蓋帽廣便可防止更多蝴原子損失,故乃得有更多蝴原子可 供擴散且可更容易地朝向矽基體擴散。 為展現針對某些應用場合在摻雜介電層上運用_層蓋 帽層之作法的進一步優點,以下為特地再利用厚約15〇埃 且具有6.131 wt %之硼的一些BSG薄膜並在此等BSG薄 膜上沈積一層200埃厚之USG蓋帽層而進行之一些進一步 實驗的結果》此等進一步實驗說明了使用附有蓋帽層之 BSG薄膜作為後續擴散步驟之摻雜劑源形成超淺接面的能 力。接著量測利用未附蓋帽層與附有蓋帽層之BS(J薄膜所 形成的諸超淺接面之薄片電阻率和接面深度。此等實驗顯 示出BSG薄膜接受在大約l〇50t下之一道1分鐘快速熱 處理程序’即可提供控制單獨以BSG薄膜或以附有USG蓋 -129- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ~~~:"―---— (請先閲讀背面之注意事項再填寫本頁) 裝· 訂 經濟部中央標準局負工消費合作社印製 A7 __;_._B7_ 五、發明説明(127 ) 帽層之BSG薄膜所形成之超淺接面之薄片電阻率與接面深 度的能力。 第22A至22C圖提供了關於USG蓋帽層對被擴敏區域 之接面深度與摻雜劑均勻性之影響效應的資訊。第22A與 22C囷所需之測量係利用如同熟於此技人士所熟知地適於 開展之電阻分佈輪廓的固態量測設備來進行;載子濃度在 囷中被顯示為深度的函數,一個“p”代表在從矽基艘表 面量起之一個深度處量得的硼濃度,而一個“N”代表在 從梦基體表面量起之一個深度處量得的N型矽基艘之濃 度;接面深度係定義為摻雜劑濃度等於基體濃度的位置。 在目前之諸實驗中,所用矽基體具有大約1.6xl〇】4個載 子/cm3的一個基體濃度。第22A與22C圓中所示擴散區 之薄片電阻率係如同熟於此技者所熟知地利用四點探針 (4ρρ)技術來測量;第22B圊繪示出對第22A囷之晶圓用 更為精巧之二次離子質譜測定(SIMS)法量得之總雜質分佈 輪廓’該種質譜測定法係可用於如同熟於此技者所熟知地 對高濃度或淺接面擴散作用提供精密分佈輪廓測量結果 者。 特別地,第22A圖係為顯示出利用附有一層[JSG蓋帽 層之一廣6.131 wt %之BSG房在一道加熱;步称之後所形 成的一個超淺接面之摻雜劑分佈輪廓的一份囷表;該BSG 薄膜層約有150埃厚,而沈積於此BSG薄膜頂上之該USG 蓋帽層則約有200埃厚;該加熱步驟係由一道快速熱程序 於大約1050°C下持绩執行大約60秒鐘;該BSG與USG層 本紙張尺度適用中國國家標準(CMS ) Μ規格(210X297公釐〉 ---------裝------訂 (請先閲讀背面之注意事項再填寫本育) A7 B7 經濟部中央標隼局員工消費合作钍印裝 五、發明説明( 隨後會用姓刻方式而被剝除。如同由第22A圖所見者,其 所得之接面具有在矽基體内大約〇〇6微米的一個深度, 且摻雜劑分佈輪廓顯得相當均勻,最大硼濃度為大約6χ 1019個載子/ cm3;其所得接面之薄片電阻率經測得為大 約685 Ω / cm2 ’ 4pp薄片電阻經測知為大約222 Q / cm2, 而P型層内投配離子總和經測知為16χΐ〇ΐ4個載子/ cm2。 第22B ®繪示出由SIMS對第22A圖中所示超淺接面 所量得之摻雜劑深度分佈輪廓。在從矽基體表面到距該表 面大約100埃之深度處的區域内,蝴濃度落在介於大約2 X1018個載子/cm3至大約ixl02i個載子/cm3之範圍内; 在從距梦基體表面大約1〇〇埃之深度到距該表面大約 埃之深度處的區域内,硼濃度落在介於大約IX "2!個載 子/cm3至大約3x1021個載子/cm3之範圍内;而在距矽 基體表面大約300埃之深度處以下,硼、矽、與氧濃度迅 速降低’表示已達基體之主體β第22B圖所示之陡峭淺接 面顧示了依據本發明之一組實施例可達成的摻雜剤結合效 果。 第22C圖係為顯示出利用附有一層USG蓋帽層之一層 6. 131 wt 1¾之BSG層在未經加熱步驟之情形下所形成的一 個超淺接面之摻雜劑分佈輪廓的一份圈表;該BSG層約有 150埃厚,而沈積於此BSG層頂上之該USG蓋帽層則約有 200埃厚;其並未接受執行加熱步驟;該bsg與USG層用 一種蝕刻技術予以剝除。如同由第22C圖所見者,其顯示 -131 - ^^1 - - ^^^1 - - . Έί^— ir - ^eJ (請先閲讀背面之注項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格U10 X 297公釐) 經濟部中央標準局員工消f合作社印製 A7 B7 五、發明説明(Π9) 出縱使未經加熱步驟處理’仍形成具有大約0.025微米的 '-個深度之接面;明顯地,在甚至未經加熱驅迫進入步爾 處理之情形下,由於BSG層内亦有高摻雜劑濃度的硼會擴 散入矽基體内,故乃得以形成該接面;其最大硼濃度為大 約7xl0n個載子/cm3 :其所得接面之薄片電阻率經測得 為大約55 Ω / cm2,而P型層内投配離子總和(Σ p )經測 知為4. 9x 1011個載子/cm2。 第23A圖係為顯示出利用附有一層USG蓋帽層之一層 8. 084 wt %之BSG層以一道加熱步驟所形成的接面之摻雜 劑分佈輪廓的一份圖表;第23B圖係為供比較利用附有一 層USG蓋帽層之一層6. 131 wt %之BSG層以及利用附有 一層USG蓋帽層之一層8.084 wt %之BSG層以相同加熱 步驟所形成的接面之摻雜劑分佈輪廓的一份圓表,以說明 摻雜劑濃度對接面深度之影響效應;第23C與23D圖分別 顯示出該加熱步驟之溫度對接面深度和對薄片電阻率之影 蜜效應;第23E與23F圖分別顯示出該加熱步驟之時間對 接面深度和對薄片電阻率之影響效應;第23A至23F圊所 示之開展的電阻分佈輪廓與薄片電阻率測量程序,係利用 固態設備與四點探針量測技術執行。 第23A圖係為顯示出利用附有一層USG蓋帽層之一層 8.084 wt iSiiBSG層以一道加熱步驟所形成的接面之摻雜 剤分佈輪廓的一份圖表;該BSG薄膜約有150埃厚,而沈 積於此BSG薄膜頂上之該USG蓋帽層則約有200埃厚;該 加熱步驟係由一道快速熱程序於大約1000°C下持續執行 -132- 本紙張尺度適用中國國家標準(CMS ) A4規格(210X2^7公釐) ^^^1 ^^^1 n ί—^t n^t t ^^^1 am n>— 1 — f^i—,, (請先閲讀背面之注意事項再填寫本頁) A7 412779 _______B7_ 五、發明説明(130) I —^ϋ In . In 1^1 ΎΙ« ,V5 (請先閲讀背面之注意事項再填寫本頁) 大約60秒鐘;該BSG與USG薄膜係用蝕刻技術予以剝除。 如同由第23A圖所見者’其可形成具有在大約〇. 12微米 之一個深度的一個超淺接面,且摻雜劑分佈均勻性良好, 最大硼濃度為大約1Χΐ〇3β個載子/cm3;其所得接面之薄 片電阻率經測得為大約145 Ω / cm2,投配離子總和(Σρ ) 為7· 9x 1014個載子/Cn2,而4ρρ薄片電阻經測知為大約 96Ω/cm2。 第23B圖顯示出利用附有USG蓋帽層之多種不同含硼 量 wt 56 (特別是 6. 131 wt %和 8. 084 wt %)之 BSG 層以 一道加熱步驟所形成的多種接面之摻雜劑分佈輪廓;該等 BSG薄膜各約有150埃厚,而沈積於此等BSG薄膜頂上之 該等USG蓋帽層則各約有200埃厚:該加熱步驟係由一道 快速熱程序於大約l〇〇(TC下持續執行大約60秒鐘;如同 由第23B囷所見者’對於8.084 wt % BSG薄膜所得之接 面深度幾乎多達對於6.131 wt % BSG薄膜所得之接面深 度的兩倍。 經濟部中夬標隼局—工消費合作社印掣 第23C與23D圓係為針對附有USG蓋帽層之一些6.131 wt % BSG薄膜分別顯示出該加熱步驟之溫度對摻雜劑分 佈輪廓和薄片電阻率之影饗效應的圖表;該等BSG薄膜各 約有150埃厚,而沈積於此等BSG薄膜頂上之該等USG蓋 帽層則各約有200埃厚;諸加熱步驟係由一道快速熱程序 於大約90ITC、950°C、975eC、及lOOOt等溫度下持續執 行大約60秒鐘;如同第23C圓所示者,在經過以1〇〇〇 進行之加熱步驟後所形成的接面大約為〇,1微米,相對 -133- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) A7 _______B7 五、發明説明(131) 地,在經過以略低之溫度975t進行之加熱步驟後所形成 的接面則大約僅為0.06微米。而如同由第23D圖可見者, 該6.131 wt % BSG薄膜之薄片電阻率針對1〇〇〇艺之加熱 步驟為大約180Ω/αη2,而針對975〇c之加熱步驟則為大 約600Ω/era,故可知較高溫度之加熱步称(超過 會為所形成之淺接面產生較深之擴散深度。 第23E與23F圖係為針對附有USG蓋帽層之6. 131 wt % 經濟部中央標率局負工消費合作社印製 I I—· -- -- --------I I 策— - n D----、1τ (請先聞讀背面之注意事項再填寫本頁) BSG薄膜分別顯示出該加熱步驟之時間對摻雜劑分佈輪廓 和薄片電阻率之影響效應的圖表;該等BSG薄膜各約有150 埃厚,而沈積於此等BSG薄膜頂上之該等USG蓋帽層則各 約有200埃厚;其加熱步驟係由一道快速熱程序於大約 1000°C之溫度下持續執行大約40及60秒鐘;如同第23E 圈所示者,在經過持績大約40秒鐘之加熱步驟後所形成 的接面大約為0 06微米,相對地,在經過持續60秒鐘之 加熱步驟後所形成的接面則大約為0· 1微米。該等6. 131 fft % BSG薄膜之薄片電阻率在經過該40秒鐘加熱步驟後 為大約230 Ω/αη2,而在經過該60秒鐘加熱步驛後則為 大約150 Ω/cm2;故可看出加熱步罅之時間長短可決定所 形成超淺接面的擴散深度。 以上用於形成超淺摻雜接面之BSG的各組實驗,係僅 提出作為供舉例說明本發明之某些概念層面的部分實例而 已,不應視為有限制本發明之範固之意。
Β ·供PMD層用之PSG 為示範依據本發明諸實施例構成之裝置與方法的操 -134- 本紙張尺度適用中國國家標準(CNS ) Α4規格(21〇'X 297公廣) 經濟部中央標隼局員工消費合作社印製 «12779 ^ ' --- D / 五、發明説明(凹) 作’乃進行實驗來沈積供例如作為一層PMD層的一層PSG 薄膜》在沈積作為PMD層之該PSG薄膜前,晶圓通常均已 接受過多道處理步驟而形成例如閘極電極、氧化物側壁、 隔離槽溝等等于此等實驗中,諸卩沉薄膜係在由美商. 應用材料股份有限公司所製造之電阻性加熱式Giga FU1tb Centura系列腔室(屬於具有大約6公升之總容積且針對 200毫米晶圓配設之一種封閉式系統)中沈積而成β 于諸實驗中,有一些沈積前步驟被執行來使腔室15 達到期望之沈積壓力,並讓氣體/液體流量在把充作PMD 層之該PSG薄膜沈積於晶圓上之前穩定化。當然,應知, 視不同沈積製法秘缺所最適合者而定地,諸沈積前步琢亦 可以與下文所述者(其只是一組例示性的特定實施例)不 同9此等沈積前步驟減少了在腔室壁上發生的不必要沈積 作用’且有助於為所沈積薄膜產生均勻之深度分佈輪廓。 而在進行任何沈積前步驟以前,晶画係經真空閉鎖門而在 真空腔室15内被放置到加熱器25上,然後該真空閉鎖門 即被關閉;加熱器25被加熱升達大約600°C之處理溫度, 此溫度會在整個各種沈積前步驟、沈積步驟、以及各種沈 積後步驟中被維持著。 在一道第一沈積前步驟中,加熱器25位於距氣體散 佈板20大約600密爾之位置處:讓節流閥開啟大約5秒 鐘’把氣以大約4000 seem之一個流量率及把氧以大約 2900 seem之一個流量率引入腔室15内;此等中性氣體, 氦與氧,係先被引入腔室15内,以使其流量率得以達到 -135- 本紙掁尺度適用中國國家榡準(CNS ) A4規格(2_10X 297公釐) ~ " ' * I 11— - I - -- - - I ·1*^i.. -'_ I - (^1 — y—♦ m3. τβ (讀先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局裏工消費合作社印焚 412779 at B7 五、發明説明(133) 穩定狀態。氦與氧之此等流量率在整個沈積前步驟中均會 被維持。 在第二道沈積前步驟中,節流閥被關上’且腔室15 内之壓力被增加到沈積塵力,此第二道沈積前步称持續大 約30秒鐘,以讓開始時可能會在期望之沈積麼力附近略 微波動的腔室15内之壓力達到穩定狀態;加熱器25在此 第二道沈積前步驟中會被移至距氣體散佈板20大約33〇 密爾之沈積位置處。 在第三道沈積前步驊中,當腔室15内之壓力已穩定 達到大約450 torr之沈積壓力時’液態TEOS即被引入, 以使TE0S與氦流量達到穩定狀態;在TE0S流量率達到大 約1000 mgm之情形下,被汽化之TE0S氣體會於沈積步驟 執行前,在此第三道沈積前步驟期間内和氦承載氣體混合 維持大約3秒鐘》 在已讓腔室壓力、溫度及TE0S/氦氣體流量達到穩 定狀態,並調整加熱器25之位置後,沈積處理程序即可 開始。于沈積步驟伊始,氧流量被終止;液態TEP0以大 約24 mgm之一個流董率被引入,且03(含大約8 wt %之 氧)以大約4000 seem之一個流量率被引入;在液體狀態 下,TEP0與TE0S源被該液體注入系統汽化,且接著和惰 性承載氣體氦結合:此混合物從氣體散佈板20被引入腔 室15,以供應反應性氣體到晶圚表面,而在該處發生熱 誘發性化學反應來產生期望之PSG薄膜。上述條件導致一 層PSG薄膜以大約1780埃/分鐘之速率被沈積出來;而 -136- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) .裝_ 訂 412779 A7 _____B7_ 五、發明説明(134 ) 藉著控制沈積時間,具有大約5300埃之厚度的一層PSG 薄膜即在上述程序處理條件下於大約404秒之時間内被形 成°所製成之PSG薄膜内之磷的wt%數量大約為4wt%e 在沈積後’一道終結步驊被執行來使所沈積PSG薄膜 之穩定性最佳化,以提供抗拒水份與結晶化之能力》于持 績大約3秒鐘的此终結步驟中,沈積條件仍維持著,惟TEPO 流量被终止;此终結步驟因而藉著上述氣艟终止法,而在 腔室15内以一種在原地非中斷方式沈精出一層USG蓋帽 層;而此USG蓋帽層相對於該整塊PSG薄膜來顯得相當薄。 在此等PSG沈積與USG沈積步驟之後,即利用一些沈 積後步驟來控制腔室壓力依斜坡率降低之過程,並控制氣 體關閉動作;藉著調整壓力與氣體關閉動作,此等沈積後 步驟將有助於減少粒子形成,否則所形成之粒子可能會造 成晶闽受污染及損壞。 經濟部中央標率局員工消費合作社印製 I I - ^^^1 * ^^^1 ^^^1 n n V_J «3,-39 (請先聞讀背面之注意事項再填寫本頁) 在一組特定實施例中,係用到三道沈積後步驟。于緊 接在上述終結步驟之後的第一道沈積後步驟中,TEOS流 量被終止,而加熱器25被移至距氣體散佈板20大約600 密爾之一個位置處;另外,節流閥定期地被打開,以讓腔 室壓力在持續大約15秒鐘的此第一道沈積後步驟期間依 斜坡率逐漸降低。在第二道沈積後步驟中,節流閥被定期 打開’俾為第三道沈積後步驟(抽泵步驟)讓腔室壓力依 斜坡率降低,同時流入腔室15之氦氣流藉著將之抽泵通 過一個旁通閥而被終止;加熱器25在持續大約15秒鐘的 此第二道沈積後步騍期間亦被移降到距氣體散佈板20大 -137- 本纸張尺度適用中國國家標準(CMS ) A4規格(210X297公浚) .' 412779 A7 _ B7 五、發明説明(135) 約999密爾之一個位置處。而在持續大約3秒鐘的第三道 沈積後步驟中,節流閥被打開,且流入腔室15之03氣流 藉著將之抽泵通過一個最終閥而被终止。 用於沈積適用於充作一層PMD層之PSG的上述實驗條 件,係為能以高產出率提供最佳薄膜品質的最適者。藉著 增強在提高之表面溫度下的表面擴散作用,利用該等TEOS / 03化學物質在大約600°C之溫度下沈積出來的該熱性PSG 薄膜即顯現出絕佳的梯級部復蓋性、更多的交聯結構、及 對P與Si有更多的穩定氧化結構,如此乃得而產生極佳 的薄膜品質。在流動式梯級部覆蓋能力、高水份抗拒力、 高崩潰電壓、平滑表面、無表面損傷(亦即電漿損傷)、 及無固定電荷等方面來說,所沈積成之PSG薄膜具有高品 質;所沈積成之此PSG薄膜亦顯現有良好的薄膜厚度均勻 性,特別是,在所沈積PSG薄膜之大約1. 2微米厚度的薄 膜厚度均勻性(49 pt.,1 σ ),經測量得知係小於大約1. 5。 經濟部中央標準局員工消費合作杜印製
Iff ^^1 ^^1 m ^^1 » - I . —^n - 丁· U3. 、v5 <請先閱讀背面之注意事項再填寫本頁) 第24Α圓係為示範依據本發明之一組特定實施例而在 600eC下沈積成之一些PSG薄膜於沈積之際的間隙充填能 力的一幅顯微照片;特別地,在600°C下沈積之PSG薄膜 係被顯示出可如同由第24A圈所見地充填具有高度(h)與 間距(w)之高縱橫比間隙,而不會形成空隙(v〇id) β第24B 圖係為第24A圖所示積體電路結構之一部段的斷面簡圖 (並未依一定比例顯示);如同由第24B圊可見者,基想 1200具有形成於其上之一些堆疊閘極結構,特別是具有 矽化鎢(WSi)蓋帽層1240的一些電極1220; —層氧化物 -138- 本紙'張足度適用中國國家標準(CNS ) A4現格(2IOX 297公釐) ' 412779 經濟部中央標準局負工消費合作社印裂 A7 B7 五、發明説明(136) 層1260被沈積於此等堆疊閘極結構上,而形成如同第24A 至24B圓所見地具有由虚線所示之大約0.35微米之h與 大約0.08微米之w的一些高縱橫比間隙;第24A圖因而 顯現出具有由用作為一層PMD層之PSG薄膜1280所充填 之一些高縱橫比(大約4_3: 1)間隙的一種例示性結構。 由於係在大約600°C下利用上述之較佳製法秘訣所沈積而 成,該PSG薄膜1280乃顯現有極佳之高縱橫比間隙充填 能力,而無需用到通常在大約750至800t下進行而經常 會舆日趨窘迫之熱能顇算計劃不相一致的再熔回流處理程 序。 除了擁有針對高縱橫比之極佳間隙充填能力以外,有 利地於大約600°C下沈積而成的諸PSG薄膜係為對水份吸 收作用展現高抗拒力的緻密薄膜。所沈積成之沈積PSG薄 膜的水份吸收現象係如同熟於此技所熟知地利用傳統之傅 立葉轉換紅外線光譜(FTIR)技術來測量。第25圖舉例說 明了在大約600°C下沈積而成之一層PSG薄膜于下列例示 性處理程序條件下的FTIR光譜;依據一組特定實施例, 此等例示性處理程序條件包括了 :大約1000 mgm之TEOS 流量、大約24 mgm之TEPO流量、大約6000 seem之氣流 量、及大約4000 seem之臭氧(含大約12 wt %之氧) 流量,壓力大約為400 torr,以及加熱器25和氣體散佈 板20間之間距大約為330密爾,PSG沈積時間為大約600 秒鐘;如同第25圖所示,于大約600°C下沈積成之此種PSG 薄膜的FTIR光譜顯現出其未含有表示水份吸收現象的水 -139- 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2Ι0Χ 297公釐) (讀先閱讀背面之注意事項再填寫本頁) 裝.
、1T 經濟部中央標準局貝工消費合作社印製 412779 η Α7 Β7 五、發明説明(四) 波尖,且亦可看出在沈精後大約155小時之期間内均無可 以測出的水份吸收量變化,此充份說明了此種PSG薄膜在 長時間周期内的穩定性β 如同第25圈所示,所沈積PSG薄骐相當緻密而可抗 拒水份吸收作用。而在例如大約600°C之高溫下沈積一層 PSG薄膜的作法,會傾向於把可能已被吸收於此薄膜内的 任何水份驅出,故能形成一層緻密之薄膜;又既已為一層 緻密薄膜,於高溫下沈積成之此種PSG薄膜即具有不需额 外步驟來進一步讓該薄膜緻密化的谖點;所沈積PSG薄膜 之緻密本質使其可適於用作為能藉由在高於大約l〇〇〇eC 之一個溫度下進行之一道後續回火處理程序或是較佳地藉 由一道CMP步驟予以平面化的一層PMD層。而除了具有水 份吸收阻力以外,於高溫下沈積成之本PSG薄膜可以提供 良好的薄膜厚度均勻性、以及優良之間隙充填力,而無形 成會造成後續元件問題的一些空隙或薄弱接合縫之虞;此 種高溫PSG薄膜特別可用作為一層PMD層,因為它提供了 良好之碟結合力(介於大約2至8 wt %之墙),這對於 吸附或陷捕諸如鈉(Na+)離子等之可移動離子之作用十 分重要,否則,該等離子可能會在元件中遷移並在元件中 造成短路。 上文所作之沈積及測量所沈積PSG薄膜之種種特性之 諸項實驗的描述,顯現出此種薄膜用作為例如一種PMD層 的合適性;然而應知,此等描述並不應視為有限制本發明 的範圍之意。 -140- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公麈) (請先閱讀背面之注項再填寫本頁) 裝· •1Τ A7 ____B7_ 五、發明説明(138 ) C *在淺槽溝1¾離結構中供氣化物充填層用的丨丨卬 為示範依據本發明之幾個實施例構成之裝置與方法的 操作,乃進行實驗來沈積供例如作為淺槽溝隔離用之一屠 高品質氧化物充填層的一層USG薄膜。在沈積作為高品質 氧化物充填層之該USG薄膜前,晶圓通常均已接受過多道 處理步驟而形成例如閉極電極、氧化物側壁、隔離槽溝等 等。于此等實驗中,諸USG薄膜係在由美商.應用材料股 份有限公司所製造之電阻性加熱式Giga FillT* Centura 系列腔室(屬於具有大約6公升之總容積且針對200毫米 晶圓配設之一種封閉式系統)中沈積而成。 經濟部中央樣準局—工消費合作社印製 - - -! - I In - - j- I —^ϋ I —I- i— - >^1 -: ί. (請先閱讀背面之注$項再填寫本頁) 于諸實驗中’有一些沈積前步驟被執行來使腔室15 達到期望之沈積壓力,並讓氣體/液體流量在把充作充填 層之該USG薄膜沈積於晶圓上之前穩定化。當然,應知, 視不同沈積製法秘訣所最適合者而定地,諸沈積前步驟亦 可以與下文所述者(其只是一組例示性的特定實施例)不 同。此等沈積前步驟減少了在腔室壁上發生的不必要沈積 作用,且有助於為所沈積薄膜產生均勻之深度分佈輪靡。 而在進行任何沈積前步驟以前,晶圓係經真空閉鎖門而在 真空腔室15内被放置到加熱器25上,然後該真空閉鎖門 即被關閉;加熱器25被加熱升達大約550X:之處理溫度, 此溫度會在整個各種沈積前步驟、沈積步騍、以及各種沈 積後步驟中被維持著。 在一道第一沈積前步驟中,加熱器25位於距氣體散 佈板20大約600密爾之位置處;讓節流閥開啟大約5秒 -141 - ^纸張尺度適用中國國家標牟(CNS ) A4規格(21^197公楚)~ -- 412779 at B7 五、發明説明(139) 0¾ (請先閲讀背面之注意事項再填寫本頁) 鐘,把氦以大約7000 seem之一個流量率及把氧以大約 2900 seem之一個流量率引入腔室15内;此等中性氣體, 氦與氧,係先被引入腔室15内’以使其流量率得以達到 穩定狀態。氦與氧之此等流量率在整個沈積前步驟中均會 被維持。 在第二道沈積前步驟中,節流閥被關上,且腔室15 内之歷力被增加到沈積壓力;此第二道沈積前步驟持續了 比大約40秒鐘為短之一段時間,以讓開始時可能會在期 望之沈積壓力附近略微波動的腔室15内之壓力達到穩定 狀態;加熱器25在此第二道沈積前步驟中會被移至距氣 體散佈板20大約350密爾之沈積位置處。 在第三道沈積前步驟中,當腔室15内之壓力已穩定 連到大約600 torr之沈積壓力時,液態TEOS即被引入, 以使TE0S與氦流量達到穩定狀態:在TE0S流量率在大約 2000 ragm之情形下,被汽化之TE0S氣體會於沈積步驟執 行前,在此第三道沈積前步驟期間内和氦(或氮)承載氣 體混合維持大約5秒鐘》 經濟部中央標準局負工消費合作社印繁 在已讓腔室壓力、溫度及TE0S/氦氣體流量達到穩 定狀態,並調整加熱器25之位置後,沈積處理程序即可 開始。于沈積步驟伊始,氧流量被終止,而03(含大約12. 5 wt %之氧)以大約5000 seem之一個流量率被引入;在 液體狀態下,TE0S源被該液艘注入系统汽化,且接著和 惰性承載氣體氦結合;此混合物從氣體散佈板20被引入 腔室15,以供應反應性氣體到晶圓表面,而在該處發生 -142- 本紙悵尺度適用t國國家標準(CMS ) A4規格(210X297公漦} 經濟部中央標準局貝工消资合作社印裝 A7 B7 五、發明説明(⑽) 熱誘發性化學反應來產生期望之USG薄膜。上述條件導致 一層USG薄膜以大約1450埃/分鐘之速率被沈積出來; 而藉著控制沈積時間’具有大約10000埃之厚度的一層USG 薄旗即在上述程序處理條件下於大約414秒之時間内被形 成β 在USG沈積後,一道沖洗步驟被執行來使所沈積USG 薄膜之穩定性最佳化’以提供抗拒水份之能力。于持續大 約3秒鐘的此沖洗步驟中,沈積條件仍維持著,惟TEOS 流量被终止· 在此等USG沈積與沖洗步驟之後,即利用一些沈積後 步驊來控制腔室壓力依斜坡率降低之過程,並控制氣體關 閉動作;藉著調整壓力與氣體關閉動作,此等沈積後步驟 將有助於減少粒子形成,否則所形成之粒子可能會造成晶 固受污染及損壞。 在一组特定實施例中,係用到三道沈積後步驟《于緊 接在上述終結步驟之後的第一道沈積後步驟中,流入腔室 15之承載氣體氣流藉著將之抽泵通過一個旁通閥而被終 止;加熱器25被移至距氣體散佈板20大約600密爾之一 個位置處,同時,節流閥定期地被打開,以讓腔室壓力在 持續大約15秒鐘的此第一道沈積後步驟期間依斜坡率逐 漸降低"在第二道沈積後步驟中,節流閥被定期打開,俾 繼續讓腔室壓力依斜坡率降低,同時流入腔室15之03氣 流仍持續著;加熱器25在持績大約15秒鐘的此第二道沈 積後步驟期間亦被移降到距氣體散佈板20大約600密爾 -143- 本紙張尺度適用中國國家標準(CNS ) Α4規格(21〇><297公釐) 1 I 衣 訂 (請先聞讀背面之注意事項再填寫本頁) 412779 A7 經濟部中央標準局員工消費合作社印裂 B7 五 '發明説明(Wl) 之一個位置處《而在持磧大約3秒鐘的第三道沈積後步驛 中’節流閥被打開’且流入腔室15之〇3氣流藉著將之抽 泵通過一個最終閥而被终止。 用於沈積適用於充作一層淺槽溝隔離用高品質氧化物 充填層之USG的上述實驗條件,係為能以高產出率提供最 佳薄膜品質的最適者。在淺槽溝隔離應用場合中,除了確 實是一層極緻密且均勻之薄膜以外,所沈積出來的USG薄 膜將可達成無空隙之間陈充填效果(典型地在大約85。 之一個標稱角度下);所沈積成之此USG薄雎亦顯現有良 好的薄膜厚度均勻性’特別是,在所沈積USG薄膜之大約 5000埃厚度的薄膜厚度均勻性(49 pt.,1 σ ),經測量 得知係小於大約1. 5。 第2 6Α與2 6Β圖係為示範依據本發明之一組特定實施 例而分別在大約400°C及大約55ITC下沈積成之一些TEOS / 03 USG薄膜於經過l〇5〇°C之再熔回流及一道6: 1煖衝 氧化物蝕刻程序之後的相對間隙充填能力的兩幅顯微照 片;特別地,第26A圊示出具有大約〇. 35微米之寬度與 大約0. 70微米之深度(等於大約2 : 1之縱橫比間隙)並 附有一層充填層的一種槽溝結構,而其中之該充填層係為 在大約400°C下沈積而成並經在大約l〇5(TC下再熔回流處 理後的一層USG薄膜;第26A圖顯示出在所沈積USG薄膜 中有一些大空隙,表示在大约400eC下沈積成之該USG薄 膜並不十分緻密且顯得易生縐縮;即使在高於大約1〇〇〇 °C之某一溫度下進行可讓一層USG薄膜略微緻密化的一道 -144- ^^^1 tn ^^^^1 ^^^^1 i^ilt ^ϋ* S tui nn ^^^^1 i - (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(2〗0;>< 297公釐) A7 B7 五、發明説明(142) 再熔回流處理之後,在大約40(TC之溫度下沈積成的該USG 薄膜仍無法達到十分緻密之程度,且無法安然度過高溫回 火程序或後續之濕蝕刻處理程序而不在其内開出空陈》相 較之下,第26B圖則係顯示出具有大約〇. 18微米之寬度 與大約0.45微米之深度(等於大約2.5:1之縱橫比間隙) 並附有一層無空隙之充填層的一種槽溝結構,而其中之該 無空隙之充填層係為在大約550°C下沈積而成並經在大約 1050°C下再熔回流處理及一道後續濕蝕刻處理之後的一層 USG薄膜;由第26A與28B圖可看出,在大約550°C下沈 精成之該USG薄膜可以充填_些高縱橫比間隙而不會在經 再熔回流之後形成空隙,此與在大約400°C下沈積成之USG 薄膜大不相同;在以極均勻之蝕刻速率進行一些蝕刻程序 之後,於大約55ITC下沈積成之該層USG薄膜仍維持其優 越之梯級部覆蓋率,而未在其内開出任何空隙。 經濟部中央標準局員工消費合作社印掣 in - ^^^^1 ^^^^1 I 4^m ^ J. (請先聞讀背面之注意事項再填寫本頁) 作為在大約55(TC下沈積之USG薄膜所具高縱橫比間 陳充填能力的進一步證據’第27圖係為示範依據本發明 之一組特定實施例所沈積USG薄膜於經過looirc之再熔 回流及一道後續濕蝕刻處理程序之後的間隙充填能力的一 幅顯微照片;第27圖係顯示出具有大約〇. 16微米之寬度 與大約0.48微米之深度(等於大約3: 1之縱橫比間隙) 並附有一層充填層的一種槽溝結構,而其中之該充填層係 為在大約550 °C下沈積而成並經在大約1〇〇〇 下再溶回流 處理之後的一層USG薄膜;第27圖之該槽溝結構具有比 第26A與26B围所示槽溝結構更小之間距及更高之縱橫 -145- 本紙張尺度適用中國國家標準(CNS ) A4規格(2!0x 297公釐) :~: 412779 A7 _ B7 五、發明説明(⑷) 比’而說明了在大約550eC下沈積成之該USG薄膜的優越 間隙充填能力。 除了擁有針對高縱橫比之極佳間隙充填能力以外,有 利地於大約550°C下沈積而成的諸USG薄膜係為對水份吸 收作用展現高抗拒力的緻密薄膜》所沈積成之沈積USG薄 膜的水份吸收現象係利用傳統之FTIR技術來測量。第28 圖舉例說明了依據一組特定實施例在大約5501下沈積而 成之一層USG薄膜于下列例示性處理程序條件下的ftir 光譜;依據該组特定實施例,此等例示性處理程序條件包 括了 :大約2000 mgm之TEOS流量、大約7000 seem之氦 流量、及大約5000 seem之臭氧(含大約12.5 wt 之 氧)流量’壓力大約為600 torr,以及加熱器25和氣體 散佈板20間之間距大約為350密爾;如同第28圖所示, 于大約55(TC下沈積成之此種USG薄膜的FTIR光磺顯現 出其低水份吸收率,再者,第28圖亦顯現出在沈積後大 約160小時之期間内只見到該USG薄膜内之水份有少於大 約0,5 wt %的水份增加率,此充份說明了此種USG薄膜 在長時間周期内的穩定性。 經濟部中央標车局員工消費合作社印製 ---------装-- (請先閲讀背面之注意事項再填寫本頁) 於是,如同由第28圖所支持地,所沈積USG薄膜相 當緻密而可抗拒水份吸收作用。而在例如大約550T:之高 溫下沈積一層USG薄膜的作法’會傾向於把可能已被吸收 於此薄膜内的大部分水份驅出,故能形成一層緻密之薄 膜;又既已為一層緻密薄膜,於至少大約550°C之高溫下 沈積成之此種USG薄膜,較之於在較低溫度下沈積成之一 -146- 本紙張尺度適用中國國家標準(CNS ) Μ規格(210 X 297公釐) 經濟部中央標隼局員工消費合作社印製 A7 _____B7__ 五、發明説明(W4 ) 些USG薄膜,即具有較不易發生縐縮現象之優點,否則此 種縐縮現象可能會在一道再熔回流處理步脒及一道後續濕 蝕刻處理程序之後造成空隙的形成;所沈積USG薄膜之緻 密本質使其可適於用作為能在淺槽溝隔離應用場合中用以 填充所用之諸槽溝之一種高品質氧化物層;由於其高密 度,於高溫下沈積成並被用作為氧化物充填層的諸USG薄 膜,可藉由一道後續回火處理程序或是一道CMP步驟,在 其開出空隙之可能性業已降至最低的情形下,予以平面 化。而除了具有水份吸收阻力及良好的薄膜厚度均勻性以 外,於高溫下沈積成之本USG薄膜可以提供極佳之高縱橫 比間隙充填力,而無形成會造成後續元件問題的一些空隙 或薄弱接合縫之虞。 大致上,高壓03/TEOS USG薄膜可能會顯現有圖案 或表面敏感性作用,此會導致吾人不想要有的不均勻沈積 現象;吾人已看出因不均勻沈積而起之一些問題會隨著〇3 / TEOS比例愈高而愈形惡化。有利的是,在諸如至少大 約550°C之一些高溫下沈積USG薄膜時需要用到較多之 TEOS,俾達到適當之沈積速率;於是,在高溫下沈積成之 USG薄膜的03/TE0S比例便偏低(小於大約5 : 1),因而 消除任何圊案或表面敏感性作用》再者,對於在高溫下沈 積成之USG薄膜來說,薄膜品質(例如密度、縐缩率等等) 頗高;由於此等高溫沈積USG薄膜之高密度,是故其便不 需要作電漿緻密化處理或附以電漿氧化物蓋帽層,因而可 避免對晶圓造成任何電漿損傷;而腔室内沒有因該等處理 -147 本紙張尺度適用中囷國家標準(CNS ) A4規格(210X297公釐) ^^1 ^^^1 ^^^1 I - m ^1^11 kl*^FrrLr - HI ^"SJ (請先閲讀背面之注意事項#填寫本頁) 412779 at B7 五、發明説明(⑷) 所需之電漿出現’亦連帶降低晶困内之諸元件遭金屬污染 及可能短路的機率。相較於經常需要作電漿緻化處理或加 附電漿氧化物蓋帽層且可能會在回火後發生縐縮而開出空 隙的低溫熱USG薄膜,依本發明在大約550t之溫度下沈 積成的熱USG薄膜則顯現出絕佳之間陈充填能力、最小羯 缩量、及均勻之薄膜密度、與低金屬污染量,且不會有電 漿損害。 上文所作諸實驗的描述證明了所沈積USG薄膜供用於 舉例而言充作供充填淺槽溝隔離所需高縱橫比槽溝用之高 品質氧化物層的合適性;相同之CVD裝置亦可用於針對IMD 應用場合而在低於500°C之溫度下沈積USG薄膜,是以當 然,上文之描述即不應視為是本發明之範圍的限制。 經濟部中央標隼局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 應知,上列敘述内容係只欲供舉例說明之用而已,而 毫無限制之意;熟於此技者在閱畢上列敘述内容後,將可 輕易思及許多種實施例,舉例而言,本文所述之發明儘管 主要已針對USG、BSG、PSG、和BPSG處理程序製法秘訣 加以說明’但它們並不限制於此;例如,依據其他實施例 所形成之介電薄膜可以為一種砷掺雜氧化矽薄膜或是其他 摻雜薄膜;再舉另一例言之,介電薄膜之沈積固已提及係 用諸如氦的承載氣體,但例如氬或氮等等的其他承載氣艘 同樣亦可採用;再舉進一步實例言之,諸介電層已針對一 些特定應用場合被描述,包括摻雜接面形成、PMD層、IMD 層、氧化物充填層、蓋帽層等等;當然應知,上文所述之 同一種CVD裝置可用於在低於大約400eC之溫度下,以及 -148- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 經濟部中央梯準局員工消贽合作社印製 A7 _______B7__ 五、發明说明(146 ) 在高於500°C之溫度下沈積諸介電層;另外,本發明之各 個概念層面亦可運用於其他應用場合中,熟於此技者將可 推想出供沈積介電層且仍落於本發明之申請專利範圍的範 園以内的其他等效或替換性方法。職是之故,本發明之範 团即不應參照上列敘述來決定,而應換成參照後附申請專 利範圍連同此等申請專利範圍所應有之諸等效物的完整範 圍來決定》 - n 1^1 I 1 , i I- In I I 1^1 (請先閏讀背面之注意事項再填寫本頁} 元件標號對照表 10 CVD裝置/系統 13 虚線 15 真空腔室 16 氣體反應區 20 氣體散佈板/歧管/淋灑頭 17 内壁/側壁 25 加熱器/晶圊支樓臺座/納置台 2卜22 箭頭 30 加熱器/舉昇總成 35 ' 250 腔室内襯 40 環狀(形)抽泵通道 43 供應管線/入口管 47 清潔氣馥導管 50 處理器 55 遠距電槳系統 57 入口/輸入管線 60 排氣管線 63 節流閥系統 65 控制線 70 記憶體 73a CRT監視器 73b 光筆 75 主構架單元 80 氣體供應面板 83 ' 85、97 管線 90 氣/液體供應源 93 混合系統/冷卻劑通道 95 關斷閥/切換閥 96 閥體 100 質量流量控制器 -149- 本^张尺度適用中國國家標準(〔阳)六4規格(210乂297公釐) 412779 at B7 五、發明説明(147) 經濟部中央標準局貝工消費合作社印製 105 切換閥 110 微波電源供應器 115 臭氧發生器 150 電腦程式 153 程序選擇器次常式 155 程序排序器次常式 157a-c 腔室管理器次常式159 终點檢測控制次常式 160 基體定置次常式 163 處理氣體控制次常式 165 壓力控制次常式 167 加熱器控制次常式 169 氣體吸除控制次常式170 電漿控制次常式 200 包封總成 205 氣體散佈系統 210 排氣系統 215 液體冷卻系統 217、 219 接水管 220 水流檢測器 225 外蓋總成 230、 230’内蓋總成 233 蓋罩 235 切除部 237 蓋夾 239 蓋鉸鏈 241 鎖定棘輪機構 243 槽缝閥開口 245 内壁 252 壁棚部 253 内部部分 254 環形唇 255 外部部分 257 垂直支柱 259 内部氣隙 261 環形革 265 蓋或基板 270 清潔氣體歧管 273 氣體混合盒/氣體混合塊 277 清潔氣體歧管 280 閘閥 281 致動手把 290、 331、464 入口 291 内部内概 292 施加器管 293 流體通道 295 内部通道/中央孔 297 外部環形通道 -150- 本紙张尺度適用中國國家標丰(CNS ) A4規格(210X 297公釐) (请先閲讀背面之注意事項再填寫本頁) 策 訂 412779 A7 B7五、發明説明(148 ) 經濟部中央標準局員工消費合作社印製 301 擋板或氣體分散板 303 ' 305 安裝螺釘 311 平坦板體/凹部 313 外凸緣 315 孔洞/氣體散佈孔 316、 318 托環 317、 320 腔室 321 圓形碟/下表面 325 氣體分散孔 326 下表面 327 中央孔 333、 466 出口 343 環形凹部 345 環形蓋 351 ' 357 箭頭 355 環狀槽形孔口 361 氣體通道 363 真空關斷閥 369 閥總成 371 隔離閥 373 節流閥 381 ' 383 壓力計 385 控制器 391、 393 支撐軸 395 舉昇管 400 堪動總成 403 上方晶圃支撐表面 405 環形隆起周邊凸緣 407 步進馬達 409 齒輪驅動器 411 ' 413 蛇腹部 418 鋁柏 420 環形撞擊板 422 環形凸緣 430 支撐/舉昇指 432 引導椿 440 電阻性加熱器線圈總成 445 中空心部 453 開口 455 基座 457 密封構件 461 可垂直移動支撐部 463 内部冷卻劑通道 471 加熱器線圈 472、 474 電氣接點 475 導體引線 I 11^ ^^^^1 j -·, ^¾. i (請先閱讀背面之注意事項再填寫本瓦) 473 加熱器元件/埋置RF網目接地平面電極 -151- 本纸張尺度適用中國國家標準(CNS ) A4规格('’2] Ο X 297公釐)' 經濟部中央標隼局員工消费合作社印製 412779 at __ B7 五、發明説明() 477 金屬嵌入物 481 鉬塞 491 熱電偶 493 長形管 495 感測器 500 環形冷卻剤通道 501 熱電偶導引部 502 環形流體通道 503 壓縮彈簧 505 井 510 旁通通道 580-598 步驟 701 阻抗匹配系統 703 相位檢測器 705 循環器 707 負載 711 磁控管 715、 717、721 波導段 719 接合部 731 紫外線燈 733 UV電源供應器 800 清潔终點檢測系統 802 氣體檢測器 804 旁通管線/殼體 806 控制閥/貫穿孔 808 ' 810 凸緣 812、 813 紅外線窗 814 遠紅外線燈 816 IR檢測器 900 積體電路 903、 1000 NMOS電晶體 906、 1000 PMOS電晶體 912、 1010 ' 1118 源極區 915、 1012、1120汲極區 918 閘極區 920、 1 006場氡化物區 921 預金屬介電(PMD)層 924 接點 926 通孔 927-929中間金屬介電廣 930 平面化鈍化層 940-946 金屬 @ 1000 MOS電晶體 1002 、111 δ閘極電極 1003 閘極氧化物 1004 材料 1008 、1106 摻雜介電層 1020 超淺接面 I - I ^^1 - ί ( HI I i^i ^^1 i^i— (請先聞讀背面之注意事項再填寫本頁) -152- 本紙張尺度適用中國國家標率(CNS ) A4規格(210X 2耵公釐) 412779 A7 B7 五、發明説明(15〇) 1030、 1110 蓋帽層 1100 半導體材料 1102 槽溝 1104 光罩 1108 超淺通道停止區 1110 氧化物 1112、 1114 元件 1200 基體 1220 閘極電極 1240 矽化鎢蓋帽層 1260 氧化物層 1280 PSG薄膜 ^1» · - — ^^1 :一 I - i· 1 I I J^i ^^1 1^1 -3 (請先閡讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 -153- 本紙張尺度適用中國國家標準(CN’S ) A4規格(2丨0><297公釐)

Claims (1)

  1. 412779 A8 B8 C8 D8 六、申請專利範圍 1·一種蓋總成,係供屬具有容裝一紐_處理腔室之一套包射 艘之型式的一組蒸汽沈積裝置所用者,該蓋總成包含有: 一塊基板’具有用以接受一或多種氣體的一個氣體 入Π ; 一塊氣體散佈板,包括有流體式地耦接到該氣體入 口以供將該等氣艘散佈進入該腔室的多個氣體散佈孔: 以及 耦接到該氣體入口和該處理腔室的一條旁通通道, 該旁通通道對流體流動動作提供比該等氣體散佈孔更小 之阻力,以供讓至少一部分該等氣體繞過該等氣體散佈 孔進入該腔室内》 2.如申請專利範圍第1項所述之蓋總成,其更包含有耦接 到該氣體入口和該處理腔室的多條旁通通道,該等旁通 通道係繞著該氣體散佈板沿圓周間隔設置》 3·如申請專利範圍第1項所述之蓋總成,其更包含有介於 該氣體散佈板與該基板之間而用以將氣體散佈到該等氣 體散佈孔的一個腔室,該旁通通道即係耦接到該腔室。 4. 如申請專利範圍第1項所述之蓋總成,其更包含有一條 清潔氣體通道,此清潔氣醴通道具有耦接到該氣體入σ 的一個出口、和適於輕接至一或多個清潔氣想源的一個 入σ。 5. 如申請專利範圍第4項所述之蓋總成,其更包含有一條 處理氣體通道,此處理氣體通道具有耦接到該氣體入D 的一個出口、和適於耦接至一或多個處理氣體源的一個 -154- 本紙張尺度適用中國國家標準(CNS ) A4現格(2丨0X297公釐) ' (請先閲讀背面之注意事項再填寫本頁} 裝- 經濟部中央揉準局貞工消費合作社印裝 經濟部中央標準局貝工消費合作社印¾ A8 B8 C8 D8 六、申請專利範圍 入口;以及一個控制器,用以選擇性地允許及禁止該等 處理氣體與清潔氣體進入該旁通通道。 6.如申請專利範圍第丄項所述之蓋總成,其中該基板係熱 _私接至該氣趙散佈板,並界定出用以接收一種熱交換流 體的一個流體入口、用以排出該熱交換流體的一個流體 出口、及形成於該基板之一些部分内而與該流想入口及 該流髋出口連通的_條流體通道。 7·如申請專利範圍第6項所述之蓋總成,其中該流想通道 包含有形成於該基板内而用以接受一種冷卻劑讓其流過 俾與該基板交換熱量的多條通道。 8. 如申請專利範圍第1項所述之蓋總成,其更包含有配置 於該氣體散佈板與該基板之間的一塊氣體分散板,該氣 體分散板係可卸除地安裝於該基扳上並界定出一或多個 氣體分散孔使該氣體入口與該等氣體散佈孔連通,該等 氣體分散孔對流體流動作用提供比該等旁通通道更大之 阻力。 9. 一種蓋總成,係供屬具有容裝一組處理腔室之一套包封 體之型式的一組蒸汽沈積裝置所用者,該蓋總成包含有: 一組氣體混合塊,具有耦接到該處理腔室的一個出 口、以及第一和第二氣體入口; 至少一條處理氣體通道,具有適於耦接至一或多個 處理氣體源的一個入口、和耦接到該氣體混合瑰的一個 出口; 至少一條清潔氣體通道,具有適於耦接至一或多個 -155- 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X 297公爱) --------裝— (請先閲讀背面之注意事項再填寫本頁) 、tr 412779 A8 B8 CS D8 經濟部中央橾準局貝工消費合作社印裝 7、申請專利範圍 清潔氣體源的一個入口、和耦接到該氣體混合塊的一個 出口;以及 一個間體,設置於該處理氣體通道或該清潔氣體通 道上而用以選擇性地允許氣體流通經過達至該氣體混合 塊。 10·如申請專利範圍第9項所述之蓋總成,其更包含有一 個控制器,該控制器耦接到該閥體而用以選擇性地啟閉 該閥體*俾允許氣體流通經過達至該氣體混合塊. 11.如申請專利範圍第9項所述之蓋總成,其中該閥體係 沿該條清潔氣體通道定置。 12‘如申請專利範圍第9項所述之蓋總成,其更包含有一 組清潔氣體歧管’其具有用以接受一些清潔氣體的—或 多個入口、以及耦接到該清潔氣體通道的一個出口 β 13. 如申請專利範圍第9項所述之蓋總成,其令該氣體混 合塊、該等清潔與處理氣體通道、及該閥體係連接到該 包封體。 14. 如申請專利範圍第9項所述之蓋總成,其中該閥趙係 為一種閘閥。 15. —種積體電路元件製造裝置,包含有: 供容裝一組處理腔室之一套包封體; 一組氣艘混合塊,附接於該包封體上,且具有叙接 V 1 到該處理腔室的一個出口、以及第一和第二氣艘入σ ; 流體式地耦接到該氣體混合塊的一個清潔氣艘源; 流體式地耦接到該氣體混合塊的一個處理氣體源; -156- --------^— (請先W讀背面之注項再填寫本頁) 訂 本紙張Xjt逋用中國國家標準(CNS ) Α4规格(210X297公釐) Αδ Β3 jnm__s_ 六、申請專利範圍 以及 —個閥體,耦接到該氣體混合塊,用以選擇性地且 流趙式地將該清潔氣體源和該處理氣體泺與該氣體混合 塊耦接,而選擇性地允許一些清潔氣體或處理氣體流入 該處理腔室。 μ.如申請專利範圍第15項所述之裝置,其更包含有: 一塊基板,具有耦接到該氣體混合塊的一個氣體入 σ ; 一塊氣體散佈板,包括有流體式地耦接到該氣體入 口以供將該等氣體散佈進入該腔室的多個氣體散佈孔; 以及 耦接到該氣體入口和該處理腔室的一條旁通通道, 該旁通通道對流體流動動作提供比該等氣體散佈孔更小 之阻力’以供允許至少一部分該等氣體繞過該等氣體散 佈孔進入該腔室内。 17. 如申請專利範圍第μ項所述之裝置,其更包含有一個 控制器,該控制器耦接到該閥體而用以選擇性地啟閉該 閥體,俾允許氣體流通經過達至該氣體混合塊》 經濟部中央榇率局属工消費合作社印31 -- -I —II - -1 I --- -I- 1 ! I - I — -I .. I —^1 - = - I- - (請先閲讀背面之注項存填寫本X ) 18, 如_請專利範圍第μ項所述之裝置’其更包含有將該 清潔氣體源與該氣體混合塊耦接起來的一條清潔氣體通 道’其中該閥體係沿該清潔氣體通道定置。 19_如申請專利範圍第a項所述之裝置’其令該基板係為 用以將該包封體之開口密封起來的一塊一體式單片基 板’該基板界定有用以定置於被密封之該包封體内的一 -157-本紙張尺度通用中國國家標準(CNS ) A4規格(2丨Ο X 297公釐) AS B8 CS D8 412779 六、申請專利範圍 個下表面、一個氣體入口、以及用以安裝於該包封體上 的一或多個安裝元件。 20.如申請專利範圍第is項所述之裝置’其中該清潔氣體 通道包括有可抗拒該清潔氣體之蝕刻作用的一種氟化材 料’該清潔氣體包括有氟。 ---------^— (請先聞請背面之注意事項再填寫本買> 訂 經濟部中央梂準局貝工消费合作社印製 -158-本紙張尺度適用中國國家標準(CNS ) A4#見格(2I0X29?公釐)
TW086116805A 1996-11-13 1997-11-11 Lid assembly for high temperature processing chamber TW412779B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/749,925 US6019848A (en) 1996-11-13 1996-11-13 Lid assembly for high temperature processing chamber

Publications (1)

Publication Number Publication Date
TW412779B true TW412779B (en) 2000-11-21

Family

ID=25015798

Family Applications (1)

Application Number Title Priority Date Filing Date
TW086116805A TW412779B (en) 1996-11-13 1997-11-11 Lid assembly for high temperature processing chamber

Country Status (5)

Country Link
US (1) US6019848A (zh)
JP (1) JP4159126B2 (zh)
KR (1) KR100538137B1 (zh)
SG (1) SG99844A1 (zh)
TW (1) TW412779B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI448187B (zh) * 2006-02-03 2014-08-01 Cedal Equipment Srl 具有不銹鋼電阻之陽極化鋁的輻射面板
TWI473159B (zh) * 2006-09-08 2015-02-11 Jusung Eng Co Ltd 蝕刻裝置及使用該裝置之蝕刻方法
CN113745131A (zh) * 2021-08-31 2021-12-03 顾赢速科技(合肥)有限公司 多层外延工艺及其线性平台设备

Families Citing this family (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
EP1029099A2 (en) * 1997-10-15 2000-08-23 Tokyo Electron Limited Apparatus and method for adjusting density distribution of a plasma
JP2001520433A (ja) * 1997-10-15 2001-10-30 東京エレクトロン株式会社 加速された粒子を発生させる装置並びに方法
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6535779B1 (en) * 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6551939B2 (en) * 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
CN1300328A (zh) * 1998-04-14 2001-06-20 Cvd系统公司 薄膜淀积系统
FR2781929B1 (fr) 1998-07-28 2002-08-30 St Microelectronics Sa Capteur d'image a reseau de photodiodes
EP0989595A3 (en) * 1998-09-18 2001-09-19 Ims-Ionen Mikrofabrikations Systeme Gmbh Device for processing a surface of a substrate
US6352876B1 (en) 1999-07-28 2002-03-05 Stmicroelectronics S.R.L. Integrated semiconductor optic sensor device and corresponding manufacturing process
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6719851B1 (en) * 2000-09-26 2004-04-13 Applied Materials, Inc. Lid assembly for opening a process chamber lid and uses therefor
FR2820883B1 (fr) 2001-02-12 2003-06-13 St Microelectronics Sa Photodiode a grande capacite
FR2820882B1 (fr) 2001-02-12 2003-06-13 St Microelectronics Sa Photodetecteur a trois transistors
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6516814B2 (en) * 2001-05-03 2003-02-11 Silicon Integrated Systems Corp. Method of rapid prevention of particle pollution in pre-clean chambers
FR2824665B1 (fr) * 2001-05-09 2004-07-23 St Microelectronics Sa Photodetecteur de type cmos
JP3836696B2 (ja) * 2001-08-31 2006-10-25 株式会社東芝 半導体製造システムおよび半導体装置の製造方法
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6759624B2 (en) 2002-05-07 2004-07-06 Ananda H. Kumar Method and apparatus for heating a semiconductor wafer plasma reactor vacuum chamber
JP3999059B2 (ja) * 2002-06-26 2007-10-31 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US7062141B2 (en) * 2002-12-12 2006-06-13 Applied Materials, Inc. Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications
JP2004214610A (ja) * 2002-12-20 2004-07-29 Renesas Technology Corp 半導体装置の製造方法
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20080029197A1 (en) * 2006-07-04 2008-02-07 Matsushita Electric Industrial Co., Ltd. Surface treating apparatus using atomic hydrogen
WO2012108882A1 (en) * 2011-02-11 2012-08-16 Alliance For Sustainable Energy, Llc Wafer screening device and methods for wafer screening
CN102027581B (zh) * 2008-03-13 2012-12-26 可持续能源联盟有限责任公司 用于半导体晶片处理的光腔炉
US8596336B2 (en) * 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
US9155134B2 (en) 2008-10-17 2015-10-06 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
JP5710591B2 (ja) * 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5948040B2 (ja) 2010-11-04 2016-07-06 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法及び半導体装置の作製方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103650169A (zh) * 2011-07-27 2014-03-19 夏普株式会社 含硅薄膜的制造方法
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102628794B1 (ko) * 2018-07-17 2024-01-23 주식회사 엘지에너지솔루션 공기 정화 기능을 구비한 전극 조립체 제조 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US12012653B2 (en) * 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
CN115206848B (zh) * 2022-08-01 2023-10-24 北京屹唐半导体科技股份有限公司 晶圆的热处理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3934060A (en) * 1973-12-19 1976-01-20 Motorola, Inc. Method for forming a deposited silicon dioxide layer on a semiconductor wafer
US4002512A (en) * 1974-09-16 1977-01-11 Western Electric Company, Inc. Method of forming silicon dioxide
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS60116126A (ja) * 1983-11-28 1985-06-22 Ricoh Co Ltd プラズマcvd装置
US4567938A (en) * 1984-05-02 1986-02-04 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JPH07176484A (ja) * 1993-06-28 1995-07-14 Applied Materials Inc 窒化アルミニューム面を有するサセプタをサセプタの浄化後珪化タングステンで処理することによって半導体ウエハ上に珪化タングステンを一様に堆積する方法
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
KR100230803B1 (ko) * 1996-10-08 1999-11-15 김영환 스크러버장치의 공급/배기관

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI448187B (zh) * 2006-02-03 2014-08-01 Cedal Equipment Srl 具有不銹鋼電阻之陽極化鋁的輻射面板
TWI473159B (zh) * 2006-09-08 2015-02-11 Jusung Eng Co Ltd 蝕刻裝置及使用該裝置之蝕刻方法
CN113745131A (zh) * 2021-08-31 2021-12-03 顾赢速科技(合肥)有限公司 多层外延工艺及其线性平台设备
CN113745131B (zh) * 2021-08-31 2024-01-16 顾赢速科技(合肥)有限公司 多层外延工艺及其线性平台设备

Also Published As

Publication number Publication date
US6019848A (en) 2000-02-01
JP4159126B2 (ja) 2008-10-01
KR19980042358A (ko) 1998-08-17
KR100538137B1 (ko) 2006-02-28
SG99844A1 (en) 2003-11-27
JPH10172964A (ja) 1998-06-26

Similar Documents

Publication Publication Date Title
TW412779B (en) Lid assembly for high temperature processing chamber
TW438903B (en) Methods and apparatus for depositing premetal dielectric layer at subatmospheric and high temperature conditions
TW408363B (en) Systems and methods for high temperature processing of semiconductor wafers
KR100472108B1 (ko) 기판처리시스템의표면세척을위한방법및그장치
US5935334A (en) Substrate processing apparatus with bottom-mounted remote plasma system
US5968587A (en) Systems and methods for controlling the temperature of a vapor deposition apparatus
US5939831A (en) Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6645303B2 (en) Heater/lift assembly for high temperature processing chamber
US6099647A (en) Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5935340A (en) Method and apparatus for gettering fluorine from chamber material surfaces
US6114216A (en) Methods for shallow trench isolation
US5879574A (en) Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6444037B1 (en) Chamber liner for high temperature processing chamber
US6347636B1 (en) Methods and apparatus for gettering fluorine from chamber material surfaces
TW406358B (en) Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD processing
US20080142483A1 (en) Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
KR20010062663A (ko) 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화
US6436303B1 (en) Film removal employing a remote plasma source

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees