TW202418476A - 用於cmos元件之觸點形成製程 - Google Patents

用於cmos元件之觸點形成製程 Download PDF

Info

Publication number
TW202418476A
TW202418476A TW112125445A TW112125445A TW202418476A TW 202418476 A TW202418476 A TW 202418476A TW 112125445 A TW112125445 A TW 112125445A TW 112125445 A TW112125445 A TW 112125445A TW 202418476 A TW202418476 A TW 202418476A
Authority
TW
Taiwan
Prior art keywords
semiconductor region
exposed surface
semiconductor
opening
gas
Prior art date
Application number
TW112125445A
Other languages
English (en)
Inventor
尼可拉斯路易斯 布瑞爾
麗莎 麥克吉爾
安姆瑞塔 拉莫漢
雪恩克 薛瑪
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202418476A publication Critical patent/TW202418476A/zh

Links

Abstract

一種在半導體結構中形成電氣觸點的方法包括:執行圖案化製程以在半導體結構上形成遮罩,該半導體結構包含第一半導體區域、第二半導體區域、具有在第一半導體區域上方的第一開口及在第二半導體區域上方的第二開口的介電層,其中遮罩覆蓋第二開口內的第二半導體區域的暴露表面;執行非晶化離子佈植製程以非晶化第一開口內的第一半導體區域的暴露表面;執行移除製程以移除遮罩;執行選擇性磊晶沉積製程,以在第二半導體區域的暴露表面上磊晶地形成接觸層;以及執行再結晶退火製程以再結晶第一半導體區域的非晶化表面。

Description

用於CMOS元件之觸點形成製程
本文描述的實施例通常係關於半導體元件製造,並且更特定言之,係關於在半導體結構內形成觸點的系統及方法。
多閘極金屬氧化物半導體場效電晶體(MOSFET),諸如互補金屬氧化物半導體(CMOS)元件,歸因於其三維(3D)設計及小尺寸,對可製造性提出了挑戰。在先進的CMOS元件中,在溝槽觸點的底部處形成的含矽材料(例如,硼摻雜的p型鍺矽或磷摻雜的n型矽)的磊晶層經常用於將接觸電阻率降低到10 -9Ωcm 2的範圍,並且實現先進CMOS技術所需的效能。
然而,形成及圖案化此種磊晶層,例如,使用硬遮罩以保護n-MOS區域或p-MOS區域,可損壞CMOS元件的各個部分,諸如間隔件、閘極覆蓋層或磊晶生長層。
由此,需要可形成一觸點的方法及系統,該觸點包括在半導體元件的所選部分處的含矽材料的磊晶層。
本案的實施例提供了一種在半導體結構中形成電觸點的方法。該方法包括:執行圖案化製程以在半導體結構上形成遮罩,該半導體結構包含第一半導體區域、第二半導體區域、具有在第一半導體區域上方的第一開口及在第二半導體區域上方的第二開口的介電層,其中遮罩覆蓋第二開口內的第二半導體區域的暴露表面;執行非晶化離子佈植製程以非晶化第一開口內的第一半導體區域的暴露表面;執行移除製程以移除遮罩;執行選擇性磊晶沉積製程,以在第二半導體區域的暴露表面上磊晶地形成接觸層;以及執行再結晶退火製程以再結晶第一半導體區域的非晶化表面。
本案的實施例提供了一種在半導體結構中形成電觸點的方法。該方法包括:在半導體結構上執行非晶化離子佈植製程,該半導體結構包含第一半導體區域、第二半導體區域、具有在第一半導體區域上方的第一開口及在第二半導體區域上方的第二開口的介電層,以非晶化第一開口內的第一半導體區域的暴露表面及第二開口內的第二半導體區域的暴露表面;執行選擇性磊晶沉積製程,以在第二半導體區域的暴露表面上磊晶地形成接觸層;以及執行再結晶退火製程以再結晶第一半導體區域的非晶化表面。
本案的實施例提供了一種在半導體結構中形成電觸點的方法。該方法包括:執行圖案化製程以在半導體結構上形成遮罩,該半導體結構包含第一半導體區域、第二半導體區域、具有在第一半導體區域上方的第一開口及在第二半導體區域上方的第二開口的介電層,其中遮罩覆蓋第二開口內的第二半導體區域的暴露表面;執行氧化製程以氧化第一開口內的第一半導體區域的暴露表面;執行移除製程以移除遮罩;以及執行選擇性磊晶沉積製程,以在第二半導體區域的暴露表面上磊晶地形成接觸層。
本文描述的實施例提供了用於形成觸點的方法及系統,該觸點包括在用於形成CMOS元件的結構的所選部分處(例如,在矽或鍺矽的層的暴露表面上)的含矽材料(例如,硼摻雜的p型鍺矽或磷摻雜的n型矽)的磊晶層。該等方法及系統可特別用於在具有包括矽的區域、包括鍺矽的區域以及其上方形成的介電層的半導體結構中,在介電層中形成的開口或特徵(例如,接觸溝槽)內的鍺矽材料的暴露表面上選擇性地形成包括鍺矽的磊晶層。不同於用於形成觸點的需要形成硬遮罩的習知製程及各種蝕刻及圖案化製程步驟,該等製程步驟往往會損壞所製造的半導體結構(例如,間隔件,閘極蓋等),本文描述的製程經配置為在不損壞此等先前形成的半導體結構之情況下形成觸點。
第1圖係根據本案的一或多個實施例的多腔室處理系統100的示意性俯視圖。處理系統100通常包括工廠介面102,裝載閘腔室104、106,具有相應移送機器人112、114的移送腔室108、110,固持腔室116、118,及處理腔室120、122、124、126、128、130。如本文詳述,在處理系統100中的基板可以在各個腔室中處理並且在各個腔室之間移送,而不將基板暴露於處理系統100外部的周圍環境(例如,諸如可在晶圓廠中存在的大氣周圍環境)。例如,基板可以在維持於低壓(例如,小於或等於約300托)或真空環境下的各個腔室中處理並且在各個腔室之間移送,而不破壞在處理系統100中的基板上執行的各個製程之中的低壓或真空環境。由此,處理系統100可提供用於基板的一些處理的整合解決方案。
可根據本文提供的教示適當地修改的處理系統的實例包括Endura ®、Producer ®或Centura ®整合處理系統或從位於加州聖大克勞拉市的Applied Materials, Inc.商業獲得的其他適當處理系統。將預期,其他處理系統(包括來自其他製造商的彼等者)可適於從本文描述的態樣獲益。
在第1圖示出的實例中,工廠介面102包括對接站132及工廠介面機器人134以促進基板的移送。對接站132適於接受一或多個前開式晶圓傳送盒(front opening unified pod; FOUP) 136。在一些實例中,每個工廠介面機器人134通常包括在相應工廠介面機器人134的一端上設置的葉片138,該葉片適於將基板從工廠介面102移送到裝載閘腔室104、106。
裝載閘腔室104、106具有耦接到工廠介面102的相應埠140、142及耦接到移送腔室108的相應埠144、146。移送腔室108進一步具有耦接到固持腔室116、118的相應埠148、150及耦接到處理腔室120、122的相應埠152、154。類似地,移送腔室110具有耦接到固持腔室116、118的相應埠156、158及耦接到處理腔室124、126、128、130的相應埠160、162、164、166。埠144、146、148、150、152、154、156、158、160、162、164、166可為例如具有狹縫閥的狹縫閥開口,該等狹縫閥用於藉由移送機器人112、114穿過其傳遞基板並且用於在相應腔室之間提供密封以防止氣體在相應腔室之間傳遞。通常,打開任何埠用於穿過其移送基板。否則,關閉埠。
裝載閘腔室104、106,移送腔室108、110,固持腔室116、118,及處理腔室120、122、124、126、128、130可流體耦接到氣體及壓力控制系統(未具體示出)。氣體及壓力控制系統可以包括一或多個氣體泵(例如,渦輪泵、低溫泵、低真空泵)、氣體源、各個閥以及流體耦接到各個腔室的導管。在操作中,工廠介面機器人134將基板從FOUP 136穿過埠140或142移送到裝載閘腔室104或106。氣體及壓力控制系統隨後抽空裝載閘腔室104或106。氣體及壓力控制系統進一步將移送腔室108、110及固持腔室116、118維持為具有內部低壓或真空環境(其可包括惰性氣體)。因此,抽空裝載閘腔室104或106促進在例如工廠介面102的大氣環境與移送腔室108的低壓或真空環境之間傳遞基板。
利用已經抽空的裝載閘腔室104或106中的基板,移送機器人112將基板穿過埠144或146從裝載閘腔室104或106移送到移送腔室108中。移送機器人112隨後能夠穿過相應埠152、154將基板移送到處理腔室120、122的任一者及/或在該等處理腔室的任一者之間移送以用於處理,並且穿過相應埠148、150移送到固持腔室116、118及/或在該等固持腔室之間移送以用於固持來等待進一步移送。類似地,移送機器人114能夠穿過埠156或158在固持腔室116或118中存取基板,並且能夠穿過相應埠160、162、164、166將基板移送到處理腔室124、126、128、130的任一者及/或在該等處理腔室的任一者之間移送以用於處理,並且穿過相應埠156、158移送到固持腔室116、118及/或在該等固持腔室之間移送以用於固持來等待進一步移送。在各個腔室內及之中移送及固持基板可以處於藉由氣體及壓力控制系統提供的低壓或真空環境中。
處理腔室120、122、124、126、128、130可為用於處理基板的任何適當腔室。在一些實例中,處理腔室120可以能夠執行蝕刻製程,處理腔室122可以能夠執行清潔製程,處理腔室124可以能夠執行選擇性移除製程,並且處理腔室126、128、130可以能夠執行相應磊晶生長製程。處理腔室120可為可獲自Santa Clara, Calif的Applied Materials的Selectra™蝕刻腔室。處理腔室122可為可獲自Santa Clara, Calif的Applied Materials的SiCoNi™預清潔腔室。處理腔室126、128或130可為可獲自Santa Clara, Calif的Applied Materials的Centura™ Epi腔室。
系統控制器168耦接到處理系統100用於控制處理系統100或其部件。例如,系統控制器168可使用對處理系統100的腔室104、106、108、110、116、118、120、122、124、126、128、130的直接控制或藉由控制與腔室104、106、108、110、116、118、120、122、124、126、128、130相關聯的控制器來控制處理系統100的操作。在操作中,系統控制器168實現來自相應腔室的資料收集及反饋以協調處理系統100的效能。
系統控制器168通常包括中央處理單元(CPU) 170、記憶體172以及支援電路174。CPU 170可為任何形式的通用處理器的一者,該通用處理器可以在工業設置中使用。記憶體172或非暫時性電腦可讀取媒體係可藉由CPU 170存取的並且可為一或多個記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位儲存器(本端或遠端)。支援電路174耦接到CPU 170並且可包含快取記憶體、時鐘電路、輸入/輸出子系統、電源供應器以及類似者。本文揭示的各種方法可通常在CPU 170的控制下藉由CPU 170執行在記憶體172中(或在特定處理腔室的記憶體中)儲存的電腦指令代碼(例如,作為軟體常式)來實施。當電腦指令代碼藉由CPU 170執行時,CPU 170控制腔室以根據各種方法執行製程。
其他處理系統可以處於其他配置。例如,更多或更少的處理腔室可耦接到移送設備。在示出的實例中,移送設備包括移送腔室108、110及固持腔室116、118。在其他實例中,更多或更少的移送腔室(例如,一個移送腔室)及/或更多或更少的固持腔室(例如,無固持腔室)可實施為處理系統中的移送設備。
第2A圖係根據一或多個實施例的適於執行如下文詳述的預清潔製程的處理腔室200的橫截面圖。處理腔室200可為第1圖所示的處理腔室122。第2B圖係第2A圖的處理腔室200的一部分的放大視圖。
處理腔室200可特別用於執行基於熱或電漿的清潔製程及/或電漿輔助的乾式蝕刻製程。處理腔室200包括腔室主體202、蓋組件204以及支撐組件206。蓋組件204在腔室主體202的上端處設置,並且支撐組件206至少部分設置在腔室主體202內。真空系統可以用於從處理腔室200中移除氣體。真空系統包括真空泵208,該真空泵耦接到在腔室主體202中設置的真空埠210。處理腔室200亦包括用於控制處理腔室200內的製程的控制器212。
蓋組件204包括適於將前驅物氣體及/或電漿提供到處理腔室200內的處理區域214的堆疊部件。第一板216耦接到第二板218。第三板220耦接到第二板218。蓋組件204可連接到電源(未圖示),用於將電漿供應到在蓋組件204中形成的錐形腔室222。蓋組件204亦可以連接到在蓋堆疊上游產生電漿的遠端電漿源224。遠端電漿空腔(例如,第2A圖至第2B圖中的處理區域214、第一板216以及第二板218)經由遠端電漿源224耦接到氣體源226(或氣體源226在無遠端電漿源224的情況下直接耦接到蓋組件204)。氣體源226可包括適於提供氦氣、氬氣或其他惰性氣體的氣體源。在一些配置中,藉由氣體源226提供的氣體可經激勵為電漿,該電漿藉由使用遠端電漿源224提供到蓋組件204。在替代實施例中,氣體源226可提供處理氣體,該等處理氣體可以在引入處理腔室200內設置的基板的表面之前藉由遠端電漿源224活化。參見第2B圖,錐形腔室222具有開口228,該開口允許所形成的電漿從遠端電漿源224流動到在蓋組件204的第四板232中形成的體積230。
在蓋組件204的一些配置中,電漿藉由應用從電漿源遞送的能量而在錐形腔室222內產生。在一個實例中,能量可以藉由偏置蓋組件204提供以將RF、VHF及/或UHF能量電容耦接到在錐形腔室222中定位的氣體。在蓋組件204的此配置中,遠端電漿源224可能不使用,或不安裝在蓋組件204內。
在第四板232中形成的中心導管234適於將從體積230提供的電漿產生物種穿過第五板236提供到在蓋組件204的第六板240中形成的混合腔室238。中心導管234穿過第五板236中的開口242與混合腔室238連通。開口242可具有小於、大於或與中心導管234的直徑相同的直徑。在第2B圖的實施例中,開口242具有與中心導管234相同的直徑。
第四板232亦包括適於將氣體提供到混合腔室238的入口244及246。入口244耦接到第一氣體源248並且入口246耦接到第二氣體源250。第一氣體源248及第二氣體源250可包括處理氣體以及用作載體氣體的惰性氣體,例如,惰性氣體,諸如氬氣及/或氦氣。第一氣體源248可包括氨氣(NH 3)以及氬氣(Ar)。第二氣體源250可含有含氟氣體、含氫氣體或含氟氣體與含氫氣體的組合。在一個實例中,第二氣體源250可含有氟化氫(HF)以及氬氣(Ar)。
如在第2B圖中示出,在一些配置中,入口244穿過圓柱形通道252(以陰影圖示)及在第五板236中形成的孔254耦接到混合腔室238。入口246穿過圓柱形通道256(以陰影圖示)及在第五板236中形成的孔258耦接到混合腔室238。在第五板236中形成的孔254、258的大小通常經調整為使得其等實現從其相應氣體源248、250提供的氣體到混合腔室238中的均勻流動。在一種配置中,孔258具有小於藉由在第四板232中形成的圓柱形通道256的相對側壁定義的開口寬度的直徑。孔258通常在圓柱形通道256的中心線的圓周周圍分佈以提供到混合腔室238中的均勻流體流動。在一種配置中,孔254具有小於藉由在第四板232中形成的圓柱形通道252的相對側壁定義的開口寬度的直徑。孔254通常在圓柱形通道252的中心線的圓周周圍分佈以提供到混合腔室238中的均勻流體流動。
入口244及246穿過第四板232橫向地提供相應的流體流動路徑,轉向第五板236並且穿透第五板236到混合腔室238。蓋組件204亦包括第七板或第一氣體分配器260,該第一氣體分配器可為氣體分配板,諸如噴淋頭,其中在蓋組件204中混合的各種氣體穿過其中形成的穿孔262流動。穿孔262與混合腔室238流體連通以提供從混合腔室238穿過第一氣體分配器260的流動路徑。返回參見第2A圖,阻擋板264及氣體分配板(諸如第二氣體分配器266,其可為氣體分配板,諸如噴淋頭)在蓋組件204之下設置。
替代地,不同的清潔製程可用於清潔基板表面。例如,含有氦氣(He)及氨氣(NH 3)的遠端電漿可穿過蓋組件204引入處理腔室200中,同時氨氣(NH 3)可經由分離的氣體入口268直接注入處理腔室200中,該氣體入口在腔室主體202的側面處設置並且耦接到氣體源(未圖示)。
支撐組件206可包括基板支撐件270以在處理期間支撐其上的基板272。基板支撐件270可藉由軸件276耦接到致動器274,該軸件延伸穿過在腔室主體202的底部中形成的中心定位開口。致動器274可藉由波紋管(未圖示)撓性地密封到腔室主體202,該等波紋管防止在軸件276周圍的真空洩漏。致動器274允許基板支撐件270在處理位置與裝載位置之間在腔室主體202內垂直地移動。裝載位置略微低於在腔室主體202的側壁中形成的隧道(未圖示)的開口。
基板支撐件270具有平坦或實質上平坦的基板支撐表面,用於支撐將在其上處理的基板272。基板支撐件270可藉由致動器274在腔室主體202內垂直地移動,該致動器藉由軸件276耦接到基板支撐件270。針對一些處理操作,基板支撐件270可提升到緊密靠近蓋組件204的位置以控制所處理的基板272的溫度。因此,基板272可經由從第二氣體分配器266發射的輻射或另一輻射源或藉由從第二氣體分配器266穿過中間氣體的對流或傳導來加熱。在一些處理步驟中,基板可在升舉銷278上設置以執行額外的熱處理操作,諸如執行退火步驟。
第3圖係根據一或多個實施例的適於執行如下文詳述的磊晶(Epi)沉積製程的處理腔室300的橫截面圖。處理腔室300可為第1圖所示的處理腔室126、128或130。
處理腔室300包括由耐處理材料製成的外殼結構302,諸如鋁或不鏽鋼,例如316L不鏽鋼。外殼結構302封閉處理腔室300的各個功能元件,諸如石英腔室304,該石英腔室包括上部石英腔室306及下部石英腔室308,其中含有處理體積310。反應物種藉由氣體分配組件312提供到石英腔室304,並且處理副產物藉由出口埠314從處理體積310移除,該出口埠通常與真空源(未圖示)連通。
基板支撐件316適於接收移送到處理體積310的基板318。基板支撐件316沿著處理腔室300的縱軸320設置。基板支撐件316可由用矽材料(諸如碳化矽)塗佈的陶瓷材料或石墨材料或其他耐處理材料製成。來自前驅物反應材料的反應物種經施加到基板318的表面322,並且副產物可後續從基板318的表面322移除。基板318及/或處理體積310的加熱可藉由輻射源提供,諸如上部燈模組324A及下部燈模組324B。
在一個實施例中,上部燈模組324A及下部燈模組324B係紅外(IR)燈。來自燈模組324A及324B的非熱能行進穿過上部石英腔室306的上部石英窗326,並且穿過下部石英腔室308的下部石英窗328。用於上部石英窗306的冷卻氣體(若需要)穿過入口330進入並且穿過出口332離開。前驅物反應物材料以及用於處理腔室300的稀釋劑、淨化及排放氣體,穿過氣體分配組件312進入並且穿過出口埠314離開。儘管將上部石英窗326圖示為彎曲或凸起的,但上部石英窗326亦可為平面或凹入的,由於在上部石英窗326的兩個側面上的壓力實質上相同(亦即,大氣壓)。
用於激勵反應物種並且輔助從基板318的表面322吸附反應物及解吸附處理副產物的在處理體積310中的低波長輻射通常範圍從約0.8 μm至約1.2 μm,例如,在約0.95 μm至約1.05 μm之間變化,其中提供各種波長的組合例如取決於正磊晶生長的膜的組成。
組成氣體經由氣體分配組件312進入處理體積310。如通常藉由流動路徑334圖示,氣體從氣體分配組件312流動並且穿過出口埠314離開。用於清潔/鈍化基板表面或形成正磊晶生長的含矽及/或含鍺膜的組成氣體的組合通常在進入處理氣體310中之前混合。在處理體積310中的總壓力可藉由出口埠314上的閥(未圖示)調整。處理體積310的內表面的至少一部分藉由襯墊336覆蓋。在一個實施例中,襯墊336包含不透明的石英材料。以此方式,腔室壁與處理體積310中的熱絕緣。
在處理體積310中的表面的溫度可藉由流動冷卻氣體結合來自在上部石英窗326之上定位的上部燈模組324A的輻射來控制在約200℃至約600℃或更大的溫度範圍內,該冷卻氣體穿過入口330進入並且穿過出口332離開。藉由調整未圖示的鼓風機單元的速度,並且藉由來自在下部石英腔室308之下設置的下部燈模組324B的輻射,在下部石英腔室308中的溫度可控制在約200℃至約600℃或更大的溫度範圍內。處理體積310中的壓力可在約0.1 Torr至約600 Torr之間,諸如在約5 Torr至約30 Torr之間。
在基板318的表面322上的溫度可藉由對下部石英腔室308中的下部燈模組324B的電力調整來控制,或藉由對覆蓋上部石英窗326的上部燈模組324A以及下部石英腔室308中的下部燈模組324B兩者的電力調整來控制。在處理體積310中的電力密度可在約40 W/cm 2至約400 W/cm 2之間,諸如約80 W/cm 2至約120 W/cm 2
在一個態樣中,氣體分配組件312與處理腔室300或基板318的縱軸320正交地設置,或相對於處理腔室300或基板318的縱軸320在徑向方向338上設置。在此定向中,氣體分配組件312適於跨過或平行於基板318的表面322在徑向方向338上流動處理氣體。在一個處理應用中,處理氣體在到處理腔室300的引入點處預熱,以在引入處理體積310之前起始氣體的預熱及/或破壞氣體中的特定鍵。以此方式,表面反應動力學可獨立於基板318的熱溫度修改。
在操作中,用於形成矽(Si)及鍺矽(SiGe)毯覆層或選擇性磊晶膜的前驅物從一或多個氣體源340A及340B提供到氣體分配組件312。IR燈342(在第3圖中僅圖示一個)可用於加熱氣體分配組件312內以及沿著流動路徑334的前驅物。氣體源340A、340B可以適於促進氣體分配組件312內的引入區域(諸如當從頂部平面視圖觀察時徑向外部區域及在外部區域之間的徑向內部區域)的方式耦接氣體分配組件312。氣體源340A、340B可包括閥(未圖示)以控制引入區域中的速率。
氣體源340A、340B可包括矽前驅物,諸如矽烷,包括矽烷(SiH 4)、二矽烷(Si 2H 6)、二氯矽烷(SiH 2Cl 2)、六氯二矽烷(Si 2Cl 6)、二溴矽烷(SiH 2Br 2)、較高階矽烷、其衍生物以及前述矽前驅物的組合。氣體源340A、340B亦可包括含鍺前驅物,諸如鍺烷(GeH 4)、二鍺烷(Ge 2H 6)、四氯化鍺(GeCl 4)、二氯鍺烷(GeH 2Cl 2)、前述鍺前驅物的衍生物以及前述鍺前驅物的組合。含矽及/或鍺的前驅物可結合氯化氫(HCl)、氯氣(Cl 2)、溴化氫(HBr)以及前述的組合使用。氣體源340A、340B可包括在氣體源340A、340B的一個或兩個中含矽及鍺的前驅物中的一或多個。
處於此激發狀態的前驅物材料穿過穿孔板346中的開口或孔344(在第3圖中僅圖示一個)進入處理體積310,在一個實施例中,該穿孔板係具有穿過其形成的孔344的石英材料。穿孔板346對IR能量透明,並且可由透明石英材料製成。在其他實施例中,穿孔板346可為對IR能量透明並且對處理化學物質及其他處理化學物質有抗性的任何材料。激勵的前驅物材料穿過穿孔板346中的孔344並且穿過通道348(在第3圖中僅圖示一個)朝向處理體積310流動。來自IR燈342的光子及非熱能的一部分亦經過孔344、穿孔板346以及通道348,該部分藉由在氣體分配組件312的內表面上設置的反射材料及/或表面促進,藉此照亮前驅物材料的流動路徑334。以此方式,前驅物材料的振動能可沿著流動路徑從引入點維持到處理體積310。
第4圖係根據一或多個實施例的適於執行如下文詳述的再結晶退火或氧化製程的處理系統400的橫截面圖。處理系統400可為快速熱處理(RTP)設備,諸如但不限於可獲自位於加州聖大克勞拉市的Applied Materials, Inc.的RTP CENTURA®。其他類型的熱反應器,諸如可獲自位於加州聖大克勞拉市的Applied Materials, Inc.的EPI CENTURA®,可取代RTP設備。亦可利用其他適當的電漿反應器,包括可獲自位於加州聖大克勞拉市的Applied Materials, Inc.的遠端電漿氧化(RPO)反應器。
處理系統400包括熱處理腔室402及前驅物活化器404,該前驅物活化器耦接到熱處理腔室402並且用於將電漿的自由基遠端地提供到熱處理腔室402的處理區域406。前驅物活化器404亦可以用於提供活化的電漿氣體混合物,例如,藉由將能量施加到構成具有可忽略不計的離子的富含自由基的混合物的氣體。處理區域406藉由一或多個側壁408(例如,四個側壁)及基底410封閉。側壁408的上部可密封到窗組件412(例如,使用「O」形環)。輻射能組件414在窗組件412上方定位並且耦接到該窗組件。輻射能組件414具有複數個燈416,該等燈可為鎢鹵素燈,各自安裝到基座418中並且經定位以將電磁輻射發射到處理區域406中。第4圖的窗組件412具有複數個光管420,但窗組件412僅可具有平坦、實體窗,而沒有光管。窗組件412具有形成輪緣的外壁422(例如,圓柱形外壁),該輪緣圍繞窗組件412的圓周封閉該窗組件。窗組件412亦具有覆蓋複數個光管420的第一端的第一窗424以及覆蓋複數個光管420與第一端相對的第二端的第二窗426。第一窗424及第二窗426延伸到窗組件412的外壁422並且與之接合,以封閉並且密封包括複數個光管420的窗組件412的內部。在此種情況下,當使用光管時,真空可以藉由穿過導管428(穿過外壁422)向複數個光管420之一施加真空來在複數個光管420中產生,該等光管繼而流體連接到光管的剩餘部分。
基板W在熱處理腔室402中藉由處理區域406內的支撐環430支撐。支撐環430安裝在可旋轉圓柱體432上。藉由旋轉可旋轉圓柱體432,導致支撐環430及基板W在處理期間旋轉。熱處理腔室402的基底410具有反射表面434,用於在處理期間將能量反射到基板W的背側上。或者,分離的反射器(未圖示)可以在熱處理腔室402的基底410與支撐環430之間定位。熱處理腔室402可包括穿過熱處理腔室402的基底410設置的複數個溫度探針436以偵測基板W的溫度。在使用分離的反射器的情況下,如上文描述,溫度探針436亦穿過分離的反射器設置,用於光學獲取來自基板W的電磁輻射。
可旋轉圓柱體432藉由磁轉子438支撐,該磁轉子係具有凸緣440的圓柱形構件,當兩種構件均安裝在熱處理腔室402中時可旋轉圓柱體432擱置在該凸緣上。磁轉子438在凸緣440之下的磁體區域442中具有複數個磁體。磁轉子438在環形阱444中設置,該環形阱沿著基底410位於熱處理腔室402的周邊區域處。蓋446擱置在基底410的周邊部分上並且在環形阱444上方朝向可旋轉圓柱體432及支撐環430延伸,從而在蓋446與可旋轉圓柱體432及/或支撐環430之間留下容差間隙。蓋446通常保護磁轉子438而不暴露於處理區域406中的處理條件。
磁轉子438藉由來自基底410周圍設置的磁定子448的磁能旋轉。磁定子448具有複數個電磁體450,在基板W的處理期間,根據旋轉模式為該等電磁體供電以形成提供磁能以旋轉磁轉子438的旋轉磁場。磁定子448藉由支撐件454耦接到線性致動器452。操作線性致動器452沿著熱處理腔室402的軸456移動磁定子448,此繼而沿著軸456移動磁轉子438、可旋轉圓柱體432、支撐環430以及基板W。
將處理氣體穿過腔室入口458提供到熱處理腔室402,並且穿過從頁面向外定向的腔室出口排放,且通常沿著與腔室入口458及支撐環430(第4圖中未圖示)相同的平面。基板穿過在側壁408中形成並且在第4圖後面圖示的進入埠460進入並且離開熱處理腔室402。
前驅物活化器404具有圍繞內部空間464的主體462,其中可以形成離子、自由基以及電子的電漿466。由石英或藍寶石製成的襯墊468保護主體462不受電漿的化學侵蝕。內部空間464較佳地不存在有可能吸引帶電粒子(例如,離子)的任何電位梯度。氣體入口470在主體462的第一端472處並且與氣體出口474相對地設置,該氣體出口位於主體462的第二端476處。當前驅物活化器404耦接到熱處理腔室402時,氣體出口474穿過到腔室入口458的遞送線478與熱處理腔室402流體連通,使得將在內部空間464內產生的電漿466的自由基供應到熱處理腔室402的處理區域406。氣體出口474可具有與氣體入口470相比較大的直徑以允許激發的自由基以靶向流動速率有效地放電,並且最小化在自由基與襯墊468之間的接觸。若靶向,分離的孔口可插入氣體出口474處的襯墊468內以減小氣體出口474處的內部空間464的內部尺寸。氣體出口474(或孔口,若使用)的直徑可以經選擇以提供在處理區域406與前驅物活化器404之間的壓力差。壓力差可經選擇以產生流動到熱處理腔室402中的離子、自由基以及分子的組成物,該組成物適於正在熱處理腔室402中執行的製程。
為了提供用於電漿處理的氣體,第一氣體源480經由四通閥482的第一輸入以及用於控制從第一氣體源480釋放的氣體的流動速率的閥484耦接到氣體入口470。四通閥482的第二輸入可耦接到第二氣體源486。四通閥的第三輸入可耦接到第三氣體源488。第一氣體源480、第二氣體源486以及第三氣體源488的每一者可為或包括下列中的一或多者:含氮氣體、含氧氣體、含矽氣體、含氫氣體或形成電漿的氣體,諸如氬氣或氦氣。流量控制器490連接到四通閥482以在其不同位置之間切換閥,取決於將執行哪個製程。流量控制器490亦控制四通閥482的切換。
在一些實施方式中,第二氫氣源(未圖示)與熱處理腔室402流體耦接。第二氫氣源將氫氣遞送到處理區域406,其中氫氣藉由遠端電漿活化,該遠端電漿包含從前驅物活化器404遞送到處理區域406的氧氣及氬氣。在其中靶向高百分比的氫氣的一些實施方式中,氫氣可穿過第三氣體源488及第二氫氣源兩者供應到處理區域406。
在一些實施方式中,第二氬氣源(未圖示)與熱處理腔室402耦接。第二氬氣源將氬氣遞送到處理區域406,其中氬氣藉由從前驅物活化器404遞送到處理區域406的遠端電漿活化。在其中靶向高百分比的氬氣的一些實施方式中,氬氣可穿過第二氣體源486及第二氬氣源兩者供應到處理區域406。
第5圖描繪了根據本案的第一實施例的在半導體結構600中形成接觸層的方法500的製程流程圖。第6A圖、第6B圖、第6C圖、第6D圖、第6E圖、第6F圖、第6G圖以及第6H圖係對應於方法500的各個狀態的半導體結構600的一部分的橫截面圖。應當理解,第6A圖、第6B圖、第6C圖、第6D圖、第6E圖、第6F圖、第6G圖以及第6H圖僅示出了半導體結構600的部分示意圖,並且半導體結構600可含有任何數量的電晶體區段及具有如圖式中示出的態樣的額外材料。亦應當注意,儘管相繼描述了第5圖中示出的方法,包括已經省略及/或添加的一或多個操作及/或已經以另一期望次序重新佈置的其他製程序列落入本文提供的揭示內容的實施例的範疇內。
參見第6A圖、第6B圖、第6C圖、第6D圖、第6E圖、第6F圖、第6G圖以及第6H圖,半導體結構600可包括在基板(未圖示)上形成的第一電晶體元件602及第二電晶體元件604。
如本文使用,術語「基板」指用作後續處理操作的基礎並且包括待清潔表面的材料層。基板可為基於矽的材料或所需要的任何適當絕緣材料或導電材料。基板可包括諸如以下各者的材料,結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、鍺矽、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓及圖案化或非圖案化的晶圓、絕緣體上矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃或藍寶石。
如第6A圖所示,在基板上形成的複數個第一電晶體元件中的第一電晶體元件602的一部分包括由第一材料形成的第一半導體區域606。在基板上形成的複數個第二電晶體元件中的第二電晶體元件604的一部分包括由第二材料形成的第二半導體區域608。第一及第二材料包括具有不同組成的材料,使得第二材料可以相對於第一材料被選擇性蝕刻(亦即,第二材料的蝕刻速率高於第一材料的蝕刻速率)。第二材料的蝕刻選擇性(亦即,第二材料的蝕刻速率與第一材料的蝕刻速率的比率)在約10:1至500:1之間。第一材料及第二材料的示例組合分別包括矽(Si)/鍺矽(SiGe)、鍺(Ge)/鍺矽(SiGe)或矽(Si)/鍺錫(GeSn)。
第一半導體區域606可用n型摻雜劑摻雜,諸如磷(P)、銻(Sb),濃度在約10 20cm -3與5x10 21cm -3之間,取決於第一電晶體元件602的期望的導電特性。第二半導體區域608可用p型摻雜劑摻雜,諸如硼(B)或鎵(Ga),濃度在約10 20cm -3與約5x10 21cm -3之間,取決於第二電晶體元件604的期望的導電特性。
半導體結構600進一步包括介電層610,該介電層具有在第一半導體區域606的每一者上方形成的第一開口612及在第二半導體區域608的每一者上方形成的第二開口614。介電層610可由介電材料形成,諸如二氧化矽(SiO 2)或氮化矽(Si 3N 4)。
第一半導體區域606及第二半導體區域608可使用任何適當的沉積技術形成,諸如磊晶(Epi)沉積、化學氣相沉積(CVD)、原子層沉積(ALD)或物理氣相沉積(PVD),並且開口612及614藉由圖案化技術形成,諸如微影及蝕刻製程。
方法500開始於方塊510中的預清潔製程。預清潔製程可在處理腔室中執行,諸如第1圖所示的處理腔室122或第2圖所示的處理腔室200。
預清潔製程經配置為移除污染物(諸如天然氧化物層)或在第一開口612內的第一半導體區域606的暴露表面及第二開口614內的第二半導體區域608的暴露表面上形成的圖案化殘留物(例如,氟化碳)。預清潔製程用於製備在第一開口612內的第一半導體區域606的暴露表面及在第二開口614內的第二半導體區域608的暴露表面,磊晶層可以在後續磊晶沉積製程中形成於該等暴露表面上。
預清潔製程可包括使用由包括氬氣(Ar)、氦氣(He)或氬氣與氦氣的組合的氣體形成的電漿的各向異性遠端電漿輔助的乾式蝕刻製程,諸如反應性離子蝕刻(RIE)製程。電漿流出物定向地撞擊及移除第一開口612及第二開口614內剩餘的介電層。
預清潔製程可包括使用由氣體(包括氨氣(NH 3)、三氟化氮(NF 3)、氟化氫(HF)或前述氣體的組合)形成的電漿及載體氣體(諸如氮氣(N 2)、氫氣(H 2)或其前述氣體的組合)的各向同性電漿蝕刻製程,諸如SiCoNi™乾式化學蝕刻製程。乾式化學蝕刻製程對氧化物層具有選擇性,並且因此不容易蝕刻矽、鍺或氮化物層,而與層係非晶、結晶或多晶的無關。用於氧化物的乾式化學蝕刻製程對矽或鍺的選擇性係至少約3:1,並且通常為5:1或更佳,有時為10:1。與氮化物相比,乾式化學蝕刻製程亦具有對氧化物的高度選擇性。乾式化學蝕刻製程對氮化物的選擇性係至少約3:1,通常為5:1或更佳,有時為10:1。
預清潔製程可包括感應耦合電漿(ICP)蝕刻製程,該製程使用由包括氯氣(Cl 2)及氫氣(H 2)的氣體形成的電漿以及包括氬氣(Ar)及氦氣(He)的載體氣體。ICP蝕刻製程用於在矽中形成具有平滑側壁的深脊部。
在方塊520中,執行圖案化製程以在第二半導體區域608上方形成遮罩620,以便覆蓋第二開口614內的第二半導體區域608的暴露表面,如第6B圖所示。圖案化製程可使用習知的光微影圖案化製程執行。
遮罩620可使用平坦化填充製程(例如,旋塗)沉積到半導體結構600的暴露表面上,並且後續藉由適當的微影及蝕刻製程圖案化。遮罩620可由有機介電層(ODL)、矽抗反射塗層(SiARC)或光阻劑形成。
在方塊530中,執行非晶化離子佈植製程以非晶化第一開口612內的第一半導體區域606的暴露表面,如第6C圖所示。第一半導體區域606的非晶化表面616可具有在約100 Å與150 Å之間的深度。
在方塊530中的非晶化離子佈植製程藉由將鍺(Ge)、磷(P)及/或鑭(La)的離子導引至半導體結構600的表面來執行,該等離子加速到在約1 keV與約5 keV之間的能量,劑量為5x10 14cm -3及5x10 15cm -3
在方塊540中,執行移除製程以移除遮罩620,如第6D圖所示。移除製程可為在處理腔室中執行的電漿灰化製程,諸如第1圖所示的處理腔室122或第2圖所示的處理腔室200。
電漿灰化製程可以使用由包括氧氣(O 2)的氣體形成的電漿。灰化製程可以使用濕式清潔製程,該濕式清潔製程使用溶液,諸如硫酸(H 2SO 4)及過氧化氫(H 2O 2)的混合物,以移除半導體結構600上的遮罩620的殘留物。
在方塊550中,執行選擇性磊晶沉積製程以在第二開口614內的第二半導體區域608的暴露表面上形成接觸層618,如第6E圖所示。選擇性磊晶沉積製程可在處理腔室中執行,諸如第1圖所示的處理腔室126、128或130,或第3圖所示的處理腔室300。
接觸層618形成為在第二半導體區域608與將在第二開口614內形成的金屬接觸插塞之間的界面,以最小化寄生電阻。接觸層618由第三材料形成。第三材料的實例包括鍺矽(SiGe),其中鍺(Ge)的比率在20%與100%之間範圍變化。接觸層618可用p型摻雜劑摻雜,諸如硼(B)或鎵(Ga),濃度在約10 20cm -3與5x10 21cm -3之間,取決於接觸層618的期望的導電特性。
在一些實施例中,選擇性磊晶沉積製程包括第一沉積製程及第一蝕刻製程。第一沉積製程係磊晶沉積製程。選擇性磊晶沉積製程的選擇性可源自在第二半導體區域608(例如,鍺矽(SiGe))上與在第一半導體區域606(例如,矽(Si))的非晶化表面616及介電層610(例如,二氧化矽(SiO 2)或氮化矽(Si 3N 4))的暴露表面上的第三材料的成核的差異。與在第一半導體區域606(例如,矽(Si))的非晶化表面616及介電層610(例如,二氧化矽(SiO 2)或氮化矽(Si 3N 4))的暴露表面上相比,成核可在第二半導體區域608(例如,鍺矽(SiGe))上以較快速率發生,並且因此當半導體結構600暴露於第一沉積製程中的沉積氣體時,第三材料的磊晶層可在第二半導體區域608(例如,矽(Si)或鍺矽(SiGe))的暴露表面上選擇性形成,而第三材料的非晶層可在第一半導體區域606(例如,矽(Si))的非晶化表面616及介電層610(例如,二氧化矽(SiO 2)或氮化矽(Si 3N 4))的暴露表面上形成。在後續的第一蝕刻製程中,藉由適當的蝕刻氣體,與在第二半導體區域608的暴露表面上形成的第三材料的磊晶層相比,在第一半導體區域606(例如,矽(Si))的非晶化表面616及介電層610的暴露表面上形成的第三材料的非晶層可以較快速率蝕刻。因此,所組合的第一沉積製程及第一蝕刻製程的總結果可為在第二半導體區域608的暴露表面上磊晶生長第三材料,同時最小化第三材料在第一半導體區域606(例如,矽(Si))的非晶化表面616及介電層610的暴露表面上的生長(若有)。
在一些實施例中,沉積氣體包括含矽前驅物、含鍺前驅物以及摻雜劑源。含矽前驅物可包括矽烷(SiH 4)、二矽烷(Si 2H 6)、四矽烷(Si 4H 10)或前述含矽前驅物的組合。含鍺前驅物可包括鍺烷(GeH 4)、四氯化鍺(GeCl 4)及二鍺烷(Ge 2H 6)。摻雜劑源可包括例如硼或鎵,取決於接觸層618的期望的導電特性。摻雜劑源可包括前驅物二硼烷(B 2H 6)。蝕刻氣體包括蝕刻劑氣體及載體氣體。蝕刻劑氣體可包括含鹵素氣體,諸如氯化氫(HCl)、氯氣(Cl 2)或氟化氫(HF)。載體氣體可包括氮氣(N 2)、氬氣(Ar)、氦氣(He)或氫氣(H 2)。
第一沉積製程及第一蝕刻製程可在小於約450℃的低溫下並且在5 Torr與600 Torr之間的壓力下執行。
第一沉積及第二蝕刻製程的循環可按需要重複以獲得期望厚度的接觸層618。接觸層618的厚度可在約30 Å與約100 Å之間。
在方塊560中,執行再結晶退火製程以再結晶第一半導體區域606的非晶化表面616,如第6F圖所示。再結晶退火製程可為使用快速熱處理(RTP)設備的尖峰退火製程,諸如第4圖所示的處理系統400。
再結晶退火製程亦可活化接觸層618中的摻雜劑(例如,p型摻雜劑,諸如硼(B)或鎵(Ga))。尖峰退火製程可處於約攝氏900度至約攝氏1100度的溫度(諸如攝氏1000度)下達約1秒至約5秒的時間段。
在方塊570中,執行第二沉積製程以在第一半導體區域606的暴露表面及接觸層618上形成金屬層622,如第6G圖所示。第二沉積製程可在處理腔室中執行,諸如第1圖所示的處理腔室126、128或130,或第3圖所示的處理腔室300。
金屬層622接觸接觸層618並且提供在將在第二開口614內形成的接觸插塞與第二半導體區域608之間的電氣連接,同時維持穿過其的電氣連接。金屬層622可由金屬材料形成,諸如鈦(Ti)、鈷(Co)、鎳(Ni)、鉬(Mo)或鉭(Ta)或前述金屬材料的矽化物。
在一些實施例中,金屬源可包括前驅物,該前驅物包括鈦(Ti)、鉭(Ta)、鈷(Co)、鎳(Ni)或鉬(Mo)或前述前驅物的組合。第二沉積製程可各自在約300℃與約800℃之間的溫度下並且在1 Torr與50 Torr之間的壓力下執行。
在第二沉積製程中,阻障金屬層624亦可以在第一開口612及第二開口614的暴露的內表面以及介電層610的暴露表面上形成。阻障金屬層624保護金屬層622並且允許在第一開口612及第二開口614中成核及生長接觸插塞,如下文論述。阻障金屬層624可由阻障金屬材料形成,該阻障金屬材料係氮化鈦(TiN)或氮化鉭(TaN)。在一些實施例中,金屬層622係藉由使用尖峰退火製程由阻障金屬層624的一部分形成的矽化物層。在一些其他實施例中,金屬層622係藉由在形成阻障金屬層624之前執行的分離選擇性磊晶沉積製程形成的矽化物層。
在方塊570中執行的第二沉積製程可包括在約100℃與約300℃之間的溫度下在處理腔室(諸如第1圖所示的處理腔室126、128或130)中的任何適當的沉積製程,諸如原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)或類似者。
在方塊580中,執行金屬填充製程以在第一開口612中形成第一接觸插塞626並且在第二開口614中形成第二接觸插塞628,如第6H圖所示。第一接觸插塞626及第二接觸插塞628可由接觸插塞金屬材料形成,諸如鎢(W)、鈷(Co)、釕(Ru)或鉬(Mo)。第一接觸插塞626及第二接觸插塞628可包括具有期望工作函數的金屬。在方塊570中的金屬填充製程可包括在處理腔室(諸如第1圖所示的處理腔室126、128或130)中使用含鎢前驅物(諸如WF 6)或含鈷前驅物的化學氣相沉積(CVD)製程。
在金屬填充製程之後,半導體結構600可藉由使用化學機械平坦化(CMP)製程來平坦化。
第7圖描繪了根據本案的第二實施例的在半導體結構800中形成接觸層的方法700的製程流程圖。第8A圖、第8B圖、第8C圖、第8D圖、第8E圖、第8F圖以及第8G圖係對應於方法700的各個狀態的半導體結構800的一部分的橫截面圖。應當理解,第8A圖、第8B圖、第8C圖、第8D圖、第8E圖、第8F圖以及第8G圖僅示出了半導體結構800的部分示意圖,並且半導體結構800可含有任何數量的電晶體區段及具有如圖式中示出的態樣的額外材料。亦應當注意,儘管相繼描述了第7圖中示出的方法,包括已經省略及/或添加的一或多個操作及/或已經以另一期望次序重新佈置的其他製程序列落入本文提供的揭示內容的實施例的範疇內。在以下描述中,相同的元件符號用於實質上與第一實施例的彼等相同的部件,並且可省略重複部件的描述。
方法700開始於方塊710中的預清潔製程。方塊710中的預清潔製程通常與方塊510中的預清潔製程相同。
在方塊720中,執行非晶化離子佈植製程以非晶化在第一開口612內的第一半導體區域606的暴露表面及在第二開口614內的第二半導體區域608的暴露表面,如第8B圖所示。第一半導體區域606的非晶化表面616及第二半導體區域608的非晶化表面802可具有在約100 Å與150 Å之間的深度。方塊710中的非晶化離子佈植製程通常與方塊530中的非晶化離子佈植製程相同。
在方塊730中,執行可選的中間退火製程以部分再結晶第一半導體區域606的非晶化表面616及第二半導體區域608的非晶化表面802,如第8C圖所示。歸因於第一半導體區域606的第一材料(例如,矽(Si))及第二半導體區域608的第二材料(例如,鍺矽(SiGe))的再結晶速率的差異,第二半導體區域608的非晶化表面802可再結晶,而第一半導體區域606的非晶化表面616保持非晶形。
可選的中間退火製程可為使用快速熱處理(RTP)設備的尖峰退火製程,諸如第4圖所示的處理系統400。
尖峰退火製程可處於約攝氏900度至約攝氏1100度的溫度(諸如攝氏1000度)下達約1秒至約5秒的時間段。
在方塊740中,執行選擇性磊晶沉積製程以在第二開口614內的第二半導體區域608的暴露表面上形成接觸層618,如第8D圖所示。方塊740中的選擇性磊晶沉積製程通常與方塊550中的選擇性磊晶沉積製程相同。
方塊740中的選擇性磊晶沉積製程亦包括第一沉積製程及第一蝕刻製程。在第一蝕刻製程中,第二半導體區域608(例如,鍺矽(SiGe))的非晶化表面802(若保留在方塊760中或省略方塊760)可以與第一半導體區域606(例如,矽(Si))的非晶化表面616相比較快的速率蝕刻。因此,相對於第一半導體區域606的非晶化表面616的表面,第一沉積製程允許第三材料在第二開口614內的第二半導體區域608的表面上選擇性磊晶生長。因此,所組合的第一沉積製程及第一蝕刻製程的總結果可為在第二半導體區域608的暴露表面上磊晶生長第三材料,同時最小化第三材料在第一半導體區域606(例如,矽(Si))的非晶化表面616及介電層610的暴露表面上的生長(若有)。
在方塊750中,執行再結晶退火製程以再結晶第一半導體區域606的非晶化表面616,如第8E圖所示。方塊750中的再結晶退火製程通常與方塊560中的再結晶退火製程相同。
在方塊760中,執行第二沉積製程以在第一半導體區域606的暴露表面及接觸層618上形成金屬層,如第8F圖所示。方塊760中的第二沉積製程通常與方塊570中的第二沉積製程相同。
在方塊770中,執行金屬填充製程以在第一開口612中形成第一接觸插塞626並且在第二開口614中形成第二接觸插塞628,如第8G圖所示。方塊580中的金屬填充製程通常與方塊580中的金屬填充製程相同。
第9圖描繪了根據本案的第三實施例的在半導體結構1000中形成接觸層的方法900的製程流程圖。第10A圖、第10B圖、第10C圖、第10D圖、第10E圖、第10F圖、第10G圖以及第10H圖係對應於方法900的各個狀態的半導體結構1000的一部分的橫截面圖。應當理解,第10A圖、第10B圖、第10C圖、第10D圖、第10E圖、第10F圖、第10G圖以及第10H圖僅示出了半導體結構1000的部分示意圖,並且半導體結構1000可含有任何數量的電晶體區段及具有如圖式中示出的態樣的額外材料。亦應當注意,儘管相繼描述了第9圖中示出的方法,包括已經省略及/或添加的一或多個操作及/或已經以另一期望次序重新佈置的其他製程序列落入本文提供的揭示內容的實施例的範疇內。在以下描述中,相同的元件符號用於實質上與第一實施例的彼等相同的部件,並且可省略重複部件的描述。
方法900開始於方塊910中的預清潔製程。方塊910中的預清潔製程通常與方塊510中的預清潔製程相同。
在方塊920中,執行圖案化製程以在第二半導體區域608上方形成遮罩620,以便覆蓋第二開口614內的第二半導體區域608的暴露表面,如第10B圖所示。方塊920中的圖案化製程通常與方塊520中的圖案化製程相同。
在方塊930中,執行氧化製程以氧化第一開口612內的第一半導體區域606的暴露表面,如第10C圖所示。第一半導體區域606的氧化表面1002可具有在約10 Å與約50 Å之間的深度。
氧化製程可為處理系統中的直接電漿氧化製程,諸如第4圖所示的處理系統400。在直接電漿氧化製程中,將氧電漿離子導引至第一半導體區域606的表面。在一些實施例中,直接電漿氧化製程可使用氧化劑,包括氧氣(O 2)、氧化氮(NO)、氧化亞氮(N 2O)或類似者。此等可單獨使用或以其組合使用。另外,直接電漿氧化製程可使用產生電漿的源氣體,包括氦氣(He)、氬氣(Ar)及/或氙氣(Xe)等等。此等可單獨使用或以其組合使用。在一些實施例中,直接電漿氧化製程可允許在高於約400℃的溫度下的氧化反應以確保高品質的氧化矽。
在方塊940中,執行第一移除製程以移除遮罩620,如第10D圖所示。方塊940中的移除製程通常與方塊540中的移除製程相同。
在方塊950中,執行選擇性磊晶沉積製程以在第二開口614內的第二半導體區域608的暴露表面上形成接觸層618,如第10E圖所示。方塊940中的選擇性磊晶沉積製程通常與方塊550中的選擇性磊晶沉積製程相同。選擇性磊晶沉積製程的選擇性可源自在第二半導體區域608(例如,鍺矽(SiGe))上與在第一半導體區域606(例如,矽(Si))的氧化表面1002及介電層610(例如,二氧化矽(SiO 2)或氮化矽(Si 3N 4))的暴露表面上的第三材料的成核的差異。
在方塊960中,執行第二移除製程以移除第一半導體區域606(例如,矽(Si))的氧化表面1002,如第10F圖所示。
在方塊970中,執行第二沉積製程以在第一半導體區域606的暴露表面及第一開口612內的接觸層618上形成金屬層,如第10G圖所示。方塊960中的第二沉積製程通常與方塊570中的第二沉積製程相同。
在方塊980中,執行金屬填充製程以在第一開口612中形成第一接觸插塞626並且在第二開口614中形成第二接觸插塞628,如第10H圖所示。方塊580中的金屬填充製程通常與方塊580中的金屬填充製程相同。
本文描述的實施例提供了用於在電晶體結構的所選部分上的溝槽內形成接觸磊晶層的方法及系統。接觸溝槽結構包括在相鄰元件模組之間的溝槽內形成的金屬接觸插塞以及在元件模組中的接觸插塞與基於矽的通道之間介接的觸點。觸點藉由選擇性磊晶沉積製程形成,從而減小寄生電阻。金屬接觸插塞藉由沉積-蝕刻-沉積製程無空隙地形成,從而減小接觸電阻。接觸磊晶層可為在p型MOS元件(例如,鍺矽)的暴露表面上形成的p型鍺矽,而可能沒有磊晶層在n型MOS(例如,矽)或在p型MOS元件及n型MOS元件上方形成的介電層上形成。方法及系統不需要使用光遮罩圖案化磊晶層,並且因此減少對所製造的半導體結構的損壞。
儘管上述內容涉及本案的實施例,但可在不脫離本案基本範疇的情況下設計本案的其他及進一步實施例,並且其範疇由以下申請專利範圍決定。
100:多腔室處理系統 102:工廠介面 104:裝載閘腔室 106:裝載閘腔室 108:移送腔室 110:移送腔室 112:移送機器人 114:移送機器人 116:固持腔室 118:固持腔室 120:處理腔室 122:處理腔室 124:處理腔室 126:處理腔室 128:處理腔室 130:處理腔室 132:對接站 134:工廠介面機器人 136:前開式晶圓傳送盒(FOUP) 138:葉片 140:埠 142:埠 144:埠 146:埠 148:埠 150:埠 152:埠 154:埠 156:埠 158:埠 160:埠 162:埠 164:埠 166:埠 168:系統控制器 170:中央處理單元(CPU) 172:記憶體 174:支援電路 200:處理腔室 202:腔室主體 204:蓋組件 206:支撐組件 208:真空泵 210:真空埠 212:控制器 214:處理區域 216:第一板 218:第二板 220:第三板 222:錐形腔室 224:遠端電漿源 226:氣體源 228:開口 230:體積 232:第四板 234:中心導管 236:第五板 238:混合腔室 240:第六板 242:開口 244:入口 246:入口 248:第一氣體源 250:第二氣體源 252:圓柱形通道 254:孔 256:圓柱形通道 258:孔 260:第一氣體分配器 262:穿孔 264:阻擋板 266:第二氣體分配器 268:氣體入口 270:基板支撐件 272:基板 274:致動器 276:軸件 278:升舉銷 300:處理腔室 302:外殼結構 304:石英腔室 306:上部石英腔室 308:下部石英腔室 310:處理體積 312:氣體分配組件 314:出口埠 316:基板支撐件 318:基板 320:縱軸 322:表面 324A:上部燈模組 324B:下部燈模組 326:上部石英窗 328:下部石英窗 330:入口 332:出口 334:流動路徑 336:襯墊 338:徑向方向 340A:氣體源 340B:氣體源 342:IR燈 344:孔 346:穿孔板 348:通道 400:處理系統 402:熱處理腔室 404:前驅物活化器 406:處理區域 408:側壁 410:基底 412:窗組件 414:輻射能組件 416:燈 418:基座 420:光管 422:外壁 424:第一窗 426:第二窗 428:導管 430:支撐環 432:可旋轉圓柱體 434:反射表面 436:溫度探針 438:磁轉子 440:凸緣 442:磁體區域 444:環形阱 446:蓋 448:磁定子 450:電磁體 452:線性致動器 454:支撐件 458:腔室入口 460:進入埠 462:主體 464:內部空間 466:電漿 468:襯墊 470:氣體入口 472:第一端 474:氣體出口 476:第二端 478:遞送線 480:第一氣體源 482:四通閥 484:閥 486:第二氣體源 488:第三氣體源 490:流量控制器 500:方法 510:方塊 520:方塊 530:方塊 540:方塊 550:方塊 560:方塊 570:方塊 580:方塊 600:半導體結構 602:第一電晶體元件 604:第二電晶體元件 606:第一半導體區域 608:第二半導體區域 610:介電層 612:第一開口 614:第二開口 616:非晶化表面 618:接觸層 620:遮罩 622:金屬層 624:阻障金屬層 626:第一接觸插塞 628:第二接觸插塞 700:方法 710:方塊 720:方塊 730:方塊 740:方塊 750:方塊 760:方塊 770:方塊 800:半導體結構 802:非晶化表面 900:方法 910:方塊 920:方塊 930:方塊 940:方塊 950:方塊 960:方塊 970:方塊 980:方塊 1000:半導體結構 1002:氧化表面 W:基板
為了能夠詳細理解本案的上述特徵所用方式,可參考實施例獲得對上文簡要概述的本案的更特定描述,一些實施例在附圖中示出。然而,將注意,附圖僅示出本案的典型實施例,並且由此不被認為限制其範疇,因為本案可允許其他等同有效的實施例。
第1圖係根據本案的一或多個實施例的多腔室處理系統的示意性俯視圖。
第2A圖係根據一或多個實施例的處理腔室的橫截面圖。
第2B圖係第2A圖的處理腔室的一部分的放大視圖。
第3圖係根據一或多個實施例的處理腔室的橫截面圖。
第4圖係根據一或多個實施例的處理系統的橫截面圖。
第5圖描繪了根據本案的第一實施例的在半導體結構中形成接觸層的方法的製程流程圖。
第6A圖、第6B圖、第6C圖、第6D圖、第6E圖、第6F圖、第6G圖以及第6H圖係對應於第5圖的方法的各個狀態的半導體結構的一部分的橫截面圖。
第7圖描繪了根據本案的第二實施例的在半導體結構中形成接觸層的方法的製程流程圖。
第8A圖、第8B圖、第8C圖、第8D圖、第8E圖、第8F圖以及第8G圖係對應於第7圖的方法的各個狀態的半導體結構的一部分的橫截面圖。
第9圖描繪了根據本案的第三實施例的在半導體結構中形成接觸層的方法的製程流程圖。
第10A圖、第10B圖、第10C圖、第10D圖、第10E圖、第10F圖、第10G圖以及第10H圖係對應於第9圖的方法的各個狀態的半導體結構的一部分的橫截面圖。
為了便於理解,相同元件符號在可能的情況下已經用於標識圖中共有的相同元件。可以預期,一個實施例的元件及特徵可有利地併入其他實施例中,而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
600:半導體結構
602:第一電晶體元件
604:第二電晶體元件
606:第一半導體區域
608:第二半導體區域
610:介電層
612:第一開口
614:第二開口
616:非晶化表面
618:接觸層

Claims (20)

  1. 一種在一半導體結構中形成一電氣觸點的方法,包含: 執行一圖案化製程以在一半導體結構上形成一遮罩,該半導體結構包含一第一半導體區域、一第二半導體區域、具有在該第一半導體區域上方的一第一開口及在該第二半導體區域上方的一第二開口的一介電層,其中該遮罩覆蓋該第二開口內的該第二半導體區域的一暴露表面; 執行一非晶化離子佈植製程以非晶化該第一開口內的該第一半導體區域的一暴露表面; 執行一移除製程以移除該遮罩; 執行一選擇性磊晶沉積製程,以在該第二半導體區域的該暴露表面上磊晶地形成一接觸層;以及 執行一再結晶退火製程以再結晶該第一半導體區域的該非晶化表面。
  2. 如請求項1所述之方法,其中: 該第一半導體區域包含利用n型摻雜劑摻雜的矽, 該第二半導體區域包含利用p型摻雜劑摻雜的鍺矽,並且 該接觸層包含利用p型摻雜劑摻雜的鍺矽。
  3. 如請求項1所述之方法,其中: 該遮罩包含選自有機介電層、矽抗反射塗層以及光阻劑的材料。
  4. 如請求項1所述之方法,其中: 該移除製程包含一電漿灰化製程。
  5. 如請求項1所述之方法,進一步包含: 在該圖案化製程之前,在該第一半導體區域的該暴露表面及該第二半導體區域的該暴露表面上執行一預清潔製程。
  6. 如請求項1所述之方法,進一步包含: 在該再結晶退火製程之後,執行一沉積製程以在該第一半導體區域的該暴露表面及該第二半導體區域上形成的該接觸層的該暴露表面上形成一金屬層。
  7. 如請求項6所述之方法,其中: 該金屬層包含選自矽化鈦(Ti)、矽化鈷(Co)、矽化鎳(Ni)、矽化鉬(Mo)以及矽化鉭(Ta)的材料。
  8. 一種在一半導體結構中形成一電氣觸點的方法,包含: 在一半導體結構上執行一非晶化離子佈植製程,該半導體結構包含一第一半導體區域、一第二半導體區域、具有在該第一半導體區域上方的一第一開口及在該第二半導體區域上方的一第二開口的一介電層,以非晶化該第一開口內的該第一半導體區域的一暴露表面及該第二開口內的該第二半導體區域的一暴露表面; 執行一選擇性磊晶沉積製程,以在該第二半導體區域的該暴露表面上磊晶地形成一接觸層;以及 執行一再結晶退火製程以再結晶該第一半導體區域的該非晶化表面。
  9. 如請求項8所述之方法,進一步包含: 在該選擇性磊晶沉積製程之前,執行一中間退火製程,以再結晶該第二半導體區域的該非晶化表面。
  10. 如請求項8所述之方法,其中: 該第一半導體區域包含利用n型摻雜劑摻雜的矽, 該第二半導體區域包含利用p型摻雜劑摻雜的鍺矽,並且 該接觸層包含利用p型摻雜劑摻雜的鍺矽。
  11. 如請求項8所述之方法,進一步包含: 在該非晶化離子佈植製程之前,在該第一半導體區域的該暴露表面及該第二半導體區域的該暴露表面上執行一預清潔製程。
  12. 如請求項8所述之方法,進一步包含: 在該再結晶退火製程之後,執行一沉積製程以在該第一半導體區域的該暴露表面及該第二半導體區域上形成的該接觸層的該暴露表面上形成一金屬層。
  13. 如請求項12所述之方法,其中: 該金屬層包含選自矽化鈦(Ti)、矽化鈷(Co)、矽化鎳(Ni)、矽化鉬(Mo)以及矽化鉭(Ta)的材料。
  14. 一種在一半導體結構中形成一電氣觸點的方法,包含: 執行一圖案化製程以在一半導體結構上形成一遮罩,該半導體結構包含一第一半導體區域、一第二半導體區域、具有在該第一半導體區域上方的一第一開口及在該第二半導體區域上方的一第二開口的一介電層,其中該遮罩覆蓋該第二開口內的該第二半導體區域的一暴露表面; 執行一氧化製程以氧化該第一開口內的該第一半導體區域的一暴露表面; 執行一移除製程以移除該遮罩;以及 執行一選擇性磊晶沉積製程,以在該第二半導體區域的該暴露表面上磊晶地形成一接觸層。
  15. 如請求項14所述之方法,其中: 該第一半導體區域包含利用n型摻雜劑摻雜的矽, 該第二半導體區域包含利用p型摻雜劑摻雜的鍺矽,並且 該接觸層包含利用p型摻雜劑摻雜的鍺矽。
  16. 如請求項14所述之方法,其中: 該遮罩包含選自有機介電層、矽抗反射塗層以及光阻劑的材料。
  17. 如請求項14所述之方法,其中: 該移除製程包含一電漿灰化製程。
  18. 如請求項14所述之方法,進一步包含: 在該圖案化製程之前,在該第一半導體區域的該暴露表面及該第二半導體區域的該暴露表面上執行一預清潔製程。
  19. 如請求項14所述之方法,進一步包含: 在該選擇性磊晶沉積製程之後,執行一沉積製程以在該第一半導體區域的該暴露表面及該第二半導體區域上形成的該接觸層的該暴露表面上形成一金屬層。
  20. 如請求項19所述之方法,其中: 該金屬層包含選自矽化鈦(Ti)、矽化鈷(Co)、矽化鎳(Ni)、矽化鉬(Mo)以及矽化鉭(Ta)的材料。
TW112125445A 2022-07-08 2023-07-07 用於cmos元件之觸點形成製程 TW202418476A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US63/359,728 2022-07-08

Publications (1)

Publication Number Publication Date
TW202418476A true TW202418476A (zh) 2024-05-01

Family

ID=

Similar Documents

Publication Publication Date Title
TWI745390B (zh) 減少晶圓釋氣的整合方法
US9419107B2 (en) Method for fabricating vertically stacked nanowires for semiconductor applications
TW202333281A (zh) 用於深溝槽內的低溫選擇性磊晶之方法及設備
JP2016528734A (ja) エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
US10861722B2 (en) Integrated semiconductor processing
KR20160055877A (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
US20240014075A1 (en) Contact formation process for cmos devices
TW202418476A (zh) 用於cmos元件之觸點形成製程
US20230377997A1 (en) Contact formation process for cmos devices
US20240018647A1 (en) Oxidation barriers with cvd soak processes
TW202418374A (zh) 用於cmos元件的接觸層之選擇性覆蓋
US20240014076A1 (en) Selective capping of contact layer for cmos devices
TWI778048B (zh) 形成半導體結構的方法
US20240088222A1 (en) Uniform epitaxial growth over crystalline template
US20240203742A1 (en) Contact layer formation with microwave annealing for nmos devices
TW202418355A (zh) Cvd浸泡製程的氧化阻障層
US20240203741A1 (en) Cavity shaping and selective metal silicide formation for cmos devices
TWI845590B (zh) 記憶體單元裝置和半導體結構
US20240128089A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization
JP2003188151A (ja) 半導体集積回路装置の製造方法
TW202418585A (zh) 用於經摻雜半導體磊晶層的含碳帽層
US20190148150A1 (en) Methods for forming capping protection for an interconnection structure