TW202406412A - 電漿處理系統、支援裝置、支援方法及支援程式 - Google Patents

電漿處理系統、支援裝置、支援方法及支援程式 Download PDF

Info

Publication number
TW202406412A
TW202406412A TW112124732A TW112124732A TW202406412A TW 202406412 A TW202406412 A TW 202406412A TW 112124732 A TW112124732 A TW 112124732A TW 112124732 A TW112124732 A TW 112124732A TW 202406412 A TW202406412 A TW 202406412A
Authority
TW
Taiwan
Prior art keywords
mentioned
input
plasma processing
control parameters
unit
Prior art date
Application number
TW112124732A
Other languages
English (en)
Inventor
勝沼隆幸
本田昌伸
西塚哲也
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202406412A publication Critical patent/TW202406412A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明能支援電漿處理裝置中之程序性能之提高。 本發明之電漿處理系統具備電漿處理裝置、支援裝置及控制裝置,上述支援裝置具有:第1決定部,其係以基於與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與上述電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入,使用第1機械學習模型來決定複數個控制參數之方式構成,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者;及第2決定部,其係以基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件之方式構成。

Description

電漿處理系統、支援裝置、支援方法及支援程式
本發明係關於一種電漿處理系統、支援裝置、支援方法及支援程式。
於電漿處理裝置中,會對程序性能造成影響之控制參數有複數個,例如下述專利文獻1中揭示有使用機械學習模型來導出該控制參數之內容。 [先前技術文獻] [專利文獻]
[專利文獻1]國際公開第2021/081213號
[發明所欲解決之問題]
本發明能支援電漿處理裝置中之程序性能之提高。 [解決問題之技術手段]
本發明之一態樣之電漿處理系統例如具有如下構成。 即, 具備: 電漿處理裝置、 支援裝置、及 控制裝置, 上述電漿處理裝置包含: 電漿處理腔; 基板支持部,其設定於上述電漿處理腔內,且包含至少1個電極及至少1個加熱要素; 氣體供給部,其係以向上述電漿處理腔內供給至少1種處理氣體之方式構成; 源RF(Radio Frequency,射頻)生成部,其係以為了由供給至上述電漿處理腔內之上述至少1種處理氣體形成電漿而生成源RF信號之方式構成;及 偏壓生成部,其係以向上述至少1個電極供給偏壓信號之方式構成; 上述支援裝置包含: 輸入部,其係以取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與上述電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入之方式構成; 第1決定部,其係以基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數之方式構成,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述複數個控制參數包括與上述源RF信號之功率位準之經時變化相關之第1控制參數、與上述偏壓信號之功率位準之經時變化相關之第2控制參數、與上述至少1種處理氣體之種類及流量之經時變化相關之第3控制參數、及與上述至少1個加熱要素之溫度之經時變化相關之第4控制參數,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習; 第2決定部,其係以基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件之方式構成,上述運用條件包括針對上述電漿處理腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出部,其係以將上述決定之複數個控制參數、及決定之運用條件向上述控制裝置輸出之方式構成; 上述控制裝置係以基於上述決定之複數個控制參數、及上述決定之運用條件,控制上述電漿處理裝置,以處理上述處理前基板之方式構成。 [發明之效果]
根據本發明,能支援電漿處理裝置中之程序性能之提高。
以下,參照隨附圖式對各實施方式進行說明。再者,本說明書及圖式中,對實質上具有相同功能構成之構成要素標註相同符號,藉此省略重複說明。
[第1實施方式] <電漿處理系統之系統構成> 首先,對支援於製造程序之電漿處理裝置中執行之蝕刻處理的電漿處理系統之系統構成進行說明。再者,本實施方式中之電漿處理系統之系統構成於學習階段與預測階段有所不同,故而此處首先對學習階段之電漿處理系統之系統構成進行說明。
圖1係表示學習階段之電漿處理系統之系統構成的一例之圖。如圖1所示,學習階段之電漿處理系統100具有伺服器裝置110及實驗程序。
伺服器裝置110係支援裝置之一例。於伺服器裝置110安裝有支援程式。而且,伺服器裝置110於學習階段,藉由執行該程式,而使實驗程序之電漿處理裝置120執行蝕刻處理,並收集資料。
具體而言,伺服器裝置110基於複數個基準配方,使電漿處理裝置120執行蝕刻處理。藉此,實驗程序之電漿處理裝置120由複數個處理前基板生成與各基準配方對應之複數個處理後基板。
又,伺服器裝置110收集藉由執行蝕刻處理而取得之資料,並對用以預測處理後基板之形狀之形狀模擬模型進行學習處理。藉此,於伺服器裝置110中,生成已學習形狀模擬模型(第1機械學習模型)。第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習。
又,伺服器裝置110收集藉由執行蝕刻處理而取得之資料,並對用以預測蝕刻處理後之電漿處理腔內之狀態之腔內狀態預測模型進行學習處理。藉此,伺服器裝置110生成已學習腔內狀態預測模型(第2機械學習模型)。第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習。
再者,伺服器裝置110經由網路而與管理裝置130以可通信之方式連接。因此,於伺服器裝置110中,作為會對電漿處理裝置140之程序性能造成影響之要素,可提供: ・藉由執行已學習形狀模擬模型而生成之最佳配方(製造程序之電漿處理裝置140執行蝕刻處理時所使用之最佳配方);及 ・藉由執行已學習腔內狀態預測模型而生成之製造程序之電漿處理裝置140之運用條件。
<電漿處理裝置之概要> 其次,對電漿處理裝置120之概要進行說明。圖2A係用以說明電漿處理裝置之概要之圖。
如圖2A所示,電漿處理裝置120包含電漿處理腔10、氣體供給部20、RF(Radio Frequency,射頻)功率供給部30、排氣系統40及控制部50。
本實施方式中,電漿處理腔10包含基板支持部11及上部電極簇射頭組件12。基板支持部11設定於電漿處理腔10內之處理空間10s之下部區域。上部電極簇射頭組件12配置於基板支持部11之上方,可作為電漿處理腔10之頂板之一部分發揮功能。
基板支持部11係以於處理空間10s內支持基板W之方式構成。本實施方式中,基板支持部11包含下部電極111、靜電吸盤112及邊緣環113。靜電吸盤112係以配置於下部電極111上,藉由靜電吸盤112之上表面支持基板W之方式構成。邊緣環113係以於下部電極111之周緣部上表面包圍基板W之方式配置。
上部電極簇射頭組件12係以將來自氣體供給部20之1種或1種以上處理氣體向處理空間10s供給之方式構成。本實施方式中,上部電極簇射頭組件12包含氣體入口12a、氣體擴散室12b及複數個氣體出口12c。氣體入口12a與氣體供給部20及氣體擴散室12b流體連通。複數個氣體出口12c與氣體擴散室12b及處理空間10s流體連通。本實施方式中,上部電極簇射頭組件12係以將1種或1種以上處理氣體自氣體入口12a經由氣體擴散室12b及複數個氣體出口12c向處理空間10s供給之方式構成。
氣體供給部20可包含1個或1個以上氣源21、及1個或1個以上流量控制器22。本實施方式中,氣體供給部20係以將1種或1種以上處理氣體從各自之氣源21經由各自之流量控制器22向氣體入口12a供給之方式構成。流量控制器22例如可包含質量流量控制器或壓力控制式流量控制器。進而,氣體供給部20可包含對1種或1種以上處理氣體之流量加以調變或使之脈衝化之1個或1個以上流量調變元件。
RF功率供給部30係以將RF功率,例如1個或1個以上RF信號,向1個或1個以上電極,如下部電極111、上部電極簇射頭組件12、或下部電極111及上部電極簇射頭組件12兩者供給之方式構成。本實施方式中,RF功率供給部30包含第1RF功率供給部30a及第2RF功率供給部30b。RF頻譜包含3 Hz~3000 GHz範圍內之電磁頻譜之一部分。關於如半導體程序之電子材料程序,用以形成電漿之RF頻譜較佳為處於100 kHz~3 GHz範圍內,更佳為處於200 kHz~150 MHz範圍內。第1RF功率供給部30a包含第1RF生成部31a(源RF生成部之一例)及第1匹配電路32a。本實施方式中所示之第1RF功率供給部30a係以將第1RF信號(源RF信號之一例)自第1RF生成部31a經由第1匹配電路32a向上部電極簇射頭組件12供給之方式構成。例如,第1RF信號亦可具有27 MHz~100 MHz範圍內之頻率。第2RF功率供給部30b包含第2RF生成部31b(偏壓生成部之一例)及第2匹配電路32b。本實施方式中所示之第2RF功率供給部30b係以將第2RF信號(偏壓信號之一例)自第2RF生成部31b經由第2匹配電路32b向下部電極111供給之方式構成。例如,第2RF信號亦可具有400 kHz~13.56 MHz範圍內之頻率。相應於此,亦可使用DC(Direct Current,直流)脈衝生成部來取代第2RF生成部31b。進而,雖圖示被省略,但此處可考慮其他實施方式。例如,替代實施方式中,RF功率供給部30能以自RF生成部向下部電極111供給第1RF信號,自另一RF生成部向下部電極111供給第2RF信號之方式構成。又,RF功率供給部30亦能以自又一RF生成部向上部電極簇射頭組件12供給第3RF信號之方式構成。此外,其他替代實施方式中,亦可對上部電極簇射頭組件12施加DC電壓。再而,各種實施方式中,亦可使1個或1個以上RF信號(即,第1RF信號、第2RF信號等)之振幅脈衝化或對其加以調變。振幅調變亦可包括於打開狀態與關閉狀態之間、或者2種或2種以上不同之打開狀態之間使RF信號振幅脈衝化。可控制RF信號之相位匹配,2個或2個以上RF信號之振幅調變之相位匹配可同步,亦可不同步。
排氣系統40例如可與設置於電漿處理腔10之底部之排氣口10e連接。排氣系統40可包含壓力閥、或者渦輪分子泵、低真空泵或其等之組合等真空泵。
本實施方式中,控制部50對使電漿處理裝置120執行此處所述之各種工序、可由電腦加以執行之指示進行處理。控制部50能以控制電漿處理裝置120之各要素,使之執行此處所述之各種工序之方式構成。控制部50例如可包含電腦51。電腦51例如可包含處理部(CPU; Central Processing Unit,中央處理單元)511、記憶部512及通信介面513。處理部511能以基於記憶部512中儲存之程式而進行各種控制動作之方式構成。記憶部512可包含選自由RAM(Random Access Memory,隨機存取記憶體)、ROM(Read Only Memory,唯讀記憶體)、及如HDD(Hard Disk Drive,硬碟驅動器)、SSD(Solid State Drive,固態驅動器)等之輔助記憶裝置所構成之組群中之至少1種記憶體類型。通信介面513可經由LAN(Local Area Network,局域網路)等通信線路而與電漿處理裝置120之間通信。
<伺服器裝置之硬體構成> 其次,對伺服器裝置110之硬體構成進行說明。圖2B係表示伺服器裝置之硬體構成之一例之圖。
如圖2B所示,伺服器裝置110具有處理器201、記憶體202、輔助記憶裝置203、使用者介面裝置204、連接裝置205及通信裝置206。再者,伺服器裝置110之各硬體經由匯流排207而相互連接。
處理器201具有CPU、GPU(Graphics Processing Unit,圖形處理單元)等各種運算元件。處理器201於記憶體202上讀出各種程式(例如,支援程式等)加以執行。
記憶體202具有ROM、RAM等主記憶元件。處理器201與記憶體202形成所謂之電腦,藉由處理器201執行於記憶體202上讀出之各種程式,該電腦實現各種功能。
輔助記憶裝置203儲存各種程式、及各種程式被處理器201執行時所使用之各種資料。
使用者介面裝置204例如包含供伺服器裝置110之使用者進行各種指令之輸入操作等之鍵盤或觸控面板、顯示伺服器裝置110之處理內容之顯示裝置等。
連接裝置205係與實驗程序內之各裝置連接之連接元件。通信裝置206係用以經由網路而與管理裝置130通信之通信元件。
再者,此處對伺服器裝置110之硬體構成進行了說明,而管理裝置130之硬體構成亦大致相同。
<學習階段之伺服器裝置之功能構成> 其次,對學習階段之伺服器裝置110之功能構成進行說明。圖3係表示學習階段之伺服器裝置之功能構成的一例之圖。如圖3所示,於學習階段,伺服器裝置110作為基準配方生成部310、第1學習用資料收集部320、第1學習部330、第2學習用資料收集部340、第2學習部350發揮功能。
基準配方生成部310基於會對程序性能造成影響之複數個控制參數301,生成複數個基準配方302。基準配方生成部310藉由生成複數個使複數個控制參數301分別逐個步驟地變化所得之變化模式之組合,而生成包含複數個步驟之複數個基準配方。
又,基準配方生成部310將生成之複數個基準配方302發送至電漿處理裝置120,使之於各基準配方之下執行蝕刻處理。進而,基準配方生成部310將生成之複數個基準配方302通知給第1學習用資料收集部320及第2學習用資料收集部340。
第1學習用資料收集部320取得複數個基準配方302,並且收集藉由電漿處理裝置120於複數個基準配方302之下分別執行蝕刻處理而取得之資料303(複數個實驗結果)。再者,此處按照收集複數個實驗結果作為資料303進行了說明,但資料303中亦可包含複數個模擬結果。
如圖3所示,由第1學習用資料收集部320收集到之資料303中包含裝置規格、裝置狀態、構造資料、截面圖像資料,其等各自之詳情如下所示。 ・裝置規格:識別電漿處理裝置120之種類之識別符 ・裝置狀態:電漿處理裝置120之RF累計時間 ・構造資料:與由電漿處理裝置120加以蝕刻處理之處理前基板之構造相關之資料,具體而言,即: ・表示圖案構造之識別符(正圓孔/橢圓孔、溝槽構造) ・遮罩材料之種類 ・被蝕刻膜材料之種類(單層膜或積層膜) ・ADI CD尺寸(ADI;After Development Inspection,顯影後檢測)(CD尺寸;Critical Dimension,關鍵尺寸) ・膜厚 ・開口率 ・截面圖像資料:拍攝由電漿處理裝置120加以蝕刻處理之處理前基板之截面所得之圖像資料、及拍攝各步驟結束時之基板之截面所得之圖像資料。 再者,拍攝處理前基板之截面所得之圖像資料已與上述構造資料建立關聯。
第1學習用資料收集部320將取得之複數個基準配方302與對應之資料303建立對應關係而儲存至第1學習用資料儲存部360。
第1學習部330對形狀模擬模型進行學習處理,生成已學習形狀模擬模型。具體而言,第1學習部330將裝置規格、裝置狀態、構造資料、基準配方、截面圖像資料(拍攝處理前基板之截面所得之圖像資料)作為輸入資料而輸入至形狀模擬模型。又,第1學習部330以使自形狀模擬模型輸出之輸出資料接近作為正確資料之截面圖像資料(拍攝各步驟結束時之基板之截面所得之圖像資料)之方式,更新模型參數。藉此,第1學習部330能生成預測蝕刻處理中及蝕刻處理後基板之截面圖像資料之已學習形狀模擬模型。
第2學習用資料收集部340取得複數個基準配方302,並且收集藉由電漿處理裝置120於複數個基準配方302之下分別執行蝕刻處理而取得之資料304(複數個實驗結果)。再者,此處按照收集複數個實驗結果作為資料304進行了說明,但資料304中亦可包含複數個模擬結果。
如圖3所示,由第2學習用資料收集部340收集到之資料304中包含裝置規格、裝置狀態及腔內狀態,其等各自之詳情如下所示。 ・裝置規格:識別電漿處理裝置120之種類之識別符 ・裝置狀態:電漿處理裝置120之RF累計時間 ・腔內狀態:蝕刻處理後之電漿處理裝置120之電漿處理腔的內壁上堆積之沈積物(堆積物)之量、或蝕刻處理後之電漿處理裝置120之電漿處理腔的內壁之損傷程度。
第2學習用資料收集部340將取得之複數個基準配方302與對應之資料304建立對應關係而儲存至第2學習用資料儲存部370。
第2學習部350對腔內狀態模型進行學習處理,生成已學習腔內狀態模型。具體而言,第2學習部350將裝置規格、裝置狀態、基準配方作為輸入資料而輸入至腔內狀態模型。又,第2學習部350以使自腔內狀態模型輸出之輸出資料接近作為正確資料之腔內狀態之方式,更新模型參數。藉此,第2學習部350能生成預測以下物理量之已學習腔內狀態模型: ・蝕刻處理後之電漿處理裝置之電漿處理腔的內壁上堆積之沈積物之量、或 ・蝕刻處理後之電漿處理裝置之電漿處理腔的內壁之損傷程度。
<控制參數之詳情> 其次,說明會對程序性能造成影響之複數個控制參數之詳情。圖4係表示控制參數之一覽之圖。如圖4所示,本實施方式中,控制參數中包括RF信號之功率位準、處理氣體之種類及流量、靜電吸盤(ESC;Electrostatic Chuck)溫度(基板支持部溫度)及腔內壓力。一實施方式中,ESC溫度包含基板支持部內之至少1個加熱要素之溫度。
又,如圖4所示,本實施方式中,各控制參數具有經時變化之複數個狀態。例如,源RF信號(HF(High-Frequency,高頻))及偏壓信號(LF(Low-Frequency,低頻))按數μsec等級呈脈衝狀週期性地變化。又,處理氣體之種類及流量、ESC溫度、腔內壓力每次數sec~數十sec地經時變化。一實施方式中,源RF信號(HF)及偏壓信號(LF)之週期性脈衝圖案亦每次數sec~數十sec地經時變化。一實施方式中,亦可使用偏壓DC信號來取代偏壓信號(LF)。
再者,藉由使各控制參數經時變化,如圖4所示,對於RF信號,能控制如下物理量: ・離子/電子密度, ・自由基密度、組成, ・離子角度分佈、離子能量; 對於處理氣體之種類及流量,能控制如下物理量: ・電漿處理腔之內壁之保護膜形成, ・自由基密度、組成; 對於ESC溫度,能控制如下物理量: ・反應速度, ・自由基附著係數; 對於腔內壓力,能控制如下物理量: ・離子角度分佈, ・自由基/離子比率, ・自由基組成。
即,藉由使各控制參數經時變化,能細緻地控制程序性能,從而能提高蝕刻性能。
<控制參數之組合> 其次,對使控制參數逐個步驟地經時變化時的各步驟中之控制參數之組合例進行說明。圖5係表示控制參數之組合例之圖。
圖5之例示出了作為使RF信號經時變化時之變化方法,例如有4種(HF/LF=(高/低)、(中/-)、(低/中)、(低/高))變化方法。
又,圖5之例示出了作為使處理氣體之種類及流量經時變化時之變化方法,例如有3種(處理氣體之種類、流量=(氣體A、α)、(氣體B、β)、(氣體C、γ))變化方法。其中,於處理氣體之情況,根據步驟而定會組合使用,因此組合之種類數量會進而增加。
又,圖5之例示出了作為使ESC溫度經時變化時之變化方法,例如有3種(高、中、低)變化方法。
又,圖5之例示出了作為使腔內壓力經時變化時之變化方法,例如有3種(高、中、低)變化方法。
<基準配方之具體例> 其次,對由基準配方生成部310生成之複數個基準配方302之具體例進行說明。圖6係表示基準配方之具體例之圖。再者,圖6之例中將複數個基準配方302之步驟數均設定為“9”,但基準配方內包含之步驟數並不限定於“9”。
根據圖6之例,於基準配方1中, ・RF信號逐個步驟地按照(高/低)→(中/-)→(低/中)→(中/-)→(低/高)→(中/-)→(低/高)→(中/-)→(低/高)之順序呈脈衝狀變化, ・處理氣體之氣體種類及流量逐個步驟地按照(A/B/C、α/β/γ)→(B/D、δ/ε)→(B/C/E、ζ/η/θ)→(F/G、κ/λ)→(A/C/E、μ/ν/ξ)→(A/D、ο/π)→(B/C/E、ρ/σ/τ)→(B、υ)→(C/E/F/G、 /χ/ψ/ω)之順序經時變化, ・ESC溫度逐個步驟地按照中→低→低→高→低→低→低→高→低之順序經時變化, ・腔內壓力逐個步驟地按照中→高→低→高→低→高→中→高→高之順序經時變化。
如上所述,基準配方1由4個控制參數之變化模式之組合形成。以下,基準配方2、基準配方3、…亦同樣如此,與基準配方1相比,一部分步驟中之控制參數之組合會有所不同。
<第1學習用資料之具體例> 其次,對第1學習用資料儲存部360中儲存之第1學習用資料之具體例進行說明。圖7係表示第1學習用資料之具體例之圖。
如圖7所示,第1學習用資料儲存部360中儲存之第1學習用資料包含第1學習用資料(輸入資料)710及第1學習用資料(正確資料)720。
又,如圖7所示,第1學習用資料(輸入資料)710中包含“裝置規格”、“裝置狀態”、“構造資料”、“截面圖像資料”、“配方”作為資訊之項目。再者,儲存於與各資訊之項目對應之欄中的資訊之詳情已作過說明,故而此處省略說明。
又,如圖7所示,第1學習用資料(正確資料)720中包含基準配方1之各步驟即“步驟1”~“步驟9”作為資訊之項目。又,與“步驟1”~“步驟9”各資訊之項目對應之欄中儲存拍攝各步驟結束時之基板之截面所得之截面圖像資料。
<第1學習部之處理> 其次,對第1學習部330所實施之處理之詳情進行說明。圖8係表示第1學習部之處理詳情之圖。如圖8所示,第1學習部330具有輸入部810、形狀模擬模型820及比較/變更部830。
輸入部810自第1學習用資料儲存部360讀出第1學習用資料(輸入資料),並將其輸入至形狀模擬模型820。圖8之例示出了輸入部810讀出第1學習用資料(輸入資料)710,並將其輸入至形狀模擬模型820之情形。
形狀模擬模型820被輸入輸入資料後,輸出輸出資料。具體而言,輸出與第1學習用資料(輸入資料)之配方內包含之步驟數(基準配方1中為“9”)相符之數量的輸出資料(相當於截面圖像資料的資料)。
比較/變更部830自第1學習用資料儲存部360讀出第1學習用資料(正確資料)。圖8之例示出了比較/變更部830讀出第1學習用資料(正確資料)720之情形。
又,比較/變更部830將讀出之第1學習用資料(正確資料)720之各步驟之截面圖像資料與自形狀模擬模型820輸出之對應之步驟之輸出資料加以比較。
進而,比較/變更部830基於比較結果,更新形狀模擬模型820之模型參數。
於第1學習部330中,藉由對第1學習用資料儲存部360中儲存之所有第1學習用資料(輸入資料、正確資料)執行上述處理,而生成已學習形狀模擬模型。
<第2學習用資料之具體例> 其次,對第2學習用資料儲存部370中儲存之第2學習用資料之具體例進行說明。圖9係表示第2學習用資料之具體例之圖。
如圖9所示,第2學習用資料儲存部370中儲存之第2學習用資料包含第2學習用資料(輸入資料)910及第2學習用資料(正確資料)920。
又,如圖9所示,第2學習用資料(輸入資料)910中包含“裝置規格”、“裝置狀態”、“配方”作為資訊之項目。再者,儲存於與各資訊之項目對應之欄中的資訊之詳情已作過說明,故而此處省略說明。
又,如圖9所示,第2學習用資料(正確資料)920中包含“沈積物量”、“內壁損傷度”作為資訊之項目。再者,儲存於與各資訊之項目對應之欄中的資訊之詳情已作過說明,故而此處省略說明。
<第2學習部之處理> 其次,對第2學習部350所實施之處理之詳情進行說明。圖10係表示第2學習部之處理詳情之圖。如圖10所示,第2學習部350具有輸入部1010、腔內狀態預測模型1020及比較/變更部1030。
輸入部1010自第2學習用資料儲存部370讀出第2學習用資料(輸入資料),並將其輸入至腔內狀態預測模型1020。圖10之例示出了輸入部1010讀出第2學習用資料(輸入資料)910,並將其輸入至腔內狀態預測模型1020之情形。
腔內狀態預測模型1020被輸入輸入資料後,輸出輸出資料。具體而言,腔內狀態預測模型1020輸出相當於沈積物量之資料、及相當於內壁之損傷程度之資料。
比較/變更部1030自第2學習用資料儲存部370讀出第2學習用資料(正確資料)。圖10之例示出了比較/變更部1030讀出第2學習用資料(正確資料)920之情形。
又,比較/變更部1030將讀出之第2學習用資料(正確資料)920與自腔內狀態預測模型1020輸出之對應之輸出資料加以比較。
進而,比較/變更部1030基於比較結果,更新腔內狀態預測模型1020之模型參數。
於第2學習部350中,藉由對第2學習用資料儲存部370中儲存之所有第2學習用資料(輸入資料、正確資料)執行上述處理,而生成已學習腔內狀態預測模型。
<電漿處理系統之系統構成> 其次,對預測階段之電漿處理系統100之系統構成進行說明。圖11係表示預測階段之電漿處理系統之系統構成的一例之圖。如圖11所示,預測階段之電漿處理系統100具有伺服器裝置110、管理裝置130及製造程序。
如上所述,於伺服器裝置110安裝有支援程式。其中,處於預測階段之情形時,藉由執行該程式,伺服器裝置110生成用以支援製造程序中之電漿處理裝置140之程序性能之提高的最佳配方及運用條件資訊,並將其等提供給管理裝置130。
具體而言,伺服器裝置110藉由自管理裝置130取得生成最佳配方所需之資訊,而生成最佳配方。又,伺服器裝置110決定將生成之最佳配方應用於電漿處理裝置140時之運用條件,並將其與最佳配方一併發送至管理裝置130。
管理裝置130係控制裝置之一例。管理裝置130收集伺服器裝置110生成最佳配方所需之資訊,並將其發送至伺服器裝置110。又,管理裝置130於將收集到之資訊發送至伺服器裝置110後,相應地自伺服器裝置110接收最佳配方及運用條件資訊。又,管理裝置130於接收到之運用條件資訊之下運用電漿處理裝置140,並且於接收到之最佳配方之下使電漿處理裝置140執行蝕刻處理。
藉此,於電漿處理裝置140中,能實現蝕刻性能較高之蝕刻處理。又,於管理裝置130中,能得當地進行電漿處理裝置140之運用,並維持較高之蝕刻性能。
如此,根據第1實施方式之電漿處理系統100,能支援電漿處理裝置140之蝕刻性能之提高。
<預測階段之伺服器裝置及管理裝置之功能構成> 其次,對預測階段之伺服器裝置110及管理裝置130之功能構成進行說明。圖12係表示預測階段之伺服器裝置及管理裝置之功能構成的一例之第1圖。
如圖12所示,於預測階段,伺服器裝置110作為配方生成部1210、輸入部1211、運用條件判定部1220、輸出部1221發揮功能。
輸入部1211自管理裝置130取得生成最佳配方所需之資訊1201、1202。如圖12所示,生成最佳配方所需之資訊1201、1202中包含裝置規格、裝置狀態、構造資料、截面圖像資料、要求形狀資料,其等各自之詳情如下所示。 ・裝置規格:識別電漿處理裝置140之種類之識別符 ・裝置狀態:電漿處理裝置140之RF累計時間 ・構造資料:與由電漿處理裝置140加以蝕刻處理之處理前基板之構造相關之資料,具體而言,即: ・表示圖案構造之識別符(正圓孔/橢圓孔、溝槽構造) ・遮罩材料之種類 ・被蝕刻膜材料之種類(單層膜或積層膜) ・ADI CD尺寸(ADI;After Development Inspection) ・膜厚 ・開口率 ・截面圖像資料:拍攝由電漿處理裝置140加以蝕刻處理之處理前基板之截面所得之圖像資料 ・要求形狀資料:作為與處理後基板之形狀(基板形狀)相關之資料,而被管理裝置130方要求之資料,即: ・蝕刻速率 ・AEI CD尺寸(AEI;After Etch Inspection,蝕刻後檢測) ・遮罩膜剝蝕量 ・基底膜剝蝕量 ・均一性 一實施例中,輸入部1211係以取得第1~第4輸入作為輸入資料之方式構成。第1輸入與處理前基板之構造相關。第2輸入與處理後基板之要求形狀相關。第3輸入與電漿處理裝置之規格相關。第4輸入與電漿處理裝置之狀態相關。
配方生成部1210係第1決定部之一例,具有於學習階段生成之已學習形狀模擬模型。於配方生成部1210中,藉由在候補配方之下輸入生成最佳配方所需之資訊1201,而執行已學習形狀模擬模型,預測處理後基板之形狀資料。
又,配方生成部1210對預測出之處理後基板之形狀資料與要求形狀資料是否一致(是否處於容許範圍內)進行判定,當判定為不一致時,於其他候補配方之下執行已學習形狀模擬模型。
於配方生成部1210中,藉由重複上述處理直至預測出之處理後基板之形狀資料與要求形狀資料一致為止(直至其處於容許範圍內為止),來搜尋最佳配方。又,配方生成部1210將搜尋出之最佳配方通知給運用條件判定部1220,並且將包含搜尋出之最佳配方之資料(最佳配方等1203)通知給輸出部1221。
運用條件判定部1220係第2決定部之一例,具有於學習階段生成之已學習腔內狀態預測模型。於運用條件判定部1220中,藉由輸入被通知之最佳配方,而執行已學習腔內狀態預測模型,決定會對電漿處理裝置140之程序性能造成影響之運用條件資訊1204。又,運用條件判定部1220將決定之運用條件資訊1204通知給輸出部1221。
輸出部1221將最佳配方等1203及運用條件資訊1204發送至管理裝置130。
一實施例中,輸出部1221係以將決定之複數個控制參數(最佳配方)、及決定之運用條件輸出至管理裝置130之方式構成。而且,管理裝置130之程序控制部1240係以基於決定之複數個控制參數(最佳配方)、及決定之運用條件,控制電漿處理裝置140,使之對處理前基板加以處理之方式構成。
於管理裝置130安裝有管理程式,藉由在預測階段執行該程式,管理裝置130作為要求部1230、程序控制部1240發揮功能。
要求部1230收集伺服器裝置110生成最佳配方所需之資訊1201、1202。又,要求部1230將生成最佳配方所需之資訊1201、1202發送至伺服器裝置110。
程序控制部1240於生成最佳配方所需之資訊1201、1202被要求部1230發送至伺服器裝置110後,相應地自伺服器裝置110接收最佳配方等1203及運用條件資訊1204。
又,程序控制部1240向管理裝置130之使用者顯示接收到之最佳配方等1203及運用條件資訊1204,並且將其等通知給電漿處理裝置140。藉此,管理裝置130能於運用條件資訊1204之下運用電漿處理裝置140,並且於最佳配方之下使電漿處理裝置140執行蝕刻處理。
<配方生成部之處理> 其次,對配方生成部1210所實施之處理之詳情進行說明。圖13係表示配方生成部之處理詳情之圖。如圖13所示,配方生成部1210具有已學習形狀模擬模型1310、處理後形狀資料計算部1320、誤差計算部1330、配方搜尋部1340及輸出部1350。
已學習形狀模擬模型1310藉由使自管理裝置130發送、被輸入部1211取得、生成最佳配方所需之資訊1201與候補配方一併輸入,來預測複數個截面圖像資料。再者,已學習形狀模擬模型1310所輸出之截面圖像資料之數量與候補配方內包含之各步驟之數量對應,各截面圖像資料相當於候補配方內包含之各步驟結束時之截面圖像資料。
處理後形狀資料計算部1320取得藉由已學習形狀模擬模型1310而預測出之複數個截面圖像資料中,相當於候補配方內包含之最終步驟結束時之截面圖像資料的截面圖像資料。所謂相當於候補配方內包含之最終步驟結束時之截面圖像資料的截面圖像資料,係指處理後基板之截面圖像資料。
又,處理後形狀資料計算部1320基於取得之處理後基板之截面圖像資料,算出處理後形狀資料。具體而言,處理後形狀資料計算部1320算出如下參數作為處理後形狀資料: ・蝕刻速率, ・AEI CD尺寸, ・遮罩膜剝蝕量, ・基底膜剝蝕量, ・均一性。
進而,處理後形狀資料計算部1320將算出之處理後形狀資料通知給誤差計算部1330。
再者,如圖13所示,已學習形狀模擬模型1310與處理後形狀資料計算部1320形成第1預測部。
誤差計算部1330將由處理後形狀資料計算部1320通知之處理後形狀資料與自管理裝置130發送、被輸入部1211取得、生成最佳配方所需之資訊1202內包含之要求形狀資料加以對比,算出誤差。
又,誤差計算部1330對算出之誤差是否為閾值以下進行判定,當判定為並非特定之閾值以下時,將算出之誤差通知給配方搜尋部1340。
又,當判定為算出之誤差為閾值以下時,誤差計算部1330將處理後形狀資料通知給輸出部1350。
配方搜尋部1340向已學習形狀模擬模型1310輸入候補配方。又,配方搜尋部1340於被誤差計算部1330通知了誤差之情形時,以縮小該誤差之方式選擇候補配方(其中,選擇與已輸入至已學習形狀模擬模型1310之候補配方不同之候補配方)。進而,配方搜尋部1340將選擇之候補配方輸入至已學習形狀模擬模型1310。再者,配方搜尋部1340保持上述複數種控制參數之組合(參照圖5),藉由對各步驟賦予該複數種控制參數中之任一者來選擇候補配方。
輸出部1350於被誤差計算部1330通知了處理後形狀資料之情形時,自處理後形狀資料計算部1320取得對應之複數個截面圖像資料,並且自配方搜尋部1340取得對應之候補配方作為最佳配方。
又,輸出部1350將取得之最佳配方、複數個截面圖像資料、處理後形狀資料作為最佳配方等1203,經由輸出部1221發送至管理裝置130。又,輸出部1350將取得之最佳配方通知給運用條件判定部1220。
一實施例中,第1決定部(配方生成部1210)係以如下方式構成: 基於包含第1~第4輸入之輸入資料,使用第1機械學習模型(已學習形狀模擬模型1310)來決定用以對處理前基板加以處理,使處理後基板之預測形狀符合處理後基板之要求形狀之複數個控制參數。
複數個控制參數(候補配方)各自具有經時變化之複數個狀態。複數個控制參數(候補配方)包含第1~第5控制參數。第1控制參數與源RF信號之功率位準之經時變化相關。第2控制參數與偏壓信號之功率位準之經時變化相關。第3控制參數與至少1種處理氣體之種類及流量之經時變化相關。第4控制參數與基板支持部內之至少1個加熱要素之溫度之經時變化相關。第5控制參數與電漿處理腔內之壓力之經時變化相關。
<最佳配方等之具體例> 其次,對由輸出部1350向管理裝置130發送之最佳配方等1203(最佳配方、複數個截面圖像資料、處理後形狀資料)之具體例進行說明。圖14係表示最佳配方、複數個截面圖像資料及處理後形狀資料之一例之圖。
圖14之例示出了搜尋出包含“步驟1”~“步驟9”且由4個控制參數之變化模式組合而成之最佳配方1410並加以發送之情形。又,圖14之例示出了預測出截面圖像資料1411作為“步驟1”~“步驟9”各步驟結束時之基板之截面圖像資料並加以發送之情形。
根據截面圖像資料1411內包含之各步驟結束時之基板之截面圖像資料,例如能推斷出以下結論。 ・由步驟1結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟1之控制參數之組合,能得當地進行除渣處理(去除浮渣之處理)。 ・由步驟2結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟2之控制參數之組合,能得當地形成遮罩保護膜。 ・由步驟3結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟3之控制參數之組合,能得當地進行縱橫比較低之位置處之蝕刻處理。 ・由步驟4結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟4之控制參數之組合,能得當地形成側壁保護膜。 ・由步驟5結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟5之控制參數之組合,能得當地(側壁不會鼓起地)進行縱橫比為中等程度之位置處之蝕刻處理。 ・由步驟6結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟6之控制參數之組合,能得當地形成遮罩保護膜。 ・由步驟7結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟7之控制參數之組合,能得當地(側壁不會鼓起地)進行縱橫比較高之位置處之蝕刻處理。 ・由步驟8結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟8之控制參數之組合,能得當地形成側壁保護膜。 ・由步驟9結束時之截面圖像資料可推斷出:藉由最佳配方1410之步驟9之控制參數之組合,能最終得當地進行蝕刻處理(與要求形狀資料一致)。
又,圖14之例示出了算出包含“蝕刻速率”、“AEI CD尺寸”、“遮罩膜剝蝕量”、“基底膜剝蝕量”、“均一性”之處理後形狀資料1420作為資訊之項目並加以發送之情形。藉此,管理裝置130之使用者能定量地認識到可以實現與要求形狀資料一致之處理後形狀資料。
<運用條件判定部之處理> 其次,對運用條件判定部1220所實施之處理之詳情進行說明。圖15係表示運用條件判定部之處理詳情之圖。如圖15所示,運用條件判定部1220具有已學習腔內狀態預測模型1510及決定部1520。
向已學習腔內狀態預測模型1510輸入由配方生成部1210通知之最佳配方、裝置規格及裝置狀態。藉此,於已學習腔內狀態預測模型1510中,預測基於最佳配方而執行了蝕刻處理之情形下的蝕刻處理結束時之電漿處理腔內之沈積物量及內壁之損傷程度。再者,如圖15所示,已學習腔內狀態預測模型1510形成第2預測部。
決定部1520基於藉由已學習腔內狀態預測模型1510而預測出之沈積物量,決定WLDC(Wafer Less Dry Cleaning,無晶圓乾式清洗)之清洗條件。再者,清洗條件中包含清洗氣體之種類、清洗頻率、清洗時間、清洗強度(參照符號1531)。
又,決定部1520基於藉由已學習腔內狀態預測模型1510而預測出之內壁之損傷程度,決定電漿處理腔之內壁之預塗條件(塗覆條件)。再者,預塗條件中包含預塗氣體(塗覆氣體)之種類、預塗頻率(塗覆頻率)、預塗時間(塗覆時間)、預塗強度(塗覆強度)(參照符號1532)。
又,決定部1520將決定之清洗條件及塗覆條件作為運用條件資訊1204,經由輸出部1221發送至管理裝置130。
一實施例中,第2決定部(運用條件判定部1220)係以如下方式構成: 基於決定之複數個控制參數(最佳配方)、第3輸入及第4輸入,使用第2機械學習模型(已學習腔內狀態預測模型1510)來決定電漿處理裝置之運用條件。 運用條件包括針對電漿處理腔之清洗條件及/或塗覆條件。
<支援處理之流程> 其次,對電漿處理系統100所實施之支援處理整體之流程進行說明。圖16係表示支援處理之流程之第1流程圖。
於步驟S1601中,伺服器裝置110藉由使複數個控制參數分別經時變化所得之變化模式之組合,生成複數個基準配方。
於步驟S1602中,伺服器裝置110將複數個基準配方通知給實驗程序之電漿處理裝置,使之執行蝕刻處理。
於步驟S1603中,伺服器裝置110收集與複數個基準配方分別對應之第1學習用資料。
於步驟S1604中,伺服器裝置110收集與複數個基準配方分別對應之第2學習用資料。
於步驟S1605中,伺服器裝置110使用第1學習用資料進行學習處理,生成已學習形狀模擬模型。
於步驟S1606中,伺服器裝置110使用第2學習用資料進行學習處理,生成已學習腔內狀態預測模型。
於步驟S1607中,伺服器裝置110針對製造程序之電漿處理裝置,取得生成最佳配方所需之資訊。
於步驟S1608中,伺服器裝置110使用生成最佳配方所需之資訊,執行已學習形狀模擬模型,搜尋最佳配方。
於步驟S1609中,伺服器裝置110使用最佳配方執行已學習腔內狀態預測模型,生成運用條件資訊。
於步驟S1610中,伺服器裝置110將最佳配方等及運用條件資訊發送至管理裝置130。
於步驟S1611中,管理裝置130顯示最佳配方等及運用條件資訊,並且將其等通知給電漿處理裝置140,控制製造程序。
<總結> 由以上說明可知,第1實施方式之電漿處理系統100 ・使用已學習形狀模擬模型,預測使會對程序性能造成影響之複數個控制參數分別經時變化而進行了蝕刻處理時的處理後基板之形狀資料。 ・搜尋使藉由已學習形狀模擬模型而預測出之處理後基板之形狀資料成為要求形狀資料之最佳配方。 ・使用已學習腔內狀態預測模型,根據搜尋出之最佳配方,預測蝕刻處理後之電漿處理腔內之沈積物量及內壁之損傷程度。 ・關於會對程序性能造成影響之運用條件,決定於最佳配方之下進行蝕刻處理時之運用條件。具體而言,根據藉由已學習腔內狀態預測模型而預測出之蝕刻處理後之電漿處理腔內之沈積物量及內壁之損傷程度,決定運用條件。 ・顯示最佳配方及運用條件。又,於決定之運用條件之下運用製造程序之電漿處理裝置,並且於搜尋出之最佳配方之下執行蝕刻處理。
如此,根據第1實施方式之電漿處理系統,能支援電漿處理裝置中之程序性能之提高。
[第2實施方式] 於上述第1實施方式之電漿處理系統中,按照於學習階段,在伺服器裝置中執行已學習形狀模擬模型之生成及已學習腔內狀態預測模型之生成進行了說明。
但亦可構成為於管理裝置中執行已學習形狀模擬模型之生成及已學習腔內狀態預測模型之生成。以下,以與上述第1實施方式之不同點為中心對第2實施方式進行說明。
<學習階段之伺服器裝置及管理裝置之功能構成> 首先,對第2實施方式之電漿處理系統的學習階段之伺服器裝置及管理裝置之功能構成進行說明。圖17係表示學習階段之伺服器裝置及管理裝置之功能構成的一例之第1圖。
圖17所示之伺服器裝置1710之功能構成與圖3所示之伺服器裝置110之功能構成之不同點為:於圖17所示之伺服器裝置1710之功能構成中,不具有第1學習部330及第2學習部350。
又,於上述第1實施方式之電漿處理系統中,管理裝置130於學習階段不發揮功能。與此相對地,於第2實施方式之電漿處理系統中,管理裝置130於學習階段作為第1學習部330及第2學習部350發揮功能。
<預測階段之管理裝置之功能構成> 其次,對第2實施方式之電漿處理系統的預測階段之管理裝置之功能構成進行說明。圖18係表示預測階段之管理裝置之功能構成的一例之圖。
再者,於上述第1實施方式之電漿處理系統中,伺服器裝置110作為配方生成部1210、運用條件判定部1220發揮功能(參照圖12)。而於第2實施方式之電漿處理系統中,伺服器裝置1710於預測階段不發揮功能。因此,圖18中省略了伺服器裝置之功能構成之圖示。
圖18所示之管理裝置1730之功能構成與圖12所示之管理裝置130之功能構成之不同點為:於圖18所示之管理裝置1730之功能構成中,具有配方生成部1210、輸入部1211、運用條件判定部1220及輸出部1221。
如此,於第2實施方式之電漿處理系統中,採用將第1學習部330及第2學習部350配置於管理裝置1730之構成。藉此,根據第2實施方式之電漿處理系統,於預測階段,伺服器裝置1710與管理裝置1730之間將無需進行資訊之收發。即,能於管理裝置1730生成用以實現與要求形狀資料一致之處理後基板之形狀資料之最佳配方、及將該最佳配方應用於電漿處理裝置140時所使用之運用條件資訊。
<支援處理之流程> 其次,對第2實施方式之電漿處理系統所實施之支援處理整體之流程進行說明。圖19係表示支援處理之流程之第2流程圖。與於上述第1實施方式中以圖16表示之第1流程圖之不同點為:步驟S1901、S1902之處理內容與步驟S1603、S1604之處理內容不同,且不包含步驟S1610之處理。又,與圖16所示之第1流程圖之不同點為:步驟S1605~S1609之處理之執行主體係管理裝置。
於步驟S1901中,伺服器裝置1710收集與複數個基準配方分別對應之第1學習用資料,並將其發送至管理裝置1730。
於步驟S1902中,伺服器裝置1710收集與複數個基準配方分別對應之第2學習用資料,並將其發送至管理裝置1730。
<總結> 由以上說明可知,第2實施方式之電漿處理系統具有與上述第1實施方式相同之功能,且採用了如下構成: ・將於學習階段發揮功能之第1學習部及第2學習部配置於管理裝置, ・將於預測階段發揮功能之配方生成部及運用條件判定部配置於管理裝置。
藉此,根據第2實施方式之電漿處理系統,能享受與上述第1實施方式相同之效果,並且能於管理裝置生成最佳配方及運用條件資訊。
再者,上述說明中,採用了將於學習階段發揮功能之第1學習部及第2學習部配置於管理裝置之構成。但亦可採用第1學習部及第2學習部配置於伺服器裝置,將已學習形狀模擬模型及已學習腔內狀態預測模型發送至管理裝置之構成(參照圖20)。該情形時,亦能享受與上文所述相同之效果。
圖20係表示支援處理之流程之第3流程圖。執行圖20所示之支援處理時,於步驟S2001中,伺服器裝置生成已學習形狀模擬模型,並將其發送至管理裝置。又,於步驟S2002中,伺服器裝置生成已學習腔內狀態預測模型,並將其發送至管理裝置。藉此,能於管理裝置生成最佳配方及運用條件資訊。
[第3實施方式] 於上述第2實施方式之電漿處理系統中,對將於學習階段發揮功能之第1學習用資料收集部及第2學習用資料收集部配置於伺服器裝置之構成進行了說明。
但於學習階段發揮功能之第1學習用資料收集部及第2學習用資料收集部亦可配置於管理裝置。以下,以與上述第2實施方式之不同點為中心對第3實施方式進行說明。
<學習階段之伺服器裝置及管理裝置之功能構成> 首先,對第3實施方式之電漿處理系統的學習階段之伺服器裝置及管理裝置之功能構成進行說明。圖21係表示學習階段之伺服器裝置及管理裝置之功能構成的一例之第2圖。
圖21所示之伺服器裝置2110之功能構成與圖17所示之伺服器裝置1710之功能構成之不同點為:於圖21所示之伺服器裝置2110之功能構成中,不具有第1學習用資料收集部320及第2學習用資料收集部340。
又,圖21所示之管理裝置2130之功能構成與圖17所示之管理裝置1730之功能構成之不同點為:於圖21所示之管理裝置2130之功能構成中,具有第1學習用資料收集部320及第2學習用資料收集部340。
再者,藉由採用將第1學習用資料收集部320及第2學習用資料收集部340配置於管理裝置2130之構成,如圖21所示,於管理裝置2130中亦會實現第1學習用資料儲存部360及第2學習用資料儲存部370。
如此,藉由採用將第1學習用資料收集部320及第2學習用資料收集部340配置於管理裝置2130之構成,根據管理裝置2130, ・已於製造程序之電漿處理裝置140中執行了蝕刻處理之情形時,能重新收集第1學習用資料及第2學習用資料。 ・能使用重新收集到之第1學習用資料及第2學習用資料,再學習已學習形狀模擬模型及已學習腔內狀態預測模型。
即,根據第3實施方式之電漿處理系統, ・能使用藉由在實驗程序之電漿處理裝置120中執行蝕刻處理而收集到之第1學習用資料及第2學習用資料,學習形狀模擬模型及腔內狀態預測模型。 ・能使用藉由在製造程序之電漿處理裝置140中執行蝕刻處理而重新收集到之第1學習用資料及第2學習用資料,再學習形狀模擬模型及腔內狀態預測模型。
<支援處理之流程> 其次,對第3實施方式之電漿處理系統所實施之支援處理整體之流程進行說明。圖22係表示支援處理之流程之第4流程圖。與於上述第2實施方式中以圖19表示之第2流程圖之不同點為:步驟S2201之處理內容與步驟S1602之處理內容不同,且步驟S1603~S1604之處理之執行主體係管理裝置。又,與圖19所示之第2流程圖之不同點為:追加了步驟S2202。
於步驟S2201中,伺服器裝置2110將複數個基準配方通知給實驗程序之電漿處理裝置,使之執行蝕刻處理。又,伺服器裝置2110將藉由執行蝕刻處理而取得之資料303、304與基準配方一併發送至管理裝置2130。
於步驟S2202中,管理裝置2130判定對已學習形狀模擬模型及已學習腔內狀態預測模型是否要進行再學習。當於步驟S2202中判定為要進行再學習時(於步驟S2202中為“是”時),返回步驟S1603。該情形時,於步驟S1603中,重新收集第1學習用資料,於步驟S1604中,重新收集第2學習用資料。又,於步驟S1605中,再學習已學習形狀模擬模型,於步驟S1606中,再學習已學習腔內狀態預測模型。
而當於步驟S2202中判定為不要進行再學習時(於步驟S2202中為“否”時),結束支援處理。
<總結> 由以上說明可知,第3實施方式之電漿處理系統具有與上述第1實施方式相同之功能,且採用了如下構成: ・將於學習階段發揮功能之第1學習用資料收集部及第2學習用資料收集部配置於管理裝置。
藉此,根據第3實施方式之電漿處理系統,能享受與上述第2實施方式相同之效果,並且能實現形狀模擬模型及腔內狀態預測模型之再學習。
[其他實施方式] 上述各實施方式中,作為會對蝕刻表面反應造成影響之複數個控制參數,例舉了RF信號、處理氣體種類、ESC溫度、腔內壓力,但會對蝕刻表面反應造成影響之控制參數並不限定於該等4種。亦可包含4種以外之控制參數,還可不包含4種控制參數中之任一者。
又,上述各實施方式中,作為可賦予給各步驟之控制參數之組合,例舉了108種組合,但組合之數量並不限定於108種。
例如,作為使RF信號呈脈衝狀變化時之變化方法,例舉了4種(HF/LF=(高/低)、(中/-)、(低/中)、(低/高))變化方法,但使RF信號呈脈衝狀變化時之變化方法並不限定於4種。同樣地,作為使處理氣體之氣體種類及流量經時變化時之變化方法,例舉了9種變化方法,但使處理氣體之氣體種類及流量經時變化時之變化方法並不限定於9種。同樣地,作為使ESC溫度經時變化時之變化方法,例舉了3種變化方法,但使ESC溫度經時變化時之變化方法並不限定於3種。同樣地,作為使腔內壓力經時變化時之變化方法,例舉了3種變化方法,但使腔內壓力經時變化時之變化方法並不限定於3種。
又,上述各實施方式中,作為第1學習用資料(輸入資料)內包含之資訊之項目,例舉了“裝置規格”、“裝置狀態”、“構造資料”、“截面圖像資料”、“配方”。但第1學習用資料(輸入資料)內包含之資訊之項目並不限定於其等。
又,上述各實施方式中,採用了各步驟結束時之截面圖像資料來作為第1學習用資料(正確資料),但第1學習用資料(正確資料)並不限定於截面圖像資料,亦可為其他資訊,只要為表示各步驟結束時之形狀之資訊即可。
又,上述各實施方式中,作為第2學習用資料(輸入資料)內包含之資訊之項目,例舉了“裝置規格”、“裝置狀態”、“配方”。但第2學習用資料(輸入資料)內包含之資訊之項目並不限定於其等。
又,上述各實施方式中,作為第2學習用資料(正確資料)內包含之資訊之項目,例舉了“沈積物量”、“內壁損傷度”。但第2學習用資料(正確資料)內包含之資訊之項目並不限定於其等,亦可為其他資訊,只要為表示電漿處理腔內之狀態之資訊即可。
又,上述各實施方式中,按照已學習腔內狀態預測模型預測沈積物量與內壁之損傷程度兩者進行了說明,但預測沈積物量之模型與預測內壁之損傷程度之模型亦可分開構成。
又,上述各實施方式中,關於清洗條件,對僅決定1種清洗條件之情形進行了說明,但關於清洗條件,亦可決定複數種清洗條件。
例如,最佳配方中包含形成碳系保護膜之步驟之情形時,亦可決定使用包含大量O 2之清洗氣體之清洗條件。又,同樣地,最佳配方中包含形成矽系保護膜之步驟之情形時,亦可決定使用包含大量CF系物質之清洗氣體之清洗條件。再者,決定了複數種清洗條件之情形時,可同時執行基於各清洗條件之清洗,亦可分別執行基於各清洗條件之清洗。
又,上述各實施方式中,按照製造程序之電漿處理裝置140於1個電漿處理腔內執行由管理裝置通知之最佳配方進行了說明。但製造程序之電漿處理裝置140亦可於複數個電漿處理腔內執行由管理裝置通知之最佳配方。具體而言,製造程序之電漿處理裝置140亦可於第1電漿處理腔內執行最佳配方內包含之一部分步驟(例如,進行蝕刻之步驟)。又,製造程序之電漿處理裝置140亦可於第2電漿處理腔內執行最佳配方內包含之其他步驟(例如,形成保護膜之步驟)。
以上所揭示之實施方式例如包括以下態樣。 (附記1) 一種電漿處理系統,其具備: 電漿處理裝置、 支援裝置、及 控制裝置, 上述電漿處理裝置包含: 電漿處理腔; 基板支持部,其設定於上述電漿處理腔內,且包含至少1個電極及至少1個加熱要素; 氣體供給部,其係以向上述電漿處理腔內供給至少1種處理氣體之方式構成; 源RF生成部,其係以為了由供給至上述電漿處理腔內之上述至少1種處理氣體形成電漿而生成源RF信號之方式構成;及 偏壓生成部,其係以向上述至少1個電極供給偏壓信號之方式構成; 上述支援裝置包含: 輸入部,其係以取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與上述電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入之方式構成; 第1決定部,其係以基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數之方式構成,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述複數個控制參數包括與上述源RF信號之功率位準之經時變化相關之第1控制參數、與上述偏壓信號之功率位準之經時變化相關之第2控制參數、與上述至少1種處理氣體之種類及流量之經時變化相關之第3控制參數、及與上述至少1個加熱要素之溫度之經時變化相關之第4控制參數,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習; 第2決定部,其係以基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件之方式構成,上述運用條件包括針對上述電漿處理腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出部,其係以將上述決定之複數個控制參數、及決定之運用條件向上述控制裝置輸出之方式構成; 上述控制裝置係以基於上述決定之複數個控制參數、及上述決定之運用條件,控制上述電漿處理裝置,以處理上述處理前基板之方式構成。 (附記2) 如附記1之電漿處理系統,其中上述第1決定部係以如下方式構成: 以使上述處理後基板之預測形狀與上述處理後基板之要求形狀之間之差縮小之方式,決定上述複數個控制參數。 (附記3) 如附記2之電漿處理系統,其中上述第1決定部係以當判定為上述差處於容許範圍內時,決定上述複數個控制參數之方式構成。 (附記4) 如附記1至3中任一附記之電漿處理系統,其中上述複數個控制參數中進而包括與上述電漿處理腔內之壓力之經時變化相關之第5控制參數。 (附記5) 如附記1至4中任一附記之電漿處理系統,其中上述運用條件係基於處理上述處理前基板之後堆積於上述電漿處理腔之內壁之堆積物之量、或處理上述處理前基板之後上述電漿處理腔之內壁之損傷程度而決定。 (附記6) 如附記1至5中任一附記之電漿處理系統,其中上述清洗條件包括清洗氣體之種類、清洗頻率及清洗時間。 (附記7) 如附記1至6中任一附記之電漿處理系統,其中上述塗覆條件包括塗覆氣體之種類、塗覆頻率及塗覆時間。 (附記8) 如附記1至7中任一附記之電漿處理系統,其進而具備顯示裝置,且 上述輸出部係以將上述決定之複數個控制參數、上述處理後基板之預測形狀、及上述決定之運用條件輸出至上述顯示裝置之方式構成。 (附記9) 一種支援裝置,其具有: 輸入部,其係以取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入之方式構成; 第1決定部,其係以基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數之方式構成,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習; 第2決定部,其係以基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件之方式構成,上述運用條件包括針對上述電漿處理裝置中包含之腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出部,其係以輸出上述決定之複數個控制參數、及決定之運用條件之方式構成。 (附記10) 一種支援方法,其由電腦執行如下工序: 取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入; 基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習; 基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件,上述運用條件包括針對上述電漿處理裝置中包含之腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出上述決定之複數個控制參數、及決定之運用條件。 (附記11) 一種支援程式,其用以使電腦執行如下工序: 取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入; 基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習; 基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件,上述運用條件包括針對上述電漿處理裝置中包含之腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出上述決定之複數個控制參數、及決定之運用條件。
再者,本發明並不限定於上述實施方式中所例舉之構成等,亦不限定於與其他要素之組合等此處所示之構成。對於上述各點,可於不脫離本發明主旨之範圍內進行變更,可根據其應用形態得當地確定。
10:電漿處理腔 10e:排氣口 10s:處理空間 11:基板支持部 12:上部電極簇射頭組件 12a:氣體入口 12b:氣體擴散室 12c:氣體出口 20:氣體供給部 21:氣源 22:流量控制器 30:RF功率供給部 30a:第1RF功率供給部 30b:第2RF功率供給部 31a:第1RF生成部 32a:第1匹配電路 31b:第2RF生成部 32b:第2匹配電路 40:排氣系統 50:控制部 51:電腦 100:電漿處理系統 110:伺服器裝置 111:下部電極 112:靜電吸盤 113:邊緣環 120:電漿處理裝置 130:管理裝置 140:電漿處理裝置 201:處理器 202:記憶體 203:輔助記憶裝置 204:使用者介面裝置 205:連接裝置 206:通信裝置 207:匯流排 301:控制參數 302:基準配方 303:資料 304:資料 310:基準配方生成部 320:第1學習用資料收集部 330:第1學習部 340:第2學習用資料收集部 350:第2學習部 360:第1學習用資料儲存部 370:第2學習用資料儲存部 511:處理部 512:記憶部 513:通信介面 710:第1學習用資料(輸入資料) 720:第1學習用資料(正確資料) 810:輸入部 820:形狀模擬模型 830:比較/變更部 910:第2學習用資料(輸入資料) 920:第2學習用資料(正確資料) 1010:輸入部 1020:腔內狀態預測模型 1030:比較/變更部 1201:生成最佳配方所需之資訊 1202:生成最佳配方所需之資訊 1203:最佳配方等 1204:運用條件資訊 1210:配方生成部 1211:輸入部 1220:運用條件判定部 1221:輸出部 1230:要求部 1240:程序控制部 1310:已學習形狀模擬模型 1320:處理後形狀資料計算部 1330:誤差計算部 1340:配方搜尋部 1350:輸出部 1410:最佳配方 1411:截面圖像資料 1420:處理後形狀資料 1510:已學習腔內狀態預測模型 1520:決定部 1531:清洗條件 1532:預塗條件 1710:伺服器裝置 1730:管理裝置 2110:伺服器裝置 2130:管理裝置
圖1係表示學習階段之電漿處理系統之系統構成的一例之圖。 圖2A係用以說明電漿處理裝置之概要之圖。 圖2B係表示伺服器裝置之硬體構成之一例之圖。 圖3係表示學習階段之伺服器裝置之功能構成的一例之圖。 圖4係表示控制參數之一覽之圖。 圖5係表示控制參數之組合例之圖。 圖6係表示基準配方之具體例之圖。 圖7係表示第1學習用資料之具體例之圖。 圖8係表示第1學習部之處理詳情之圖。 圖9係表示第2學習用資料之具體例之圖。 圖10係表示第2學習部之處理詳情之圖。 圖11係表示預測階段之電漿處理系統之系統構成的一例之圖。 圖12係表示預測階段之伺服器裝置及管理裝置之功能構成的一例之第1圖。 圖13係表示配方生成部之處理詳情之圖。 圖14係表示最佳配方、截面圖像資料及處理後形狀資料之一例之圖。 圖15係表示運用條件判定部之處理詳情之圖。 圖16係表示支援處理之流程之第1流程圖。 圖17係表示學習階段之伺服器裝置及管理裝置之功能構成的一例之第1圖。 圖18係表示預測階段之管理裝置之功能構成的一例之圖。 圖19係表示支援處理之流程之第2流程圖。 圖20係表示支援處理之流程之第3流程圖。 圖21係表示學習階段之伺服器裝置及管理裝置之功能構成的一例之第2圖。 圖22係表示支援處理之流程之第4流程圖。
100:電漿處理系統
110:伺服器裝置
120:電漿處理裝置
130:管理裝置
140:電漿處理裝置

Claims (11)

  1. 一種電漿處理系統,其具備: 電漿處理裝置、 支援裝置、及 控制裝置, 上述電漿處理裝置包含: 電漿處理腔; 基板支持部,其設定於上述電漿處理腔內,且包含至少1個電極及至少1個加熱要素; 氣體供給部,其係以向上述電漿處理腔內供給至少1種處理氣體之方式構成; 源RF生成部,其係以為了由供給至上述電漿處理腔內之上述至少1種處理氣體形成電漿而生成源RF信號之方式構成;及 偏壓生成部,其係以向上述至少1個電極供給偏壓信號之方式構成; 上述支援裝置包含: 輸入部,其係以取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與上述電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入之方式構成; 第1決定部,其係以基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數之方式構成,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述複數個控制參數包括與上述源RF信號之功率位準之經時變化相關之第1控制參數、與上述偏壓信號之功率位準之經時變化相關之第2控制參數、與上述至少1種處理氣體之種類及流量之經時變化相關之第3控制參數、及與上述至少1個加熱要素之溫度之經時變化相關之第4控制參數,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習; 第2決定部,其係以基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件之方式構成,上述運用條件包括針對上述電漿處理腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出部,其係以將上述決定之複數個控制參數、及決定之運用條件向上述控制裝置輸出之方式構成; 上述控制裝置係以基於上述決定之複數個控制參數、及上述決定之運用條件,控制上述電漿處理裝置,以處理上述處理前基板之方式構成。
  2. 如請求項1之電漿處理系統,其中上述第1決定部係以如下方式構成: 以使上述處理後基板之預測形狀與上述處理後基板之要求形狀之間之差縮小之方式,決定上述複數個控制參數。
  3. 如請求項2之電漿處理系統,其中上述第1決定部係以當判定為上述差處於容許範圍內時,決定上述複數個控制參數之方式構成。
  4. 如請求項1之電漿處理系統,其中上述複數個控制參數中進而包括與上述電漿處理腔內之壓力之經時變化相關之第5控制參數。
  5. 如請求項1之電漿處理系統,其中上述運用條件係基於處理上述處理前基板之後堆積於上述電漿處理腔之內壁之堆積物之量、或處理上述處理前基板之後上述電漿處理腔之內壁之損傷程度而決定。
  6. 如請求項1之電漿處理系統,其中上述清洗條件包括清洗氣體之種類、清洗頻率及清洗時間。
  7. 如請求項1之電漿處理系統,其中上述塗覆條件包括塗覆氣體之種類、塗覆頻率及塗覆時間。
  8. 如請求項1之電漿處理系統,其進而具備顯示裝置,且 上述輸出部係以將上述決定之複數個控制參數、上述處理後基板之預測形狀、及上述決定之運用條件輸出至上述顯示裝置之方式構成。
  9. 一種支援裝置,其具有: 輸入部,其係以取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入之方式構成; 第1決定部,其係以基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數之方式構成,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習; 第2決定部,其係以基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件之方式構成,上述運用條件包括針對上述電漿處理裝置中包含之腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出部,其係以輸出上述決定之複數個控制參數、及決定之運用條件之方式構成。
  10. 一種支援方法,其由電腦執行如下工序: 取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入; 基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果來預先學習; 基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件,上述運用條件包括針對上述電漿處理裝置中包含之腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出上述決定之複數個控制參數、及決定之運用條件。
  11. 一種支援程式,其用以使電腦執行如下工序: 取得與處理前基板之構造相關之第1輸入、與處理後基板之要求形狀相關之第2輸入、與電漿處理裝置之規格相關之第3輸入、及與上述電漿處理裝置之狀態相關之第4輸入; 基於上述第1輸入、上述第2輸入、上述第3輸入及上述第4輸入,使用第1機械學習模型來決定複數個控制參數,上述複數個控制參數係用來處理上述處理前基板,以使處理後基板之預測形狀與上述處理後基板之要求形狀吻合者,上述複數個控制參數各自具有經時變化之複數個狀態,上述第1機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習; 基於決定之複數個控制參數、上述第3輸入及上述第4輸入,使用第2機械學習模型來決定上述電漿處理裝置之運用條件,上述運用條件包括針對上述電漿處理裝置中包含之腔之清洗條件及/或塗覆條件,上述第2機械學習模型係基於複數個實驗結果及複數個模擬結果而預先學習;及 輸出上述決定之複數個控制參數、及決定之運用條件。
TW112124732A 2022-07-15 2023-07-03 電漿處理系統、支援裝置、支援方法及支援程式 TW202406412A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022-113776 2022-07-15
JP2022113776 2022-07-15

Publications (1)

Publication Number Publication Date
TW202406412A true TW202406412A (zh) 2024-02-01

Family

ID=89536642

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112124732A TW202406412A (zh) 2022-07-15 2023-07-03 電漿處理系統、支援裝置、支援方法及支援程式

Country Status (2)

Country Link
TW (1) TW202406412A (zh)
WO (1) WO2024014363A1 (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
JP6914211B2 (ja) * 2018-01-30 2021-08-04 株式会社日立ハイテク プラズマ処理装置及び状態予測装置
JP6990634B2 (ja) * 2018-08-21 2022-02-03 株式会社日立ハイテク 状態予測装置及び半導体製造装置
JP2020070470A (ja) * 2018-10-31 2020-05-07 旭化成株式会社 学習処理装置、学習処理方法、化合物半導体の製造方法およびプログラム
JP7412150B2 (ja) * 2019-11-29 2024-01-12 東京エレクトロン株式会社 予測装置、予測方法及び予測プログラム
US11586794B2 (en) * 2020-07-30 2023-02-21 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models
JP2022042979A (ja) * 2020-09-03 2022-03-15 東京エレクトロン株式会社 温度推定装置、プラズマ処理システム、温度推定方法及び温度推定プログラム

Also Published As

Publication number Publication date
WO2024014363A1 (ja) 2024-01-18

Similar Documents

Publication Publication Date Title
TWI772325B (zh) 電漿處理狀態的控制方法與系統
TWI593010B (zh) 具有多重射頻功率之三極體反應器設計
KR102113096B1 (ko) 탐색 장치, 탐색 방법 및 플라스마 처리 장치
TWI706120B (zh) 藉由反射光譜匹配與表面動力模型最佳化之蝕刻輪廓最佳化方法及設備
KR101027183B1 (ko) 플라즈마 처리로부터 데이터를 분석하는 방법 및 시스템
JP2019040984A (ja) 探索装置及び探索方法
JP6849801B2 (ja) 選択的エッチング速度モニタ
JP7356409B2 (ja) キロヘルツ無線周波数発生器の存在下でメガヘルツ無線周波数発生器の供給電力の効率性を高めるためのシステムおよび方法
KR20090104770A (ko) 다층/다중입력/다중출력(mlmimo) 모델 및 그 이용 방법
JP2002299322A (ja) プラズマ処理装置およびプラズマ処理方法
JP2020092036A (ja) 制御方法及びプラズマ処理装置
JP2014197676A (ja) 電力制御モードのためのチャンバマッチング
JP6928548B2 (ja) エッチング方法
TW202406412A (zh) 電漿處理系統、支援裝置、支援方法及支援程式
TWI632591B (zh) 間隔件側壁遮罩之雕塑方法及系統
JP2023111455A (ja) エッチング制御方法およびエッチング制御システム
JP2002009059A (ja) プラズマエッチング方法および電子デバイスの製造方法並びにプラズマエッチング装置およびプラズマ処理装置
JP3927464B2 (ja) プラズマ処理方法
KR20200133274A (ko) 공정 플라즈마의 이온 에너지 분포를 제어하기 위한 장치 및 방법
WO2023157682A1 (ja) エッジリングの消耗量を求める方法、プラズマ処理装置、及び基板処理システム
US20210272772A1 (en) Substrate processing system, switching timing creation support device,switching timing creation support method, and substrate processing apparatus
US20230239966A1 (en) Model-based control method, model-based control system, and storage medium
TW202410181A (zh) 基板處理裝置之控制方法及基板處理系統
US20240234113A1 (en) Plasma processing method and plasma processing apparatus
JP2021061380A (ja) クリーニング条件の決定方法及びプラズマ処理装置