TWI772325B - 電漿處理狀態的控制方法與系統 - Google Patents
電漿處理狀態的控制方法與系統 Download PDFInfo
- Publication number
- TWI772325B TWI772325B TW106131104A TW106131104A TWI772325B TW I772325 B TWI772325 B TW I772325B TW 106131104 A TW106131104 A TW 106131104A TW 106131104 A TW106131104 A TW 106131104A TW I772325 B TWI772325 B TW I772325B
- Authority
- TW
- Taiwan
- Prior art keywords
- complex
- processing
- plasma reactor
- plasma
- reactor
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 375
- 238000000034 method Methods 0.000 title claims abstract description 304
- 238000010801 machine learning Methods 0.000 claims abstract description 92
- 239000000758 substrate Substances 0.000 claims abstract description 84
- 238000004519 manufacturing process Methods 0.000 claims abstract description 74
- 239000013598 vector Substances 0.000 claims abstract description 73
- 230000008569 process Effects 0.000 claims description 240
- 230000032683 aging Effects 0.000 claims description 50
- 238000012544 monitoring process Methods 0.000 claims description 27
- 230000008859 change Effects 0.000 claims description 26
- 238000011282 treatment Methods 0.000 claims description 26
- 238000004140 cleaning Methods 0.000 claims description 25
- 230000035945 sensitivity Effects 0.000 claims description 24
- 238000005259 measurement Methods 0.000 claims description 23
- 238000004422 calculation algorithm Methods 0.000 claims description 15
- 238000012795 verification Methods 0.000 claims description 14
- 238000001636 atomic emission spectroscopy Methods 0.000 claims description 11
- 238000009832 plasma treatment Methods 0.000 claims description 6
- 230000004907 flux Effects 0.000 claims description 4
- 230000007935 neutral effect Effects 0.000 claims description 4
- 238000005086 pumping Methods 0.000 claims description 3
- 238000000926 separation method Methods 0.000 claims description 3
- 238000001839 endoscopy Methods 0.000 claims description 2
- 230000000977 initiatory effect Effects 0.000 claims description 2
- 150000002500 ions Chemical class 0.000 claims 2
- 238000009713 electroplating Methods 0.000 claims 1
- 230000001131 transforming effect Effects 0.000 abstract 1
- 235000012431 wafers Nutrition 0.000 description 69
- 238000006243 chemical reaction Methods 0.000 description 14
- 239000004065 semiconductor Substances 0.000 description 14
- 239000007789 gas Substances 0.000 description 13
- 238000013528 artificial neural network Methods 0.000 description 11
- 238000000151 deposition Methods 0.000 description 11
- 230000008021 deposition Effects 0.000 description 11
- 239000000463 material Substances 0.000 description 11
- 238000012360 testing method Methods 0.000 description 11
- 230000006870 function Effects 0.000 description 10
- 238000005530 etching Methods 0.000 description 9
- 230000000737 periodic effect Effects 0.000 description 8
- 238000003860 storage Methods 0.000 description 8
- 238000003066 decision tree Methods 0.000 description 7
- 230000006399 behavior Effects 0.000 description 5
- 230000001276 controlling effect Effects 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 238000013179 statistical model Methods 0.000 description 5
- 238000010200 validation analysis Methods 0.000 description 5
- 238000012512 characterization method Methods 0.000 description 4
- 230000002596 correlated effect Effects 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 238000004590 computer program Methods 0.000 description 3
- 238000013500 data storage Methods 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000012423 maintenance Methods 0.000 description 3
- 238000013178 mathematical model Methods 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 230000002093 peripheral effect Effects 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 230000009466 transformation Effects 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 230000000875 corresponding effect Effects 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 238000007405 data analysis Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 230000002431 foraging effect Effects 0.000 description 2
- 230000001965 increasing effect Effects 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 230000003449 preventive effect Effects 0.000 description 2
- 241000894007 species Species 0.000 description 2
- 238000012706 support-vector machine Methods 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 1
- 238000007743 anodising Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000013529 biological neural network Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 238000007621 cluster analysis Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000013135 deep learning Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000005315 distribution function Methods 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- 238000000295 emission spectrum Methods 0.000 description 1
- 238000005265 energy consumption Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 235000011194 food seasoning agent Nutrition 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 230000036541 health Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000001976 improved effect Effects 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 230000010365 information processing Effects 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000012417 linear regression Methods 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000003278 mimic effect Effects 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 210000002569 neuron Anatomy 0.000 description 1
- -1 oxides Substances 0.000 description 1
- 239000013618 particulate matter Substances 0.000 description 1
- 230000002085 persistent effect Effects 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000011165 process development Methods 0.000 description 1
- 238000011028 process validation Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000002035 prolonged effect Effects 0.000 description 1
- 230000000644 propagated effect Effects 0.000 description 1
- 238000012797 qualification Methods 0.000 description 1
- 238000007637 random forest analysis Methods 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 230000002787 reinforcement Effects 0.000 description 1
- 230000008521 reorganization Effects 0.000 description 1
- 238000012827 research and development Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000007619 statistical method Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32926—Software, data control or modelling
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
- H01J37/32972—Spectral analysis
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/3299—Feedback systems
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0128—Processes for removing material
- B81C2201/013—Etching
- B81C2201/0135—Controlling etch progression
- B81C2201/0138—Monitoring physical parameters in the etching chamber, e.g. pressure, temperature or gas composition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
控制電漿反應器之處理狀態以起始生產基板之處理及/或判斷反應器清理後反應器的完備狀態及是否需為接續的生產晶圓處理進行陳化的方法及系統。方法利用該電漿反應器之為了達到複數期望處理狀態數值所近似之複數調整旋鈕用之複數設定,在該電漿反應器中起始一基板的處理。在該基板處理期間自該電漿反應器接收複數數據流。該複數數據流係用以識別複數目前處理狀態數值。該方法包含產生一補償向量,該補償向量識別該複數目前處理狀態數值與該複數期望處理狀態數值之間的複數差異。產生該補償向量使用機器學習以改善並重新歸檔該識別及該補償向量中所識別出的所需補償量。該方法更包含將該補償向量轉換為該複數調整旋鈕用之該複數設定的複數調整,接著將該複數調整應用至該電漿反應器的該複數調整旋鈕。
Description
本發明實施例係關於用以特徵化電漿反應器中之處理期間所期望之處理狀態及使用在電漿處理期間所收集到之數據流而對處理參數進行調整而使目前處理狀態最匹配期望處理狀態的方法及電腦實施程序。在某些實施例中,所進行的調整為對物理設定如控制電漿反應器之參數設定之旋鈕的調整,且此類設定係用以使處理朝向已知的期望處理狀態移動。又,在各種所揭露的實施例中,揭露關於最佳化自電漿反應器之感測器所收集到之數據流之處理的方式,且處理數據流而產生調整係基於機器學習演算法。
長久以來電漿被用於處理基板(例如晶圓或平板)以形成電子產品(如積體電路或平面顯示器)。半導體晶圓通常被放在蝕刻室且具有遮罩層引導蝕刻下方材料。蝕刻處理移除未被遮罩覆蓋的下方材料。由於在蝕刻室中所產生的揮發性電漿條件,蝕刻處理亦可自電漿室內的部件的表面移除材料。因此處理室內之部件隨著時間而損耗且累積可改變蝕刻效能及/或造成處理漂移的粒子物質
及/或蝕刻殘留物。針對此原因,除了需要置換可消耗的部件外,亦需要週期性地進行濕式清理操作(即處理室之內部表面及/或部件)。
在濕式清理後,在允許處理室進行生產晶圓處理之前,必須要經由各種步驟/處理(即針對濕式清理而做的回復處理)重新調整處理室。此處理有時被稱為腔室「陳化(seasoning)」。陳化試著製造出能模仿穩態的表面條件。當到達穩態時,解決方案似乎很脆弱即其並非在不同的處理之間通用或在不同的腔室之間通用。或許更糟,陳化本身可能會佔了整個設備使用的大部分,例如陳化需要15-70小時而處理僅進行150-250小時。顯而易知地,這很傷製造能力,更不用說其浪費能量消耗、陳化晶圓費用、及消耗品因受到陳化而耗損的高費用。又,雖然處理室自濕式清理回復,但晶圓製造亦停止。
如眾所週知,蝕刻與沉積反應器為極複雜的設備,其有多變量控制正確的晶圓處理條件。在現代的半導體處理中,系統已變得非常複雜,難以利用一系列平凡的物理程式來解釋不同的物理/化學處理。由於此複雜性,難以利用所有氣體/壓力/功率/頻率輸入而模型化現代乾式蝕刻/沉積處理的完整形式。此模型化(及藉由延伸之預測)的困難性已將電漿處理變成一種會大幅取決於專家(在此例中為工程師)之經驗水準與環境而非可預測之科學的技藝。
本發明之實施例係於此背景下產生。
揭露控制電漿反應器之處理狀態以起始生產基板之處理及/或判斷反應器清理後反應器的完備狀態及是否需為接續的生產晶圓處理進行陳化的方法及系統。該方法利用該電漿反應器之為了達到複數期望處理狀態數值所近
似之複數調整旋鈕用之複數設定,在該電漿反應器中起始一基板的處理。在該基板處理期間自該電漿反應器接收複數數據流。該複數數據流係用以識別複數目前處理狀態數值。該方法包含產生一補償向量,該補償向量識別該複數目前處理狀態數值與該複數期望處理狀態數值之間的複數差異。產生該補償向量使用機器學習以改善並重新歸檔該識別及該補償向量中所識別出的所需補償量。該方法更包含將該補償向量轉換為該複數調整旋鈕用之該複數設定的複數調整,接著將該複數調整應用至該電漿反應器的該複數調整旋鈕。
在某些實施例中,該方法更包含在該基板處理期間持續自該電漿反應器接收該複數數據流而產生對該複數調整旋鈕用之該複數設定的該複數調整,以協助該複數目前處理狀態數值朝向該複數期望處理狀態數值移動。
在某些實施例中,該期望處理狀態與該目前處理狀態係定義於一虛擬空間中,該虛擬空間係說明複數感測器在該電漿反應器之一處理體積內所感測到之複數電漿條件的一物理狀態。例如但不限受地,該複數電漿條件可為針對一特定反應器壁表面條件在該基板之一平面處之一組偵測到的離子、電子與中性粒子通量。
在某些實施例中,該補償向量識別在該虛擬空間中該複數目前處理狀態數值與該複數期望處理狀態數值之間的該複數差異。又,該補償向量的該轉換將對該複數旋鈕用之該複數設定的該複數調整識別為一組具有一經識別之物理調整之複數經識別的實體旋鈕。在一實施例中,該電漿反應器的一控制器係用以處理造成該複數旋鈕用之該複數設定之該複數調整的複數程式指令。
在某些實施例中,一多變量程序係用以識別該複數目前處理狀態數值與該複數期望處理狀態數值之間的該複數差異。該多變量處理包含處理機
器學習而至少部分基於自該基板處理之蝕刻速率效能或監測晶圓效能中的一或兩者所接收之驗證反饋對該複數期望處理狀態數值進行調整以產生複數經調整之期望處理狀態數值。
在某些實施例中,針對一特定的電漿反應器與一特定的處理配方識別該基板處理,且每一特定的處理配方與每一特定的電漿反應器皆具有一相關的模型,此相關的模型包含該複數調整旋鈕用之該複數設定與該複數期望處理狀態數值。在一組態中,自一模型數據庫接取該模型。
在某些實施例中,當使用來自該模型數據庫的一模型時,一機器學習程序產生該模型之該複數調整旋鈕用之該複數設定的該複數調整以改善該特定電漿反應器之複數設定,以達到該複數期望處理狀態數值。這使得該模型數據庫中的複數模型隨著時間被精鍊並改善。
在某些實施例中,該方法包含基於該機器學習所產生的該複數調整更新該模型數據庫中的該複數模型。
在某些實施例中,機器學習使用該電漿反應器之該複數感測器的敏感度數據作為輸入,俾使經產生之該補償向量包含基於該敏感度數據所調節的複數調整。
在另一實施例中,揭露一種反應器之電漿處理之處理狀態的控制系統。該電漿反應器具有對該電漿反應器之複數操作條件產生複數設定的複數調整旋鈕。包含該電漿反應器的複數感測器,該複數感測器中的每一者係用以在該電漿反應器之操作期間產生用以進行該電漿處理之資訊的一數據流。該電漿反應器的一控制器係用以執行一多變量程序,該多變量處理係用以使用複數期望處理狀態數值作為輸入並識別複數目前電漿處理數值,該複數期望處理狀態
數值定義在該電漿反應器之一處理環境內之複數期望的可量測條件。該多變量程序使用一機器學習引擎,該機器學習引擎接收該複數期望處理狀態數值、在該電漿處理的處理期間來自該複數感測器的複數數據流、與複數感測器訊號相關以補償該複數調整旋鈕的敏感度數據、及一現象模型欲使用之反應器壁表面動力學,該現象模型以該電漿反應器之該複數感測器所產生之該複數數據流來定義該處理環境內的電漿動力學。
機器學習引擎係用以識別用以產生一補償向量之複數目前處理狀態數值。該補償向量定義該複數期望處理狀態數值與該複數目前處理狀態數值之間的複數差異。該控制器係更用以執行一補償處理,將以該處理環境內之複數量測到的條件所表達的該補償向量轉換為該電漿反應器之該複數調整旋鈕中之特定一或多者的複數變化。該控制器係用以指示關於該電漿反應器之該複數調整旋鈕的變化以造成該反應器之該處理環境之該複數可量測條件的一變化。
在某些實施例中,該機器學習引擎係用以週期性地接收關於複數蝕刻速率量測數據或複數監測晶圓量測數據中之一者或兩者之量測到的基板效能數據,該量測到的基板效能數據係用以對該複數期望處理狀態數值進行調整,其進而造成該補償向量的調整並造成該複數調整旋鈕中之該一或多者的變化。
在某些實施例中,該機器學習引擎係用以使用自該複數蝕刻速率量測數據或該複數監測晶圓量測數據中之一者或兩者所獲得的實際數據進行該複數目前處理狀態數值的驗證。
在某些實施例中,該系統執行使用複數非生產基板的一電漿反應器陳化階段。該控制器藉著執行該多變量程序以識別該複數目前處理狀態數值何時落在一範圍內而監測該電漿反應器陳化階段,該範圍能致使該複數調整旋
鈕的複數調整而將該電漿反應器置於適合處理生產基板的一完備狀態並能致使該電漿反應器陳化階段的中斷。
在某些實施例中,該系統執行使用複數生產基板的一製造階段。該控制器執行該多變量程序以識別該複數目前處理狀態數值何時落入一範圍內,該範圍致使該複數調整旋鈕的複數調整以補償該電漿處理中的漂移。漂移的補償在該製造階段期間發生複數次,且計算該複數調整旋鈕的該複數調整以使該複數感測器所量測到之該處理環境更向該複數期望處理狀態數值移動。
自參考附圖的下面詳細說明當明白其他態樣。
100:電漿反應器
102:基板
104:下電極
106:上電極
107:聚焦環
108:限制環
110:襯墊
112:RF源
114:泵浦
116:源氣體
120:控制器
121:電漿
122:配方
124:系統控制
130:多變量程序
131:電漿反應器
132:感測器
134:旋鈕
136:數據流
138:補償
140:變化
150:多變量處理器
150’:多變量程序
151:模型處理
152:初始模型生成
154:操作
156:更新
160:操作
161:模型
170:期望處理狀態數值
171:週期性數據
172:目前處理狀態數值
174:蝕刻效能驗證
180:機器學習引擎
182:反應器壁表面動力學
184:現象模型
186:轉換處理
192:敏感度
194:補償向量
196:操作
197:邊界定義
198:補償向量
202:實驗學習處理
204:測試基板
204a:生產基板
206:旋鈕設定
208:配方
220:初始模型
230:模型數據庫
230a-230n:模型
230j:模型
232:旋鈕
234:期望處理狀態數值
300:圖示
302:反應器
304:處理
320:數據庫
322a-322n:反應器
402:驗證操作
404:操作
406:更新
500:處理操作
502:操作
504:操作
506:操作
508:操作
510:操作
512:操作
514:操作
516:操作
600:驗證操作
650:漂移控制操作
602:操作
604:操作
606:操作
607:操作
608:操作
610:操作
611:操作
612:操作
614:操作
616:操作
618:操作
620:調整
702:操作
704a:陳化操作
704b:陳化操作
706:操作
708:操作
710:操作
712:操作
714:操作
804:中央處理單元
806:隨機存取記憶體
808:系統控制器程式
810:匯流排
812:唯讀記憶體
814:大量儲存裝置
818:顯示器
820:I/O介面
822:鍵盤
824:游標控制
830:網路介面
832:網路
834:可移除的媒體裝置
熟知此項技藝者藉著下面參考附圖的說明將較佳地瞭解本發明之實施例。
圖1例示一電漿反應器,此電漿反應器可與一控制器一起使用而處理基板。
圖2例示根據一實施例之使用多變量程序在反應器處理期間進行監測並將動態反饋提供予複數旋鈕的一般架構。
圖3例示根據一實施例之產生與更新模型的一實例,模型係用以起始反應器中之基板的處理。
圖4例示根據一實施例之一系統的圖,在此系統中使用控制器識別處理基板用的一初始模型。
圖5例示使用多變量處理器以對複數旋鈕進行複數調整的一般實例。
圖6例示一例示性流程,其中來自複數感測器的複數數據流被提供予多變量處理器。
圖7A例示根據一實施例之一流程圖,此流程圖係用以代表與操作多變量程序相關的數據流。
圖7B例示根據一實施例之用以維持處理狀態之機器學習的一例示性實施例。
圖8例示根據一實施例之一例示性處理操作。
圖9例示根據一實施例之複數方法操作的一實例,可針對驗證操作及漂移控制操作進行此複數方法操作。
圖10例示根據一實施例之一操作的實例,此操作在反應器陳化操作之後使反應器回歸。
圖11例示另一實施例,其中在操作中清理或維持反應器。
圖12為用以施行實施例之一電腦系統的簡化概圖。
下面的實施例說明用以監控電漿處理系統尤其是用以處理半導體基板如晶圓之電漿處理設備的方法、裝置、系統、及電腦程式。
在一實施例中,提供為了解決在處理期間調整電漿反應器以達到期望的處理效能以及當電漿反應器因例如粒子及/或材料累積在表面及室壁及暴露至電漿之腔室消耗性部件的消耗或損耗而經歷物理變化時維持電漿反應器隨著時間之此效能的複雜度的方法及系統。又,需要能在清理後之操作如濕式清理
後之操作期間監測腔室條件以識別腔室何時表現出確認陳化處理完成之特性的方法及系統。
在一實施例中,藉著施行數據分析可克服與下列者相關的複雜度:處理狀態的此類監測、識別陳化處理何時完成、及在製造程序期間針對處理漂移進行調整。數據分析使用來自現存於(或新加入)電漿反應器中之不同感測器的複數數據流。接著分析數據以提供關於電漿反應器之處理環境之實質上實時的資訊。經由此資訊可定義偏離理想行為的偏差,因此推導得到一組複數補償數值,此複數補償數值可被應用至電漿反應器的複數旋鈕以修正該偏差。
在一實施例中,除了針對電漿處理類型與電漿反應器類型比較複數目前處理狀態與複數期望處理狀態之外,機器學習引擎係用以自過去的處理學習,這能對複數期望處理狀態數值產生調整與進行精細化。在一實施例中,機器學習引擎操作隨著時間被重新定義的一數學模型,此數學模型不僅能學習及修正複數期望處理狀態數值更能學習及修正複數補償變量及其大小,當補償變量被轉譯為複數物理變量時可被用來作為能物理控制電漿反應器、其數值、其設定的複數旋鈕。
在一實施例中,文中所揭露之實施例的態樣定義了在一生產晶圓被導入至電漿反應器中時製程工程師想要的電漿的處理狀態。廣泛而言,該處理狀態為期望處理狀態,其為電漿反應器之處理環境內的複數可量測條件。該複數條件例如可藉由電漿反應器的複數感測器在處理期間量測而產生複數數據流。例如每一數據流皆可提供一特定條件隨著時間所讀取的複數數值以及代表該條件中之複數變化的複數數值。
在一實施例中,反應器之複數期望處理狀態數值定義當欲導入晶圓時電漿反應器之期望操作狀態。例如,若電漿反應器才剛經歷濕式清理,會使電漿反應器經歷一陳化階段,在陳化階段中會處理複數片陳化晶圓直到電漿反應器達到期望處理狀態為止,或在一實施例中當電漿反應器之複數旋鈕係被調整而將目前處理偏移至期望處理狀態為止。在一實施例中,處理狀態被定義為在複數特定壁邊界條件下一晶圓平面處之離子、自由基、電子、及中性粒子通量中的一者或一組合。在一實施例中,此些複數條件係由電漿反應器的複數感測器所偵測。
處理狀態可被定義為一空間變量,但在一實施例中其可被定義於電漿反應器中的一任意點處。關聯在此任意點處之處理狀態與晶圓上的複數結果(例如在處理驗證期間)能消除必須應付處理狀態在電漿反應器內之空間相依性的需要。例如,可以來自反應器內不同空間位置處的感測器數據來應付處理狀態的空間變異。一般相信,反應器之「蝕刻速率」的資訊(若處理為蝕刻電漿處理)係包含於來自反應器之複數感測器的複數數據流中。任何特定的數據流皆不可能具有所有的資訊,但不同數據流的數據組合可識別電漿反應器的「處理狀態」。
識別以來自電漿反應器之感測器輸出的一數學模型所定義的「處理狀態」為一特殊的方式。在一實施例中,反應器的「處理狀態」可以數學構的方式描述,藉此可將其應用至以複數感測器輸出特徵化反應器之基板特徵的任何反應器。
一旦以反應器的複數感測器輸出來定義反應器的一「處理狀態」,可實時持續地監測蝕刻速率並將其與期望「處理狀態」比較。目前與期望「處
理狀態」之間的比較可產生一「補償向量」,經由適當的數學轉換可將此「補償向量」轉換為複數反應器位準變量(即旋鈕ID及該旋鈕的變化量)。因此在此實例中,複數反應位準變量器為電漿反應器上的複數調整旋鈕如氣流、壓力、溫度等。經由複數補償向量,可補償反應器上的複數調整旋鈕以達到電漿反應器中之期望「處理狀態」所用的複數數值。
在一實施例中,以全新的觀點使用來自反應器的複數數據流,藉此將其用於經由使用機器學習的腔室控制。下面的圖1-12將提供資訊如何流動以致使電漿反應器背景下之機器學習的實例。廣泛地說,機器學習能致使有效率的處理校正以準備濕式清理操作後的電漿反應器、能有效率地識別電漿反應器何時完成其陳化操作、及動態使用機器學習以修正生產晶圓處理期間的處理漂移。
應明白,本發明之實施例可在缺乏部分或全部此些特定細節的情況下實施。在其他情況中,不詳細說明已知的處理操作以免不必要地模糊本發明的實施例。
圖1例示例示性的電漿反應器100,其可與控制器120一起使用以處理基板102。基板可為例如半導體晶圓、平面顯示器、或可利用電漿處理處理之任何其他類型的基板。控制器120係用以執行多變量程序130,多變量程序130實施機器學習以基於一期望處理狀態動態調整反應器100的處理狀態。應瞭解,電漿反應器100僅為可受惠於多變量程序130之反應器的一種類,多變量程序130可由控制器120所執行或由與控制器120介接的一處理電腦所執行。
如所示,電漿反應器100為一電容耦合電漿(CCP)蝕刻室,其使用RF(射頻)源112對下電極104供能。上電極106係耦合至地,且使用限制環108
來維持基板102之表面上方之處理區域中的電漿121。此蝕刻室亦包含襯墊110,其保護室壁表面不受電漿微粒累積並允許有效率的清理。顯示聚焦環107圍繞基板102與下電極104。在一實施例中,源氣體116係經由設置在上電極106中或與上電極106相鄰的噴淋頭輸送至電漿反應器100中。使用一或多個泵浦114在操作期間調整電漿反應器100內的壓力、處理氣體。
因此CCP蝕刻室僅為可受惠於使用多變量程序130達到複數旋鈕之有效率補償而達到及/或維持複數期望處理狀態之電漿反應器100的一實例。不受限地,其他類型的電漿室可包含使用不同類型之沉積處理的沉積室、其他類型的蝕刻室如感應耦合電漿(ICP)蝕刻室等。此些腔室中的任一者皆可被控制器120或一電腦所控制而調整電漿反應器100的系統控制124。系統控制124在一實施例中代表對一或多個旋鈕134的控制。電漿反應器100亦可與複數感測器132相關。在某些實施例中,複數感測器可取決於電漿反應器100的結構而有所變化,或可將額外的感測器添加至電漿反應器100以在處理期間自電漿121捕捉特定類型的數據。
如所示,複數感測器132可包含下列的一或多者:光發射光譜(OES)感測器、壓力感測器、電壓感測器、電流感測器、溫度感測器、流率感測器、頻率感測器、功率感測器、量測感測器、及上述者之兩或更多者的組合。例如,下列表A例示可自電漿反應器之各種感測器獲得的例示性資訊。
應注意,不同類型的電漿反應器可具有不同類型的感測器,且可添加文中所列之例示性感測器以外的其他額外感測器。又,應瞭解,此資訊毋須應付絕對數值。是以,差異變化為使用該機器學習之多變量程序中的焦點。因此,可統計評估甚至小的大小變化。
亦顯示的是例示性的複數旋鈕134,取決於電漿反應器的類型可額外地變化複數旋鈕134。複數旋鈕的例示性類型可包含下列的一或多者:調整氣體流計(如MFC)的控制、調整功率功定的控制、調整溫度設定的控制、調整電漿反應器之上與下電極之間之實體分離間隙的控制、調整靜電夾頭(ESC)之溫度或操作的控制、調整偏壓功率設定的控制、設定腔室壓力的控制、設定一或多個射頻產生器之頻率的控制、設定特定配方操作之運行時間的控制、設定真空之泵抽速率的控制、設定氣流之持續時間的控制、配方中之氣體分壓的控制、設定監測演算法的控制、啟動內視鏡檢查的控制、設定或判斷清理操作之間之間隔的控制、或上述者之兩或更多者的組合。如所述,不同類型的電漿反應器可具有不同類型的複數旋鈕,且可添加文中所列之旋鈕以外的額外複數旋鈕。
在一實施例中,控制器120可執行多變量程序130以將電漿反應器100置於製造服務狀態。在清理操作之後可能會需要此操作,在清理操作中使腔室對環境開放並仔細完整地清理及/或移除並置換部件。有時,此類的清理被稱為濕式清理,因為電漿反應器會被開啟並受到各種類型的清理及/或調整操作。在服務期間必須要週期性地清理腔室是因為電漿處理的本質就是會製造可能會附著在反應器之內部區域表面的微粒及副產物,因此必須要努力清理表面以避免過度的處理漂移。
若發生過度的處理漂移,蝕刻操作(或沉積操作)的效能可能會變化且可能無法產生特定處理所需求的相同結果。在漂移將處理結果改變至無法接受的程度之前,通常可程式地關閉腔室並使腔室經歷濕式清理。在進行濕式清理之後,腔室需要經歷陳化操作。陳化操作使用被用來近似使用生產晶圓所進行
之處理類型的基板。在陳化操作期間進行蝕刻處理(或沉積處理),此處理會造成微粒與材料附著在處理室內的表面。
若不使用文中所述的多變量程序130,典型的處理需要操作者簡單地運行陳化操作數小時。由於不可能精準地判斷腔室何時已被適當地陳化,因此常見的作法為運行陳化操作比所需時數更多數小時。當然,這實質上延遲了電漿反應器能用以處理生產晶圓的時間。根據一實施例,多變量程序130係用以使用機器學習比較自電漿反應器之複數感測器所捕捉到之複數數據流所偵測到的複數期望處理狀態數值,並使用機器學習判斷特定複數旋鈕欲使複數目前處理狀態數值匹配或極近似複數期望處理狀態數值所需的複數調整。
在濕式清理操作後可進行此程序,其可在不用處理陳化晶圓浪費多餘時間的情況下識別腔室何時處於可用於製造的完備狀態、晶圓何時的確已可用於製造使用。當將電漿反應器投入製造時,可設定複數的特定配方122定義電漿處理的複數起始參數。該複數起始參數可識別初始之複數調整旋鈕之複數設定134以及與將電漿反應器置於接收生產晶圓之條件相關的其他複數參數。一開始,可自一模型數據庫識別電漿反應器的處理狀態,其中一模型包含複數期望處理狀態數值及複數調整旋鈕設定。在一實施例中,一開始可藉由實驗學習處理來建構模型數據庫,實驗學習處理使用測試晶圓而產生能被置於模型數據庫中的初始模型。
當在製造期間進行處理時,製造處理可使用多變量程序產生更新,多變量程序可更新及精細化處理的模型。因此,當進行處理多次時,亦重新定義及更新期望處理狀態的數值及對應的複數旋鈕。這產生一類型的持續學習反饋,其隨著時間改善系統的效能。因此,自電漿反應器131之複數感測器所接收之來
自複數感測器132的感測器數據可為複數製造感測器數據流136,其可饋送至多變量程序130。若多變量程序130判斷出需要對特定之一或多個旋鈕134的複數設定進行調整,補償138傳播至真實的旋鈕以完成在處理期間的變化140。此反饋操作確保電漿反應器100中的電漿處理環境達到期望處理狀態,甚至是在電漿反應器內的複數條件持續變化的情況下(例如微粒及/或材料累積在室壁及/或消耗性部件上)。
圖2例示根據一實施例之在反應器100之處理期間內使用多變量程序150進行監測並將動態反饋提供予複數旋鈕134的大致架構。在一實施例中,多變量程序150使用機器學習引擎180,機器學習引擎180自各種數據產生模型取複數輸入以基於電漿反應器100內的複數變化條件決定所需的補償程度。
補償係以對複數旋鈕134之複數調整的形式提供,其能修改電漿反應器100的複數狀態,因此針對在電漿反應器100內正在運行的特定處理類型加以計算以產生更緊密近似期望處理狀態的目前處理狀態。廣泛地說,處理類型應指欲在電漿反應器100中進行之處理操作的類型。處理類型可以蝕刻操作的特定類型與其特定化學品以及與一配方相關的複數參數所定義。類似地,可針對電漿反應器100欲執行之一特定沉積操作定義處理類型。在下面的討論中,參考蝕刻操作說明,但應瞭解,該些操作同樣可用於沉積操作。
如所示,根據一實施例,模型處理151為可加以施行而產生複數模型的一操作,其中模型可特徵化電漿反應器的一處理。當一類型的反應器或處理先前並未被運行過時且製程工程師需要驗證特定電漿反應器之特定處理是否合格時,可進行初始模型生成152。此些操作可藉著在電漿反應器暴露至複數特定處理條件及相關的複數調整旋鈕設定時在電漿反應器中實驗測試複數測試晶
圓而加以實施。一旦製程工程師已在此實驗測試中驗證過該處理,在操作154中生成一模型。
模型包含處理類型與反應器類型的識別資訊。如下面將更詳細說明的模型可包含識別期望處理狀態以及該特定處理之複數調整旋鈕的資訊。例如,可以藉由例如電漿反應器之複數感測器所獲得之複數可偵測特性來識別複數處理狀態數值。在一實施例中,複數電漿狀態數值的此特徵化被稱為虛擬空間特性,因為該些數值並非是複數特定的設定而是可自電漿反應器之複數感測器所收集到之複數數據流所識別的複數可偵測的數值。如下所將述,此些複數虛擬空間特性可被轉換為複數真實調整旋鈕的識別符及複數調整旋鈕大小,其定義了欲調整或改變之特定調整旋鈕以及調整旋鈕或複數調整旋鈕的特定改變或調整量。
因此,處理可始於使用者(製程工程師或技術人員)在操作160中識別一電漿處理的一處理設定,其功能在於自模型數據庫選擇一模型161。包含複數期望處理狀態數值及初始複數調整旋鈕的該模型係藉由162而與多變量程序150通訊。這定義了處理的初始起始點,近識別了複數特定的期望處理狀態數值。來自電漿反應器100之複數感測器的複數數據流136被提供予多變量程序150的機器學習引擎180。
此外,機器學習引擎180使用複數期望處理狀態數值170以基於複數感測器所提供之複數數據流判斷複數目前處理狀態數值172何時並未與複數期望處理狀態數值170相匹配。此外,機器學習引擎180將自蝕刻速率分析接收週期性的資訊,蝕刻速率分析可在利用量測設備測試一或多片基板後進行。可利用一監測晶圓進行類似的處理,其係用以近似電漿反應器100欲執行之處理
的類型。在任一操作中,可進行蝕刻效能驗證174並將其作為週期性數據171提供予機器學習引擎180。
這使得機器學習引擎180判斷複數期望處理狀態數值170何時應被調整因為電漿反應器100的真實效能不再匹配原始的期望處理狀態170。如此,機器學習引擎180可基於其週期性的驗證操作如利用被反饋至機器學習引擎180的離線量測測試數據來動態地調整期望處理狀態170。此外,可將關於反應器壁表面動力學182的資訊提供予機器學習引擎180。由於此資訊可包含和腔室壁表面之推斷特性(其在處理期間變化)相關的數據。例如,此數據可自腔室壁特性的複數歷史量測值所推斷,腔室壁特性例如是材料累積、脫屑、粗糙度、消耗性部件的使用、及其他物理特性。此數據可被推斷,因為其係由一模型所提供,此模型預測在操作期間隨著時間發生在反應器上的物理變化的類型。在某些實施例中,此數據可基於對反應器壁表面的檢視如腔室何時進入濕式清理循環而動態地受到更新及精細化。
使用來自現象模型184的輸入來作為機器學習引擎180的選擇性精細化輸入,來自現象模型184的輸入似近在特定反應器壁表面動力學182下腔室內的電漿行為。在一實施例中,現象模型184係用以近似發生在處理體積內與反應器壁表面之交互作用相關的化學反應的本質。廣泛地說,現象模型有時被稱為統計模型,其為和現象之數個不同經驗觀察結果相關的一數學表示。此關符合基礎理論但並非自理論直接推導出。是以,現象模型不試著解釋電漿中為何有複數變量(即,當化學鍵結斷裂定義出不同的化學物種、或當其重組而定義出不同於進入反應器與反應器中之一表面如腔室壁接觸之物種的一不同化學物)。一般而言,現象模型184係用以特徵化電漿反應器之電漿中之氣體的預期化學動
力學以及其相對於反應器壁表面動力學182的行為。此些動力學可包含例如不同化學物之電子碰撞反應、壁重組反應、壁損失反應等。是以,此模型簡純地在關係延伸超過量測到之數值的假設下試著描述關係。現象模型184係用以產生對機器學習引擎180的輸入,其係以感測器輸出來表示。意即,現象模型184對電漿行為的特徵化係用以產生對機器學習引擎180的輸入數據,此輸入數據的資訊形式係類似於耦合至電漿反應器100之感測器所捕捉到的資訊形式。
例如,現象模型184所產生的數據可被特徵化為如參考圖1所述之複數感測器132所產生之複數輸出之任一者的形式。以一感測器如OES感測器所偵測到之光發射光譜(OES)的量測數據為例,輸出可被產生為強度(I)的形式。現象模型184可以強度(I)的形式近似反應器壁表面的變化以及預期的電漿特性。是以,由於機器學習引擎180已自OES感測器接收輸出(如具有一數據流的形式),機器學習引擎180可被程式化用以預測與強度相關的複數輸入。因此現象模型184係用以產生對機器學習引擎180的輸入以及相同形式的強度。是以,強度(I)可被表示為陽極化室壁之表面粗糙度、電漿密度、氣流等的一函數。
是以,可說現象模型184將感測器輸出數據形式的輸入提供予機器學習引擎180。上面針對OES感測器所提供的實例僅為一實例,在相同類型的模型化中可針對其他類型的感測器數據提供實例,例如與電容、電壓、電流、或與電漿反應器100耦合之真實感測器所產生的其他量測特性。
機器學習引擎180係亦用以接收輸入數據,輸入數據定義複數感測器訊號對於複數特定旋鈕用之複數補償數值的敏感度192。敏感度資訊可自電漿反應器的實驗測試所獲得,其中反應器的複數特定條件受到修改然後可量化
敏感度。敏感度例如係關於例如針對電漿反應器100可受到修改及變化的複數特定旋鈕,且所得的敏感度係與複數旋鈕之複數設定的複數變化相關。
例如,改變一特定旋鈕上之一特定數值設定可比改變另一特定旋鈕上之另一特定數值設定得到更顯著的蝕刻速率(ER)響應。又例如,可利用預定的複數敏感度輪廓分類電漿反應器或與其相關,則可以實驗方式判斷複數特定旋鈕中之每一者用之敏感度數值的識別。例如,在某些情況中當壓力增加時蝕刻速率的敏感度斜率可具有約2%的斜率但源功率的調整可得到約8%的斜率。在某些實施例中,蝕刻速率可根據經計算出之分佈函數基於溫度變化,蝕刻速率可隨著溫度增加而上升然後在某個點處下降。除了蝕刻速率(其為特徵化複數特定旋鈕所產生之複數變化之敏感度的唯一參數)外,可特徵化之其他類型的度量值包含例如OES量測值回應壓力、源功率、氣流、電極分隔位置等之特定變化的變化。
又,可藉由複數特定旋鈕之變化所影響的其他可量測參數可包含例如電極間之電容位置的變化、流率變化、及與電漿反應器100相關之複數感測器可捕捉到之其他可量測的參數。是以,針對每個感測器輸出,可進行敏感度特徵化以決定一不同調整旋鈕的每一特定變化將如何影響該複數特定感測器所偵測到之所得的複數量測數值及相關的複數敏感度。在一實施例中,可藉著一次變化一或多個旋鈕然後量測來自各種感測器的複數輸出來進行腔室上的敏感度測試。可針對任何數目之複數旋鈕重覆此程序,並同時系統化地收集系統之複數感測器所偵測到之複數量測值之每一者的變異性。
因此,為了避免機器學習引擎180產生對複數特定旋鈕定出太多或太少變化的補償向量194,必須要知道與變化複數特定旋鈕中之任何數目旋鈕相關的蝕刻速率敏感度。
因此機器學習引擎180係用以接收操作中所定義之複數感測器訊號針對欲應用至複數調整旋鈕134之複數補償數值之敏感度192。如上所述,機器學習引擎180係用以產生複數目前處理狀態數值172,複數目前處理狀態數值172與複數期望處理狀態數值170比較而識別及產生補償向量194,補償向量194係於補償處理190中受到處理。接著經由轉換處理186處理補償向量194以產生複數補償數值198。轉換處理包含轉換複數處理狀態數值差異,處理狀態數值差異包含了識別複數特定旋鈕134應被變化或調整及此類變化或調整的大小的必要資訊。因此轉換196為一轉換公式,其將虛擬空間中的複數補償向量數值(即以感測器輸出數值所特徵化者)轉換為實際空間中的複數補償數值188(即以對複數調整旋鈕184之一或多者的實際變化所特徵化者)。
在一實施例中,複數補償數值K(r,t)係與邊界定義197相關。.邊界定義197識別在特定電漿反應器100中複數補償數值的允許改變量。例如,若一補償數值係超出邊界定義197,則系統不應實施此變化。意即,邊界定義197具有安全措施的功用,其能避免對複數旋鈕134故出不應該做的變化,不應該做的變化可能不會產生期望的結果或可能會在特定電漿反應器內或針對在基板上進行的處理產生已知不欲發生的處理修改。
仍參考圖2,來自電漿反應器100的複數數據流136可用以計算針對複數旋鈕之變化之192敏感度係數,其中r為位置而t為時間。在一實施例中,可在一尋常的配方中計算,或可設計一特定的配方來計算
俾以在反應器的處理狀態中達到更多的洞悉。(i代表訊號的數目)可以關聯性而被分類及關聯以代表反應器的處理狀態。例如,一匹配系統(即耦合至RF電源)中的電容器調整位置可與來自電漿的光學發射光譜(OES)相關且可一起關聯。在一類似的方式中,可定義不同的關聯程度,較高的程度定義感測器訊號的強相關而較低的程度定義感測器訊號的弱相關。
如上所述,可選擇性地進行現象模型184處理以描述發生在反應器壁處的電漿互動,電漿互動控制電漿特性。現象模型184將以來自反應器的複數數據流來加以表現。是以,此數據為一混成的參數模型,其具有對反應器位準處理之物理的某些洞見。
來自敏感度係數的輸入、直接數據流、監測晶圓及/或蝕刻速率R(t)數據174及現象模型184被饋送至機器學習引擎184。機器學習引擎基於其所接收到的此些輸入產生統計模型。統計模型隨著送入機器學習引擎180的輸入以實時方式產生。統計模型係利用引擎所接收到的所有輸入於虛擬空間中產生。期望處理狀態的複數數值可經由此模型所定義。因此定義的此統計模型將為針對一特定處理之反應器的藍圖。單一反應器可具有複數代表可在反應器上進行的複數不同處理,其中i代表處理的數目。
經由此定義的延伸,一反應器應能夠改變其處理狀態而機器學習引擎180將產生複數補償向量以自一處理狀態改變至另一處理狀態。經由此定義的另一延伸,機器學習引擎180將定義處理狀態,因此藉著針對每一腔室定義其獨特的複數補償向量,可將其用於一系列腔室的腔室匹配。這將可確保,在針對一特定處理將複數補償向量應用至每一腔室後,腔室將處於
經定義之雜訊位準內的相同處理狀態。在腔室匹配中的此機器學習方法能減少為了增加硬體子系統上之精準與精確度所需投入的成本,其可大幅節省成本。
在一實施例中,經由經校正的一系統複數實驗,可教導模型去定義反應器的處理狀態。為此目的可使用不同類型之經監督的機器學習模型。在某些實施例中,此步驟被稱為學習步驟,其中機器學習引擎180被教導期望狀態為何、邊界為何、信訊比等。經由應用適合的演算法,可使學習步驟自動化。
如上所述,機器學習引擎180獲取來自複數數據流136的所有輸入並將所有輸入依可應用至模型的關聯度分類。這意味著某些模型可具有定義其整體的較多數據流但其他模型只能被較少數目的數據流(例如在每一感測器產生其各自的數據流的情況中)所描述。
在一實施例中,在反應器的正常操作期間機器學習引擎180將常常監測數據流136並將自己精細化落入至邊界內以改善定義反應器之期望處理狀態的精準度。例如,在操作期間經由機器學習引擎180時常監測期望處理狀態並捕捉任何偏差,然後機器學習引擎180將針對偏差進行修正並在處理中產生複數補償向量194。如上所述,複數補償向量194係定義於虛擬空間中。
這意味著複數補償向量係以定義複數目前處理狀態數值之量測到之感測器輸出特性所定義。若複數補償向量係落在使用者所定義或自已知設定邊界的經驗所定義的邊界內,則機器學習可接收複數補償向量。在操作196中可應用轉換功能,在此操作中複數補償向量被轉譯或轉換為可應用至反應器的一調整旋鈕補償向量198。補償向量可被應用至複數旋鈕134以將複數旋鈕帶回或維持期望處理狀態。在一實施例中,可以實時方式、週
期性的方式、或依使用者輸入或經程式化的輸入進行機器學習以維持處理狀態。在一實施例中,可在處理晶圓之前檢查處理狀態。
可使用複數機器學習演算法處理經監測/收集的複數數據流,特定演算法的選擇可取決於因素或權衡的數目。一考量因素為,處理補償用之多變量數據及製造數據所需的速度。另一考量因素為所得數據的準確度。鑑於目前計算能力的明顯進步,極複雜的多變量數據能以幾乎實質上實時的方式受到處理。在某些實施例中,現在使用特別的目的以及通用的機器處理大量的數據,通常被稱為「大數據」。在某些情況中,亦可使用雲端處理如雲端架構的提供者基於處理需求或需要提供彈性的計算節點規模。自電漿反應器之複數感測器所獲得之複數數據流可被視為是一種大數據,此類數據可在處理操作期間持續及/或週期性地產生(並用於精細化模型)。在某些實施例中,可收集來自複數處理段落的數據並將其儲存於儲存裝置,然後接取用於離線學習。來自此離線學習的資訊與關係亦可在特定電漿反應器上處理特定配方期間使用。
有數個已知可用的機器學習演算法。不受限地,此類實例可包含性線/非線性回歸、步進回歸、決策樹學習(如CART、隨機森林(Random Forest)、強化樹(Boosted Trees)等)、關聯規則學習、人工神經網路、深學習、歸納邏輯程式設計、支持向量機(SVM)、群集分析、貝式網路、強化學習、表徵學習、類似性與度量學習、稀疏字典學習等。應瞭解,述及特定的機器學習演算法並不應被視為是對任一實例的限制。隨著機器學習演算法領域中揭露更新及更先進的演算法,可預見將此類進步同等地用於應付所接收之電漿反應器感測器數據的處理,且此類數據可用以特徵化能對系統行為與操作提供洞悉的模型。此類洞悉可
用以產生可用以調整電漿反應器的補償數據俾使基板製造在較長的基板處理期間內不偏離或漂移。
考慮到上述內容,一般相信,可使用機器學習之人工神經網路演算法來處理自複數數據流所接收的輸入數據及複數處理狀態數值以藉由電漿反應器的複數旋鈕產生補償。人工神經網路(ANN)學習演算法有時被稱為「神經網路(NN)」,且其為類比生物神經網路之結構與功能觀點的學習演算法。計算可以複數神經元(如節點)之人工互連群組來加以結構,接著利用連結主義方法計算而處理資訊。在一實施例中,在代表經監測之複數電漿條件之複數數據流的文義下可使用的神經網路可具有非線性統計數據的形式。此些節點係用以These nodes are used to模型化複數輸入數據流與參考圖2所述之其他複數輸入及期望處理狀態之間的複雜關係。可找到數據的模式並將其模式用於產生統計決策結果。
是以,一類機器學習包含常使用決策樹的神經網路處理,決策樹係自神經網路的複數節點所定義。神經網路之複數節點的複數輸入可為複數數據流,複數節點的不同複數輸入可與權重相關。使用權重以判斷特定輸入連接的重要性。又,神經網路可具有複數輸入節點及一或多層的節點(有時被稱為隱藏層)。在一實施例中,決策樹的初始生成包含一開始將複數隨機連接權重指定至決策樹之複數節點之每一節點的複數輸入。接著利用被稱為反向傳遞的已知處理精細化及學習複數連接權重。在一實施例中,複數期望處理狀態數值代表決策樹的複數期望輸出,複數輸入節點接收複數目前處理狀態數值。使用複數隨機權重,計算出複數輸出路徑。接著將此些計算出的輸出路徑和與複數期望處理狀態數值相關的複數後出相比較。
以複數隨機權重所計算出之複數輸出和與複數期望處理狀態數值相關之複數輸出之間的差異被稱為網路中的誤差。知此誤差後,使用反向傳遞以試著產生較小誤差的方式調整複數連接權重。調整使用基於複數舊權重、複數節點輸入數值、誤差、及一學習權重的一公式。重覆此權重調整的過程直到所有的節點係與複數經更新的權重相關聯。使用此程序來識別哪些節點最應對輸出中的誤差負責,其權重受到最多調整。持續重覆此程序直到複數節點之決策樹已適配至能最佳定義決策樹中之複數特定節點之重要性或無關性的複數權重。在此程序期間,可識別出複數數據流中造成誤差的一或多者並將其添加至補償向量。因此,補償向量代表為了使目前處理狀態匹配或最似期望處理狀態所必須改變的複數數值。在某些實施例中,需要預處理來定義包含複數期望處理狀態數值的一模型。此處理係參考例如圖3說明。在某些情況中,定義一模型的處理可能需要時間來建立期望的模型,此處理可離線執行。一旦產生模型後,模型代表起始或初始模型。隨著時間的過去,當使用模型於製造處理中時,可利用機器學習持續精細化模型。在操作中,可將用以定義模型的複數數據組儲存至儲存裝置,一或多個處理機器可接取儲存裝置。
在某些配置中,執行機器學習的處理機器可為設備本身的控制器或可包含一或多個網路的電腦。有時,建構模型所需的處理可能需要實質的處理能力,且工作負擔可被分散至一個以上的電腦或複數虛擬機器。此外,此類大型的數據組如大數據的處理可在雲端處理系統中進行。雲端處理系統可配有高處理功能的虛擬機器,其可分擔處理負擔以減少處理延遲。一旦建構模型並將模型儲存至模型數據庫後,電漿反應器可節時使用模型。模型的處理與使用可藉由電漿反應器的控制器或一或多個其他的網路電腦(區域或在雲端中)來進行。
圖3例示根據一實施例之用以起始反應器中之基板處理之模型200的產生與更新實例。如所示,當一反應器為新的反應器或一反應器先前並未被特徵化過,可經由複數實驗學習處理202來特徵化反應器以定義一模型。在此些處理中,反應器處理複數測試基板204。複數測試基板204的處理係基於複數旋鈕設定206進行,且此些實驗係針對複數不同的處理配方208進行。可使用複數感測器210在學習處理期間監測反應器,以定義並產生複數處理狀態212。
接著將其資訊用以產生一初始模型220,初始模型220可被添加至模型數據庫230。如所示,模型數據庫將包含複數模型230a-230n,且每一模型230將特徵化代表複數期望處理狀態數值234與對應之調整旋鈕232之複數設定的複數處理狀態數值,其預期能產生在基板開始處理時所用的期望處理狀態234。如前所討論的,當處理第一次開始時,在操作154中自模型數據庫獲得一模型,其代表基板之處理的起始狀態。在處理期間,藉著對複數調整旋鈕134之複數補償數值進行複數調整而照顧到了任何漂移,此處的複數調整更有將複數模型更新156提供予模型的功用。
此處理係顯示於圖3中,其中在實時處理204期間於反應器中處理複數製造或生產基板204a,且多變量處理器150持續地執行所得的處理並將複數機器習得之更新156提供予模型230。因此,當針對一特定處理持續將一模型用於一特定的反應器中時,隨著時間會針對該反應器精細化該模型,且對該模型的複數更新可被儲存至模型數據庫。是以,當以相同的反應器進行接續的處理時,可接取相同的模型,此模型已尤其針對該特定反應器與處理受到調整與精細化。因此隨著時間過去,模型數據庫230中的模型會依照機器學習對處理狀態所做的更新藉由改變模型而持續地受到更新與精細化。
圖4例示根據一實施例之一系統的圖示300,其中控制器120係用以識別處理基板用的一初始模型。在此實例中,控制器120將識別一反應器302且亦識別一處理304。控制器120使用此資訊自數據庫320識別反應器與處理,數據庫320包含了和複數反應器類別相關的資訊以及與反應器322a-322n中之每一類型相關的複數處理。一旦識別反應器與處理後,可接取模型數據庫230以識別一特定的模型(在此例中為模型230j)。模型230j包含期望處理狀態P(r,t)及用以達到期望處理狀態的複數旋鈕。如上所述,期望處理狀態為多變量處理基於自反應器100所接收之複數數據流及基於利用蝕刻速率或監測晶圓的驗證及/或確認而試著匹配的初始處理狀態,可對期望處理狀態進行複數調整使機器學習引擎180可識別能達到目前之期望處理狀態的補償向量(複數補償向量)。
如上所述,基於決策定義(ballot definition)197補償向量將被轉換為可被應用至複數調整旋鈕134的複數真實補償數值198。在替代性的實施例中,設定處理的技術人員或工程師可識別模型並提供其作為起始點的輸入而不需要控制器120識別初始模型230j。如上所述,可使用複數模型作為一初始點以在腔室脫離濕式處理後陳化腔室,接著致使判斷腔室是否已處於適合操作的完備狀態而不再需要陳化操作處理。在一實施例中,若判斷出可在複數調整旋鈕之複數設定調整的一特定範圍內調整腔室,則可應用補償向量以產生可被應用至電漿反應器之複數調整旋鈕的複數改變,使腔室處於適合處理生產晶圓的完備狀態。
一旦反應器處於適合處理生產晶圓的完備狀態後,可使用用以使處理室完備的處理狀態以及相關的複數調整旋鈕設定。以此方式,當生產晶圓一開始被導入反應器中時,一般相信反應器已處於適合處理生產晶圓的完備狀態
且能達到和期望實質上相同的處理狀態。若當晶圓或複數晶圓在反應器中受到處理時處理狀態漂移,多變量程序150可應用複數補償向量數值俾使複數調整旋鈕134可調整處理並達到期望處理狀態。
圖5例示使用多變量處理器150以對複數旋鈕134進行複數調整之一般實例。此處理大致上包含一反饋系統,其能自電漿反應器100之複數感測器讀取複數數據流136、能在包含機器學習的多變量處理器150中處理來自複數感測器之複數數據流136、然後應用可被應用至電漿反應器100之複數調整旋鈕134之複數設定的複數改變。此反饋迴路確保對電漿反應器所進行的複數改變被追蹤至與電漿反應器相關之複數感測器所感測到的複數真實數據流。即,對複數調整旋鈕134所進行的複數改變係對應至電漿反應器100之處理空間內所量測到之複數電漿特性。以此方式,可對複數調整旋鈕134進行調整而維持或達到針對特定反應器與被施行之特定處理所期望之電漿反應器100內的一處理狀態。
圖6例示來自複數感測器之複數數據流136被提供至多變量處理器150的一例示性流程。一旦多變量處理器150已識別將電漿反應器帶回與期望處理狀態相符之狀態所需的複數必要改變後,多變量處理器150將產生一補償向量194。因此補償處理190包含自多變量處理器150接收補償向量194。補償向量194係由說明自電漿反應器100之複數感測器所獲得之複數量測數值的複數計量值所代表。
因此,此些計量值並非與需對複數調整旋鈕134所進行的複數真實改變直接相關。在一實施例中,進行轉換功能196以將補償向量194中的數據轉換為複數補償數值198。此轉換可利用轉換資訊的一查找表來進行,查找表將
補償向量數據映射關聯至複數補償數值,複數補償數值識別一特定的調整旋鈕及用以調整該調整旋鈕之一設定的一大小。
例如,轉換功能196可能僅能識別某些數目之需被調整的複數旋鈕如較重要或較高相關性的複數旋鈕。不會影響處理狀態或對處理狀態造成大改變的複數旋鈕可能根本不會受到調整。因此,除了單純地將複數補償向量194轉換為複數補償數值198外,轉換功能亦可使某些旋鈕不受調整。一旦識別出複數補償數值198後,將此些數值傳送至反應器100的複數調整旋鈕134。反應器的複數調整旋鈕可包含複數設定、複數閥、複數控制器指令、複數改變、複數輸入等。控制器120可命令或指令、或操作者可設定、或手動操作設定任何數目之此些複數調整旋鈕。
圖7A例示根據一實施例之代表與操作多變量程序150’相關之數據流的流程圖。在此實例中,顯示電漿反應器100提供來自複數不同感測器的複數輸出。因此複數不同的感測器在處理期盼生複數數據流136。為了起始處理,在操作154中選擇一模型,此模型包含處理狀態與複數旋鈕設定。如上所述,包含處理狀態與複數旋鈕設定的此模型可自模型數據庫所獲得,電漿反應器的控制器或連接或網路的電腦可接取模型數據庫。此模型將包含被定義於虛擬空間中的期望處理狀態170。
又,如上所述,虛擬空間說明或代表在複數數據流136中所找到之感測器輸出的數據。目前處理狀態172係自至少自複數感測器之複數數據流136所獲得的數據所推導。如上所述,目前處理狀態亦可包含來自反應器壁表面動力學182的資訊處理以及選擇性的現象模型184。為了簡化處理流的說明,使
用機器學習的多變量程序將藉由處理狀態400的比較的操作的方式,識別目前處理狀態172與期望處理狀態170之間的複數差異。
為了判斷電漿反應器100的真實處理是否與期望處理狀態170同步,多變量程序150’亦可使用驗證操作402。因此驗證402允許對處理狀態400的比較進行複數調整,使任何的調整皆被驗證402所考慮。因此多變量程序150,的輸出產生虛擬空間中的補償向量194。所得的補償向量194將可被用來作為對模型154的更新406,其具有學習處理的功能,能基於在處理空間內所發生的真實動力學及複數感測器所感測並產生的複數數據流來更新期望處理狀態。在此實例中,在操作404中轉換位於虛擬空間中的補償向量194,其中補償向量被轉換至實際空間而識別欲調整的複數旋鈕及調整量。
因此將所得的複數補償數值K1(r,t)-Kn(r,t)應用至複數調整旋鈕134,複數調整旋鈕134被應用至電漿反應器100。如上所述,取決於對複數調整旋鈕之複數設定的複數特定改變為何,應用對複數調整旋鈕的複數改變可以各種形式進行。某些旋鈕被表示為閥、某些為數位輸入、某些為頻率、某些為功率、某些為氣流、某些為電極位置間隙、某些為匹配網路中的電容器設定、某些為溫度設定、某些為靜電夾頭溫度、某些為真空壓力、某些為泵抽速率、某些為處理時間、某些為混合比例、許多更多的設定為針對特定配方及/或反應器設置的客製化設定。應瞭解,複數調整旋鈕所控制之此些例示性設定為簡單的實例,可能存在更多的其他實例。又,提到複數旋鈕不應被視為是真實的實體旋鈕,而是單純針對一特定類型之控制、輸入、或變量用之一特定類型設定的一識別符。當然,在某些實施例中,調整旋鈕可能實際上為一旋鈕。
圖7B根據一實施例之用以維持處理狀態之機器學習的一例示性實施例。在此實例中,可以實時方式使用機器學習引擎。為了使處理落在範圍內並避免在任何一點處施行不需要或過度之設定改變的風險,如圖7B中所示,一實施例在處理晶圓之前檢查反應器處理狀態。以此方式,可發生主動晶圓處理,接著進行腔室清理/預備操作(例如其可包含反應器陳化)。在進入主動晶圓處理之前可進行一健康監測操作作為安全檢查。如可明白的,此策略能在接收晶圓之前確保腔室處於正確的狀態並降低誤處理晶圓的風險。
圖8例示根據一實施例之一例示性處理操作500。在此實例中,利用被近似以達到在操作502中所定義之複數期望處理狀態數值之複數旋鈕的複數設定處理反應器中的基板。在一實施例中,在基板製造處理期間可進行處理狀態調整。在另一實施例中,可在濕式清理後的腔室陳化期間進行處理狀態調整。在此實例中,在已進行濕式操作處理之後已起始了處理且腔室已被陳化並處於適合處理生產基板的完備狀態中。
在操作504中,在處理期間來自數感測器的複數數據流係自反應器流至多變量處理器。操作506顯示主動監測並調整複數旋鈕俾以在反應器內達到一期望處理狀態。在操作508中,多變量處理器藉著檢視複數數據流而監測複數目前處理狀態數值。如上所述,多變量處理器可具有其他輸入,其他輸用可用於機器學習以更精準地識別補償向量的複數數值。
在操作510中,多變量處理器比較複數目前處理狀態數值與複數期望處理狀態數值。此比較較佳地在操作期間以實時方式持續進行。在另一實施例中,可以週期方式、或藉由電腦程式的指令、或藉由使用者的手動輸入來進行此比較。在操作512中,應用對反應器之特定旋鈕(複數旋鈕)的調整,其具有多
變量處理器進行比較操作所推導得到之複數調整數值。操作514以學習到之對複數旋鈕設定所進行的複數變異來更新模型,對複數旋鈕設定所進行的複數變異係與複數監測數據流及被比較之複數處理狀態數值相關聯。以此方式,可基於多變量處理器之機器學習針對特定反應器及特定配方所學習到的資訊持續更新模型。
在操作516中,判斷處理應持續監測並應用調整。在處理一或多片基板期間,處理可持續監測,這可以為了使處理狀態維持符合期望處理狀態所做的調整持續更新模型。此持續監測及應用設定至旋鈕有助於控制當愈來愈多晶圓在反應器中受到處理時可能會發生的處理漂移。如上所述,當更多晶圓在反應器中受到處理時,在處理體積內會發生更多的微粒累積及部件損耗。此些物理變化的本質將會在晶圓處理時造成漂移。然而,由於處理狀態受到監測,因此此些變化可呈現在自複數感測器所收集到之偵測到的處理狀態中。
由於偵測到此些漂移的發生,與多變量處理器相關的機器學習可對反應器的複數旋鈕進行複數調整以將反應器的處理維持在能達到期望處理狀態的狀態。如上所述,除此藉由改變複數控制旋鈕而對處理狀態進行此些實時改變外,在任何數目的晶圓處理之後可進行各種驗證步驟。此驗證可被反饋俾以調整對複數旋鈕的任何調整並避免期望處理狀態不再能達到期望結果時的變化。有利地,利用來自驗證及/或監測的反饋來更新期望處理狀態,使得機器學習所為之調整能以符合反應器之真實可達到之結果與效能的方式持續應用至對複數控制旋鈕的複數調整上。
又,應明白,藉著修正漂移,反應器可達到針對特定的處理配方的高位準效能且在反應器需停機清理之前可達到較高位準之晶圓處理產量。此
外,若在濕式清理後的陳化步驟期間進行監測,亦可更快地開始生產晶圓而不用持續將時間浪費在實際上不需要且實際上會減少能用於生產晶圓上之製造時間的陳化操作。
圖9例示根據一實施例之可針對驗證操作600與漂移控制操作650進行之方法操作的一實例。可進行驗證操作600判斷反應器是否已達到適合用以處理生產晶圓的完備狀態。例如,可在腔室的陳化操作期間在反應器上進行此處理。例如在反應器已進入處理生產晶圓的狀態後可進行漂移控制650,需要對複數調整旋鈕進複數改變以修正因使用反應器所發生的漂移。
在操作602中,接收與處理類型與反應器類型相關的資訊。此資訊係用於操作604中自模型數據庫識別一模型。模型數據庫可包含可用於一特定反應器之複數特定處理的複數模型且可包含具有已被模型化之複數特定處理的各種反應器。圖4之數據庫320中顯示包含了不同類型之複數反應器及已針對此些反應器模型化之複數處理的一數據庫的實例。在另一實施例中,模型可自檔案獲得、或可為技術人員或工程師對控制器或與反應器連接之電腦的輸入。
在操作606中,利用在模型中已識別之複數調整旋鈕之複數設定在反應器中開始處理基板。如圖3中所示,模型230j係與一期望處理狀態234與複數調整旋鈕232相關。是以,在操作606中所用的複數調整旋鈕一開始係自一模型所獲得,例如當一反應器經過濕式清理操作後需要陳化時。在操作607中,於基板處理期間,在反應器中處理基板時來自複數感測器的複數數據流流至多變量處理器。如上所述,多變量處理器將包含一機器學習引擎,機器學習引擎係用以識別及學習為了使處理根據複數期望處理狀態數值回歸對複數調整旋鈕所
需進行的修改類型。在操作608中,多變量處理器藉著檢視複數數據流而監測複數目前處理狀態數值。
在操作610中,多變量處理器比較複數目前處理狀態數值與複數期望處理狀態數值。若目前處理狀態現在係根據期望處理狀態,在操作611中驗證處理狀態。例如,在此點處可說陳化操作已完成,因為目前處理狀態與期望處理狀態已匹配。在另一實施例中,在目前處理狀態與期望處理狀態匹配之前,多變量處理器可識別一補償向量,此補償向量能識別或用以識別為了將反應器置於能匹配期望處理狀態之狀態需對複數調整旋鈕所進行的複數調整。
可進行此操作加速將正受到陳化的反應器置於製造狀態。如上所述,由於反應器不再需要陳化任意長的時間且現在可識別反應器何時已實際到達複數調整旋鈕調整而更快地達到處理狀態,因此這是有利的。
若在生產晶圓的製程期間在一基板上進行處理,則操作可持續前進至612,其中產生一補償向量以識別為了使處理朝向期望處理狀態偏移欲對目前處理狀態所進行的複數調整。在操作614中,補償向量被轉換為複數實際空間調整,複數實際空間調整能識別欲調整的每一旋鈕及該調整的量。在操作616中,將調整應用至反應器的每一特定旋鈕。
若在操作618中持續處理,可持續複數調整620的監測及應用以避免在反應器中持續處理額外晶圓時避免發生漂移。如上所述,預期當持續使用反應器處理晶圓時因反應器壁會累積材料且可消耗性的部件可超時使用,因此會發生處理漂移。然而,藉著利用使用機器學習的多變量處理器進行複數調整,可對複數調整旋鈕進行調整以將目前處理狀態維持在期望處理狀態的實質平衡內。
圖10例示根據一實施例之在反應器陳化操作704a後使反應器回歸之操作的一實例。在操作702中,在反應器上進行反應器的清理及/或維護。在此操作期間,可能會開啟反應器、置換部件、清理部件、預調整部件、及/或單純地針對操作進行組裝。如所述,在操作706期間反應器陳化704a包含針對一配方對基板之製造處理的穩態的反應器驗證。可進行此處理在反應器陳化操作期間監測反應器的處理狀態。如上所述,陳化操作可包含利用複數片被設計用來陳化腔室的基板來運行反應器,受到處理的基板的選擇應相似或比擬生產基板利用期望或目標處理所欲進行之處理的類型。
在一實施例中,如參考圖2所述依機器學習引擎180進行處理,當多變量處理判斷出目前處理狀態已匹配或實質上匹配期望處理狀態時達到穩態的反應器驗證。一旦判斷出腔室已被陳化且礙於適合製造處理的完備狀態,則方法移動至操作708,在操作708中可在反應器中針對一配方開始處理基板。
在反應器中處理一或多片晶圓的期間,在操作710中於製造處理期間進行漂移控制。如上所述,漂移控制包含使用多變量處理器以識別目前處理狀態何時漂離期望處理狀態,其可於處理更多基板時發生。在一實施例中,藉著持續地更新對複數調整旋鈕的調整,可使反應器的目前處理狀態維持符合期望處理狀態,延長反應器對更多晶圓的有效有用操作。
圖11例示另一實施例,其中可在操作702清理或維持反應器。在此實例中,反應器陳化704b包含在陳化期間反應器到達穩態之處理之外之額外的操作。在此方法中,如圖10中所示,在操作706中開始針對配方之基板之製造處理之穩態的反應器驗證。在驗證處理(包含以反應器運行一或多片陳化晶圓)期間,方法包含操作712,在操作712中判斷目前處理狀態是否落在範圍內以致
使漂移控制。當判斷或預定可對一或多個旋鈕進行複數調整而可到達期望處理狀態時,認為處理狀態係落在範圍內。
在此實例中,在反應器陳化期間調整反應器之複數調整旋鈕之複數設定的背景下使用漂移控制,以在毋須持續處理陳化晶圓的情況下將反應器置於適合製造處理的完備狀態。在操作714中,若判斷出處理狀態係落在範圍內,則將補償應用至反應器的複數調整旋鈕以及早離開反應器陳化。例如,某些陳化操作可能需要數小時約8至12小時,但傳統的技術可能會因小心所誤而基於經驗處理陳化晶圓更久的時間。然而,依經驗或因小心所誤而進行此類陳化的操作者陳化反應器的時間會比所需的時間更長。
根據一實施例,處理704b能識別何時可藉由改變複數旋鈕而調整反應器以在毋須持續處理陳化晶圓的情況下使反應器狀態符合複數期望處理狀態數值。是以,可更早將反應器置於用於處理生產晶圓的真實製造中、避免陳化費用、及避免無法針對製造處理將反應器用至極至的成本浪費。在此實施例中,以類似於圖10的方式處理操作708與710。
經由文中所述的實施例,使處理設備變得智慧足以決定如何以最少的使用者輸入來維持反應器的正確處理狀態。在半導體處理領域中擴張此概念是極有力的,因此這可減少此類設備維持其條件之能力對現行製造控制系統及/或人類操作者之經驗的相依性。應注意,人類操作者的經驗亦非為一可靠的方法,因為操作者/工程師將會具有其自己的方法且一旦其離職必須要訓練新的人員。所述的實施例尤其適用於不同的傳統技術,因為多變量程序的機器學習引擎180使用設備之真實的實時感測器數據來定義其狀態。這相對於許多目前僅仰賴監測晶圓及相關量測設備的技術。如上所述,本發明之實施例可使用監測晶
圓(及蝕刻速率數據),但監測晶圓的使用現在係用於驗證多變量程序為了將處理偏移回實時期望處理狀態而識別之學習到之複數補償數值。
如所述,所揭露之實施例的一獨特特徵在於進行腔室匹配不直接需要使用者的經驗。此些反應器極為昂貴且通常具有具有各種經驗水準的使用者。又,許多使用者對反應器操作只有有限的瞭解且可能會花許多小時調整反應器以達到在某個程度上精準的處理狀態。使用所述的機器學習引擎能確保設備有先進位準的虛擬使用者且系統可以及時且實時的方式修正決策。此點不僅僅對於為客戶特徵化處理的設備製造商而言是有用,對於需要在自設備供給商所購買之設備上提供其自己之處理的客戶而言亦是有用的。
經由文中所述之各種教示的延伸,亦可將機器學習引擎應用至快速處理建立(Rapid Process Development(RPD)),RPD包含建立初始處理的驅勢及將驅勢饋送至機器學習引擎。接著引擎試著預測期望狀態及可遠遠更快速地調整處理。這可減少處理建立時間且可減少對使用者經驗位準的相依性。
在一實施例中,參考上圖1所述的控制器120可包含與電漿處理系統通訊、監測電漿處理系統、及控制電漿處理系統的處理器、記憶體、軟體邏輯、硬體邏輯、及輸入與輸出的子系統。控制器120亦可應付一或多個配方的處理,該一或多個配方包含操作電漿處理系統所用之各種操作參數(如電壓、電流、頻率、壓力、流率、功率、溫度等)的複數設定點。又,雖然參考蝕刻操作(如蝕刻設備)提供了更多詳細的實例,但應瞭解,該些操作可同等地用於沉積操作(如沉積設備)。例如,在驗證操作中,驗證沉積效能來取代驗證蝕刻效能。沉積效能可以各種方式量化,例如但不限於可使用各種類型的量測方法及/或設備。又,可原位或離線量測、感測、近似、及/或測試沉積效能。
在某些實施例中,控制器為系統的一部分,系統可為上述實例的一部分。此類系統可包含半導體處理設備,半導體處理設備包含處理工具或複數工具、處理室或複數處理室、處理平臺或複數平臺、及/或特定的處理元件(晶圓座臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板的處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於處理需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何處理,處理包含處理氣體的輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入及離開設備與連接至系統或與系統具有界面的其他傳輸設備及/或裝載互鎖機構。
概括地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清理操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特殊應用積體電路(ASIC)的晶片、及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓或對一系統進行特定處理所用的操作參數。在某些實施例中,操作參數可為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個處理步驟所定義之配方的一部分。
在某些實施例中控制器為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器係位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓處理。電腦可致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有處理的參數、設定處理步驟以符合現有處理、或開始一新的處理。在某些實施例中,遠端電腦(或伺服器)可經由網路對系統提供處理配方,網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收數據形式的指令,此些指令指定在一或多個操作期間欲進行之每一處理步驟用的複數參數。應瞭解,複數參數係特別針對欲施行之處理的類型及控制器用以介接或控制之設備的類型。因此如上所述,可分散控制器如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之處理與控制工作的離散控制器。為了此類目的的分散控制器的實例包含處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準處或為遠端電腦的一部分)的積體電路通訊而共同控制處理室上的處理。
不受限地,例示性的系統可包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清理室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關及/或用於製造的任何其他半導體處理系統。
如上所述,取決於設備所欲進行的處理步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他設備電路或模組、其他設備的元件、叢集設
備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
圖12為用以實施實施例之一電腦系統的簡化概圖。應明白,文中所述的方法可以數位處理系統如傳統的通用電腦施行。或者可使用被設計或程式化只進行單一功能的特定用途電腦。電腦系統包含經由匯流排810而耦合至隨機存取記憶體(RAM)806、唯讀記憶體(ROM)812、及大量儲存裝置814的中央處理單元(CPU)804。系統控制器程式808係位於隨機存取記憶體(RAM)806中,但亦可位於大量儲存裝置814中。
大量儲存裝置814代表一永久數據儲存裝置如區域性或遠端的軟碟驅動裝置或固定碟驅動裝置。網路介面830藉由網路832提供連接,以與其他裝置通訊。應明白,CPU 804可以通常處理器、特定用途之處理器、或特別程式化的邏輯裝置體現。輸入/輸出(I/O)介面提供與不同週邊裝置的通訊,且係經由匯流排810而與CPU 804、RAM 806、ROM 812、及大量儲存裝置814連接。例示性的週邊裝置包含顯示器818、鍵盤822、游標控制824、可移除的媒體裝置834等。
顯示器818係用以顯示文中所述的使用者介面。鍵盤822、游標控制824、可移除的媒體裝置834、及其他週邊係耦合至I/O介面820以對CPU 804溝通指令選擇中的資訊。應明白,送至外部裝置及來自外部裝置的數據可經由I/O介面820通訊。實施例亦可在分散計算環境中實施,在分散計算環境中複數任何係由經由有線或無線網路鏈結的複數遠端處理裝置進行。
本文中所述的實施例可利用各種電腦系統配置施行之,此些電腦系統配置包含手持硬體單元、微處理器系統、微處理器系或可程式化的消費電子裝置、迷你電腦、主機等。本文中所述的實施例亦可在分散式的計算環境中施行,在此種環境中任務係由經由網路鏈結的複數遠端處理硬體單元所執行。
考慮到上述實施例,應瞭解,某些實施例可進行涉及儲存在電腦系統中之數據的各種電腦施行操作。此些操作為需要操控物理量的操作。形成實施例之文中所述操作的任何者對於機械操作皆為有用的。某些實施例亦關於用以執行此些操作的裝置或設備。可針對所需用途建構設備如專門用途的電腦。當一電腦被定義為專門用途之電腦時,此電腦除了能夠針對專門用途運行之外,亦可進行其他處理、程式執行或其他非屬專門用途的子程式。或者,操作可由選擇性活化的電腦執行或者可由儲存在電腦記憶體、或自網路所獲得的一或多個電腦程式所配置。當數據係自電腦網路獲得時,該數據可由電腦網路上的其他電腦如電端計算資源所處理。
亦可將一或多個實施例製作成電腦可讀媒體上的電腦可讀碼。電腦可讀媒體可以是可儲存數據且後續可被電腦系統讀取的任何數據儲存裝置。電腦可讀媒體的實例包含硬碟、網路附加儲存(NAS)、唯讀記導體、隨機存取記憶體、CD-ROM、CD-R、CD-RW、磁帶及其他光學式及非光學式數據儲存單元。電腦可讀媒體可包含分散於網路耦合電腦系統的電腦可讀實質媒體,因此電腦可讀碼係以分散方式儲存及執行。
雖然上述某些方法操作係以特定順序說明之,但應瞭解,在各種實施例中,只要可以期望的方式進行疊對操作的處理,在方法操作之間可進行其
他閒雜操作或者可調整方法操作使其發生的時間略有不同,或者可將方法操作分配至允許方法操作以各種間隔進行的系統中。
對於檢視處理室及/或可消耗部件之方法相關的更多資訊可參考下列文獻:2015年12月7日所申請之名為「Estimation of Lifetime Remaining for Consumable Part in a Semiconductor Manufacturing Chamber」的美國專利申請案US 14/961,756,將其包含於此作為參考。
關於監測處理條件的方法及調整設定的方法可參考:2016年8月3日申請之名為「Methods and Systems for Monitoring Plasma Processing Systems and Advanced Process and Tool Control」的美國專利臨時申請案US 62/370,658;名為「Integrated electronic hardware for wafer processing control and diagnostic」的美國專利US 6,622,286;名為「Methods and apparatus to predict etch rate uniformity forqualification of a plasma chamber」的美國專利US 8,295,966;名為「Arrangement for identifying uncontrolled events at the process module level and methods thereof」的美國專利US 8,983,631;名為「Methods and apparatus for predictive preventive maintenance of processing chambers」的美國專利US 8,473,089;名為「Methods and arrangements for in-situ process monitoring and control for plasma processing tools」的美國專利US 8,271,121;及名為「Methods for constructing an optimal endpoint algorithm」的美國專利US 8,538,572,上述案件皆讓渡予本申請案的受讓人科林研發公司,將其每一者包含於此作為所有目的的參考。
關於機器學習演算法、現象模型、及相關程序的額外資訊可參考下列論文:2010年6月28日University of Padua之資訊工程系之Bertorelle Nicola所發表之名為「Virtual Metrology for Semiconductor Manufacturing Applications」
的論文;2013年1月Universita Degli Studi di Padova之資訊工程學院之Gian Antonio Susto所發表之名為「Statistical Methods for Semiconductor Manufacturing」的論文;及2017年l月12日俄羅斯之Sate University of Chemistry and Technology,7,F. Engels St.,15300 Ivanovo之電子裝置與材料科技學院的A.Efremov等人所發表之名為「Etching characteristics and mechanisms of the MgO thin films in the CF4/Ar inductively coupled plasma」的文章,將上述每一者包含於此作為參考。
又,在包含於此之上述參考文獻與申請案中所述的實施例及任何特定特徵可與文中所述的一或多個特徵結合以定義或致能特定的實施例。
為了讓熟知此項技藝者能清楚瞭解本發明,已詳細說明了前面的實施例,應明白,在隨附之申請專利範圍的範疇內可進行某些變化與修改。因此,此些實施例應被視為是說明性而非限制性的,且實施例並不限於文中所述的細節,在隨附申請範圍的範疇與等效物內可修改此些實施例。
100:電漿反應器
102:基板
104:下電極
106:上電極
107:聚焦環
108:限制環
110:襯墊
112:RF源
114:泵浦
116:源氣體
120:控制器
121:電漿
122:配方
124:系統控制
130:多變量程序
131:電漿反應器
132:感測器
134:旋鈕
136:數據流
138:補償
140:變化
Claims (30)
- 一種電漿反應器之處理狀態的控制方法,包含:利用為了達到複數期望處理狀態數值所近似之該電漿反應器之複數調整旋鈕用之複數設定,在該電漿反應器中起始一基板的處理;在該基板處理期間自該電漿反應器接收複數數據流,該複數數據流係用以識別複數目前處理狀態數值;產生一補償向量,該補償向量識別該複數目前處理狀態數值與該複數期望處理狀態數值之間的複數差異;將該補償向量轉換為針對該複數調整旋鈕用之該複數設定的複數調整;將該複數調整應用至該電漿反應器的該複數調整旋鈕;針對該電漿反應器的類型以及在該電漿反應器中該基板的處理所用之處理的類型來接取一模型數據庫;及自該模型數據庫識別一模型,該模型包含為了達到該複數期望處理狀態數值所近似之該電漿反應器之該複數調整旋鈕用之該複數設定。
- 如申請專利範圍第1項之電漿反應器之處理狀態的控制方法,更包含:在該基板處理期間持續自該電漿反應器接收該複數數據流而產生針對該複數調整旋鈕用之該複數設定的該複數調整,以協助該複數目前處理狀態數值朝向該複數期望處理狀態數值移動。
- 如申請專利範圍第1項之電漿反應器之處理狀態的控制方法,其中該期望處理狀態與該目前處理狀態係定義於一虛擬空間中,該虛擬空間係說明在該電漿反應器之一處理體積內所感測到之複數電漿條件的一物理狀態,該 複數電漿條件包含針對一特定反應器壁表面條件在該基板之一平面處之一組離子、電子與中性粒子通量。
- 如申請專利範圍第3項之電漿反應器之處理狀態的控制方法,其中該補償向量識別在該虛擬空間中該複數目前處理狀態數值與該複數期望處理狀態數值之間的該複數差異,且該補償向量的該轉換步驟將針對該複數旋鈕用之該複數設定的該複數調整識別為一組具有一經識別之物理調整之複數經識別的實體旋鈕,其中該電漿反應器的一控制器係用以處理造成針對該複數旋鈕之該複數設定之該複數調整的複數程式指令。
- 如申請專利範圍第2項之電漿反應器之處理狀態的控制方法,其中一多變量程序係用以識別該複數目前處理狀態數值與該複數期望處理狀態數值之間的該複數差異,且該方法更包含:處理一機器學習而至少部分基於自該基板處理之蝕刻速率效能或監測晶圓效能中的一或兩者所接收之驗證反饋而對該複數期望處理狀態數值進行調整,以產生複數經調整之期望處理狀態數值。
- 如申請專利範圍第1項之電漿反應器之處理狀態的控制方法,其中針對一特定的電漿反應器與一特定的處理配方識別該基板處理;其中每一特定的處理配方與每一特定的電漿反應器皆具有一相關的模型,該相關的模型包含該複數調整旋鈕用之該複數設定與該複數期望處理狀態數值,該模型係自一模型數據庫所接取。
- 如申請專利範圍第6項之電漿反應器之處理狀態的控制方法,其中當使用來自該模型數據庫的一模型時,一機器學習程序對該模型之該複數調 整旋鈕用之該複數設定進行調整,以改善該特定電漿反應器之複數設定,俾達到該複數期望處理狀態數值。
- 如申請專利範圍第7項之電漿反應器之處理狀態的控制方法,更包含:基於該機器學習程序所做的調整更新該模型數據庫中的該複數模型。
- 如申請專利範圍第5項之電漿反應器之處理狀態的控制方法,其中該機器學習使用該電漿反應器之複數感測器的敏感度數據作為輸入,俾使所產生之該補償向量包含基於該敏感度數據所調節的複數調整。
- 如申請專利範圍第1項之電漿反應器之處理狀態的控制方法,其中該複數數據流係自複數感測器所接收,該複數感測器係與該電漿反應器介接或與和該電漿反應器通訊之一控制器介接,其中該複數感測器包含下列的一或多者:光發射光譜(OES)感測器、干涉儀、壓力感測器、電壓感測器、電流感測器、溫度感測器、流率感測器、頻率感測器、功率感測器、量測感測器、及上述者之兩或更多者的組合。
- 如申請專利範圍第1項之電漿反應器之處理狀態的控制方法,其中該複數調整旋鈕係關於與該電漿反應器介接的複數控制,該複數控制包含下列中的一或多者:調整氣流計的控制、調整功率設定的控制、調整溫度設定的控制、調整該電漿反應器之上與下電極之間之實體分離間隙的控制、調整靜電夾頭(ESC)之溫度或操作的控制、調整偏壓功率設定的控制、設定腔室壓力的控制、設定一或多個射頻產生器之頻率的控制、設定特定配方操作之運行時間的控制、設定真空之泵抽速率的控制、設定氣流之持續時間的控制、設定監測 演算法的控制、啟動內視鏡檢查的控制、設定或判斷清理操作之間之間隔的控制、或上述者之兩或更多者的組合。
- 一種電漿反應器之處理狀態的控制方法,包含:利用為了達到複數期望處理狀態數值所近似之該電漿反應器之複數調整旋鈕用之複數設定,在該電漿反應器中起始一陳化基板的處理;在該陳化基板之處理期間自該電漿反應器接收複數數據流,該複數數據流係用以識別複數目前處理狀態數值;在該電漿反應器中持續處理該陳化基板或額外的陳化基板,直到該複數目前處理狀態數值係落在該複數期望處理狀態數值的一預定閾值內;產生一補償向量,該補償向量識別該複數目前處理狀態數值與該複數期望處理狀態數值之間的複數差異;將該補償向量轉換為針對該複數調整旋鈕用之該複數設定的複數調整;將該複數調整應用至該電漿反應器的該複數調整旋鈕;當該複數調整旋鈕之該複數調整已將該電漿反應器置於實質上產生該複數期望處理狀態數值的一狀態時,將該電漿反應器識別為已準備好處理複數生產基板;利用在該基板之陳化期間所設定且為了達到該複數期望處理狀態數值所近似之該電漿反應器之複數調整旋鈕用之複數設定,在該電漿反應器中起始一生產基板的處理;在該生產基板的處理期間自該電漿反應器接收複數數據流,該複數數據流係用以識別複數目前處理狀態數值; 產生一補償向量,該補償向量識別該複數目前處理狀態數值與該複數期望處理狀態數值之間的複數差異;將該補償向量轉換為針對該複數調整旋鈕用之該複數設定的複數調整;及將該複數調整應用至該電漿反應器的該複數調整旋鈕,以補償該電漿反應器隨時間的處理漂移。
- 如申請專利範圍第12項之電漿反應器之處理狀態的控制方法,其中該期望處理狀態與該目前處理狀態係定義於一虛擬空間中,該虛擬空間係說明在該電漿反應器之一處理體積內所感測到之複數電漿條件的一物理狀態,該複數電漿條件包含針對一偵測到之反應器壁表面條件在該基板之一平面處之一組離子、電子與中性粒子通量。
- 如申請專利範圍第13項之電漿反應器之處理狀態的控制方法,其中該補償向量識別在該虛擬空間中該複數目前處理狀態數值與該複數期望處理狀態數值之間的該複數差異,且該補償向量的該轉換步驟將針對該複數旋鈕用之該複數設定的該複數調整識別為一組具有一經識別之物理調整之複數經識別的實體旋鈕,其中該電漿反應器的一控制器係用以處理造成針對該複數旋鈕之該複數設定之該複數調整的複數程式指令。
- 一種電漿處理之處理狀態的控制系統,包含:一電漿反應器,具有對該電漿反應器之複數操作條件產生複數設定的複數調整旋鈕;該電漿反應器的複數感測器,該複數感測器中的每一者係用以在該電漿反應器之操作期間產生用以進行該電漿處理之資訊的一數據流;及 該電漿反應器的一控制器,係用以執行一多變量程序,該多變量程序係用以使用複數期望處理狀態數值作為輸入並識別複數目前電漿處理數值,該複數期望處理狀態數值定義在該電漿反應器之一處理環境內之複數期望的可量測條件,該多變量程序使用一機器學習引擎,該機器學習引擎接收該複數期望處理狀態數值及在該電漿處理的處理期間來自該複數感測器的複數數據流以作為輸入,且該機器學習引擎係用以識別用以產生一補償向量之複數目前處理狀態數值,使得該補償向量定義該複數期望處理狀態數值與該複數目前處理狀態數值之間的複數差異;其中該控制器係更用以執行一補償處理操作,將以該處理環境內之複數量測到的條件所表達的該補償向量轉換為該電漿反應器之該複數調整旋鈕中之特定一或多者的複數變化。
- 如申請專利範圍第15項之電漿處理之處理狀態的控制系統,其中該控制器係更用以產生該電漿反應器之該複數調整旋鈕的複數變化以造成該反應器之該處理環境之該複數可量測條件的變化。
- 如申請專利範圍第15項之電漿處理之處理狀態的控制系統,其中該機器學習引擎係用以週期性地接收關於複數蝕刻速率量測數據或複數監測晶圓量測數據中之一者或兩者之量測到的基板效能數據,該量測到的基板效能數據係用以對該複數期望處理狀態數值進行調整,其進而造成該補償向量的調整及該複數調整旋鈕中之該一或多者的變化。
- 如申請專利範圍第17項之電漿處理之處理狀態的控制系統,其中該機器學習引擎係用以使用自複數蝕刻速率量測數據或複數監測晶圓量測數據中之一者或兩者所獲得的實際數據進行該複數目前處理狀態數值的驗證。
- 如申請專利範圍第15項之電漿處理之處理狀態的控制系統,其中該機器學習引擎更接收與複數感測器訊號相關以補償該複數調整旋鈕的敏感度數據。
- 如申請專利範圍第15項之電漿處理之處理狀態的控制系統,其中該機器學習引擎更接收一現象模型欲使用之反應器壁表面動力學,該現象模型以該電漿反應器之該複數感測器所產生之該複數數據流來定義該處理環境內的電漿動力學。
- 如申請專利範圍第15項之電漿處理之處理狀態的控制系統,其中該系統係用以在一或多個操作階段中被執行,其中一操作階段包含:在使用複數非生產基板之該電漿反應器的陳化階段期間,該控制器藉著執行該多變量程序以識別該複數目前處理狀態數值何時落在一範圍內而監測該電漿反應器的陳化階段,該範圍致使該複數調整旋鈕的複數調整而將該電漿反應器置於適合處理複數生產基板的一完備狀態並致使該電漿反應器之陳化階段的中斷。
- 如申請專利範圍第15項之電漿處理之處理狀態的控制系統,其中該系統係用以在一或多個操作階段中被執行,其中一操作階段包含:在使用複數生產基板的製造階段期間,該控制器執行該多變量程序以識別該複數目前處理狀態數值何時落入一範圍內,該範圍致使該複數調整旋鈕的複數調整以補償該電漿處理中的漂移。
- 如申請專利範圍第22項之電漿處理之處理狀態的控制系統,其中漂移的補償在該製造階段期間發生複數次,且計算該複數調整旋鈕的該複數 調整以使該複數感測器所量測到之該處理環境更接近該複數期望處理狀態數值。
- 一種電漿處理之處理狀態的控制系統,包含:一電漿反應器,具有對該電漿反應器之複數操作條件產生複數設定的複數調整旋鈕;該電漿反應器的複數感測器,該複數感測器中的每一者係用以在該電漿反應器之操作期間產生用以進行該電漿處理之資訊的一數據流;及該電漿反應器的一控制器,係用以執行一多變量程序,該多變量程序係用以使用複數期望處理狀態數值作為輸入並識別複數目前電漿處理數值,該複數期望處理狀態數值定義在該電漿反應器之一處理環境內之複數期望的可量測條件,該多變量程序使用一機器學習引擎,該機器學習引擎將以下者作為輸入:該複數期望處理狀態數值、在該電漿處理的處理期間來自該複數感測器的複數數據流、以及與複數感測器訊號相關以補償該複數調整旋鈕的敏感度數據,且該機器學習引擎係用以識別用以產生一補償向量之複數目前處理狀態數值,其中該補償向量識別該複數期望處理狀態數值與該複數目前處理狀態數值之間的複數差異。
- 如申請專利範圍第24項之電漿處理之處理狀態的控制系統,其中該控制器係更用以執行一補償處理操作,將以該處理環境內之複數量測到的條件所表達的該補償向量轉換為該電漿反應器之該複數調整旋鈕中之特定一或多者的複數變化。
- 如申請專利範圍第25項之電漿處理之處理狀態的控制系統,其中該控制器係更用以指示該電漿反應器之該複數調整旋鈕之一或多者的複數變化以造成該反應器之該處理環境之該複數可量測條件的變化。
- 如申請專利範圍第24項之電漿處理之處理狀態的控制系統,其中該機器學習引擎係用以週期性地接收關於複數蝕刻速率量測數據或複數監測晶圓量測數據中之一者或兩者之量測到的基板效能數據。
- 如申請專利範圍第27項之電漿處理之處理狀態的控制系統,其中一量測設備係用以從該電漿反應器所處理之一或多片基板中量測基板效能數據。
- 如申請專利範圍第24項之電漿處理之處理狀態的控制系統,其中該系統係用以在一或多個操作階段中被執行,其中一操作階段包含:在使用複數非生產基板之該電漿反應器的陳化階段期間,該控制器藉著執行該多變量程序以識別該複數目前處理狀態數值何時落在一範圍內而監測該電漿反應器的陳化階段,該範圍致使該複數調整旋鈕的複數調整而將該電漿反應器置於適合處理複數生產基板的一完備狀態並致使該電漿反應器之陳化階段的中斷。
- 如申請專利範圍第24項之電漿處理之處理狀態的控制系統,其中該系統係用以在一或多個操作階段中被執行,其中一操作階段包含:在使用複數生產基板的製造階段期間,該控制器執行該多變量程序以識別該複數目前處理狀態數值何時落入一範圍內,該範圍致使該複數調整旋鈕的複數調整以補償該電漿處理中的漂移。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/268,472 | 2016-09-16 | ||
US15/268,472 US9972478B2 (en) | 2016-09-16 | 2016-09-16 | Method and process of implementing machine learning in complex multivariate wafer processing equipment |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201826318A TW201826318A (zh) | 2018-07-16 |
TWI772325B true TWI772325B (zh) | 2022-08-01 |
Family
ID=61620100
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106131104A TWI772325B (zh) | 2016-09-16 | 2017-09-12 | 電漿處理狀態的控制方法與系統 |
Country Status (7)
Country | Link |
---|---|
US (2) | US9972478B2 (zh) |
EP (1) | EP3512977B1 (zh) |
JP (1) | JP7045368B2 (zh) |
KR (1) | KR102467120B1 (zh) |
CN (1) | CN109715848B (zh) |
TW (1) | TWI772325B (zh) |
WO (1) | WO2018052698A1 (zh) |
Families Citing this family (62)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB2484262B (en) | 2010-09-29 | 2013-08-21 | Tristel Plc | Hand sanitizer |
KR20190038070A (ko) * | 2017-09-29 | 2019-04-08 | 삼성전자주식회사 | 반도체 장치의 제조 시스템 및 반도체 장치의 제조 방법 |
DE102017130551A1 (de) * | 2017-12-19 | 2019-06-19 | Aixtron Se | Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten |
WO2019130159A1 (ja) * | 2017-12-27 | 2019-07-04 | 株式会社半導体エネルギー研究所 | 薄膜製造装置、およびニューラルネットワークを用いた薄膜製造装置 |
US11029359B2 (en) * | 2018-03-09 | 2021-06-08 | Pdf Solutions, Inc. | Failure detection and classsification using sensor data and/or measurement data |
US10795346B2 (en) | 2018-03-13 | 2020-10-06 | Applied Materials, Inc. | Machine learning systems for monitoring of semiconductor processing |
JP7090243B2 (ja) | 2018-05-08 | 2022-06-24 | 千代田化工建設株式会社 | プラント運転条件設定支援システム、学習装置、及び運転条件設定支援装置 |
US10896833B2 (en) * | 2018-05-09 | 2021-01-19 | Applied Materials, Inc. | Methods and apparatus for detecting an endpoint of a seasoning process |
US10916411B2 (en) | 2018-08-13 | 2021-02-09 | Tokyo Electron Limited | Sensor-to-sensor matching methods for chamber matching |
JP7113507B2 (ja) * | 2018-09-29 | 2022-08-05 | 株式会社フジキン | 活性ガス供給システムとそれを用いた半導体製造装置 |
US10705514B2 (en) * | 2018-10-09 | 2020-07-07 | Applied Materials, Inc. | Adaptive chamber matching in advanced semiconductor process control |
US10657214B2 (en) | 2018-10-09 | 2020-05-19 | Applied Materials, Inc. | Predictive spatial digital design of experiment for advanced semiconductor process optimization and control |
US10930531B2 (en) | 2018-10-09 | 2021-02-23 | Applied Materials, Inc. | Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes |
KR20200060624A (ko) | 2018-11-22 | 2020-06-01 | 삼성전자주식회사 | 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법 |
US11133204B2 (en) * | 2019-01-29 | 2021-09-28 | Applied Materials, Inc. | Chamber matching with neural networks in semiconductor equipment tools |
US20210366750A1 (en) * | 2019-02-12 | 2021-11-25 | Spp Technologies Co., Ltd. | Abnormality detection apparatus |
US20200266037A1 (en) | 2019-02-14 | 2020-08-20 | Advanced Energy Industries, Inc. | Maintenance for remote plasma sources |
JP6737944B1 (ja) * | 2019-07-16 | 2020-08-12 | 株式会社神戸製鋼所 | 機械学習方法、機械学習装置、機械学習プログラム、通信方法、及び成膜装置 |
US11966203B2 (en) * | 2019-08-21 | 2024-04-23 | Kla Corporation | System and method to adjust a kinetics model of surface reactions during plasma processing |
WO2021061541A1 (en) * | 2019-09-25 | 2021-04-01 | Lam Research Corporation | Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry |
JP7442305B2 (ja) * | 2019-11-26 | 2024-03-04 | 東京エレクトロン株式会社 | 制御システム、制御方法、制御プログラム、および処理システム |
WO2021154747A1 (en) * | 2020-01-27 | 2021-08-05 | Lam Research Corporation | Performance predictors for semiconductor-manufacturing processes |
US11708635B2 (en) | 2020-06-12 | 2023-07-25 | Applied Materials, Inc. | Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner |
US12009191B2 (en) | 2020-06-12 | 2024-06-11 | Applied Materials, Inc. | Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall |
US11776900B2 (en) * | 2020-06-22 | 2023-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with contact structure |
CN111882030B (zh) * | 2020-06-29 | 2023-12-05 | 武汉钢铁有限公司 | 一种基于深度强化学习的加锭策略方法 |
US11749543B2 (en) * | 2020-07-06 | 2023-09-05 | Applied Materials, Inc. | Chamber matching and calibration |
US11791141B2 (en) * | 2020-07-29 | 2023-10-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for residual gas analysis |
US11586794B2 (en) | 2020-07-30 | 2023-02-21 | Applied Materials, Inc. | Semiconductor processing tools with improved performance by use of hybrid learning models |
US20220084794A1 (en) * | 2020-09-16 | 2022-03-17 | Applied Materials, Inc. | Plasma chamber with a multiphase rotating modulated cross-flow |
US12112107B2 (en) | 2020-09-18 | 2024-10-08 | Tokyo Electron Limited | Virtual metrology for wafer result prediction |
US11735447B2 (en) | 2020-10-20 | 2023-08-22 | Applied Materials, Inc. | Enhanced process and hardware architecture to detect and correct realtime product substrates |
US12020399B2 (en) | 2020-11-16 | 2024-06-25 | Xerox Corporation | System and method for multi-object micro-assembly control with the aid of a digital computer |
US11893327B2 (en) | 2020-12-14 | 2024-02-06 | Xerox Corporation | System and method for machine-learning enabled micro-assembly control with the aid of a digital computer |
US11921488B2 (en) * | 2020-12-15 | 2024-03-05 | Xerox Corporation | System and method for machine-learning-enabled micro-object density distribution control with the aid of a digital computer |
US20220210525A1 (en) * | 2020-12-24 | 2022-06-30 | Applied Materials Israel Ltd. | Prediction of electrical properties of a semiconductor specimen |
US11709477B2 (en) | 2021-01-06 | 2023-07-25 | Applied Materials, Inc. | Autonomous substrate processing system |
US11532525B2 (en) | 2021-03-03 | 2022-12-20 | Applied Materials, Inc. | Controlling concentration profiles for deposited films using machine learning |
US20220284342A1 (en) * | 2021-03-04 | 2022-09-08 | Applied Materials, Inc. | Systems and methods for process chamber health monitoring and diagnostics using virtual model |
KR102252144B1 (ko) * | 2021-03-31 | 2021-05-17 | (주)알티엠 | 플라즈마의 동작을 확인하는 전자 장치 및 그 동작 방법 |
JP2022168572A (ja) * | 2021-04-26 | 2022-11-08 | 東京エレクトロン株式会社 | 情報処理システム、温度制御方法及び熱処理装置 |
US11586160B2 (en) | 2021-06-28 | 2023-02-21 | Applied Materials, Inc. | Reducing substrate surface scratching using machine learning |
US11669079B2 (en) * | 2021-07-12 | 2023-06-06 | Tokyo Electron Limited | Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements |
US20230057145A1 (en) * | 2021-08-23 | 2023-02-23 | Applied Materials, Inc. | Plasma chamber with a multiphase rotating cross-flow with uniformity tuning |
US12061458B2 (en) * | 2021-08-27 | 2024-08-13 | Applied Materials, Inc. | Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment |
US12031910B2 (en) | 2021-09-15 | 2024-07-09 | Applied Materials, Inc. | Transmission corrected plasma emission using in-situ optical reflectometry |
US12106984B2 (en) | 2021-11-23 | 2024-10-01 | Applied Materials, Inc. | Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm |
US20230195061A1 (en) * | 2021-12-21 | 2023-06-22 | Applied Materials, Inc. | Manufacturing equipment parts quality management system |
US20230195074A1 (en) * | 2021-12-21 | 2023-06-22 | Applied Materials, Inc. | Diagnostic methods for substrate manufacturing chambers using physics-based models |
US20230195060A1 (en) * | 2021-12-21 | 2023-06-22 | Applied Materials, Inc. | Substrate support characterization to build a digital twin |
US20230295799A1 (en) * | 2022-03-16 | 2023-09-21 | Applied Materials, Inc. | Process characterization and correction using optical wall process sensor (owps) |
US12032355B2 (en) * | 2022-03-31 | 2024-07-09 | Tokyo Electron Limited | Virtual metrology model based seasoning optimization |
US12105504B2 (en) | 2022-04-27 | 2024-10-01 | Applied Materials, Inc. | Run-to-run control at a manufacturing system using machine learning |
USD1031743S1 (en) | 2022-05-06 | 2024-06-18 | Applied Materials, Inc. | Portion of a display panel with a graphical user interface |
US20230367302A1 (en) * | 2022-05-11 | 2023-11-16 | Applied Materials, Inc. | Holistic analysis of multidimensional sensor data for substrate processing equipment |
WO2023220680A1 (en) * | 2022-05-13 | 2023-11-16 | Lam Research Corporation | Virtual semiconductor fab environment |
TW202406412A (zh) * | 2022-07-15 | 2024-02-01 | 日商東京威力科創股份有限公司 | 電漿處理系統、支援裝置、支援方法及支援程式 |
WO2024054380A1 (en) * | 2022-09-08 | 2024-03-14 | Lam Research Corporation | Multi-sensor determination of a state of semiconductor equipment |
KR20240047842A (ko) * | 2022-10-05 | 2024-04-12 | 서울대학교산학협력단 | 기계학습이 적용된 자동화된 박막 증착 시스템 및 박막 증착 방법 |
US20240176336A1 (en) * | 2022-11-28 | 2024-05-30 | Applied Materials, Inc. | Chamber matching by equipment constant updates |
US20240176334A1 (en) * | 2022-11-28 | 2024-05-30 | Applied Materials, Inc. | Adjusting chamber performance by equipment constant updates |
WO2024145612A1 (en) * | 2022-12-30 | 2024-07-04 | Lam Research Corporation | Automated recipe health optimization |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030201162A1 (en) * | 2000-03-30 | 2003-10-30 | Lianjun Liu | Optical monitoring and control system and method for plasma reactors |
CN1947215A (zh) * | 2004-04-02 | 2007-04-11 | 应用材料股份有限公司 | 控制等离子制程系统中的制程条件的方法和系统 |
TW201312622A (zh) * | 2011-07-28 | 2013-03-16 | Advanced Energy Ind Inc | 用於先進電漿離子能量處理系統的晶圓夾持系統 |
US20130157387A1 (en) * | 2011-12-16 | 2013-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-zone EPD Detectors |
TW201345321A (zh) * | 2012-03-19 | 2013-11-01 | Lam Res Corp | 用以校正電漿處理系統中之非均勻性的方法及設備 |
US20160148850A1 (en) * | 2014-11-25 | 2016-05-26 | Stream Mosaic, Inc. | Process control techniques for semiconductor manufacturing processes |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO1999014394A1 (en) * | 1997-09-17 | 1999-03-25 | Tokyo Electron Limited | Device and method for detecting and preventing arcing in rf plasma systems |
US6700090B2 (en) * | 2002-04-26 | 2004-03-02 | Hitachi High-Technologies Corporation | Plasma processing method and plasma processing apparatus |
KR100963519B1 (ko) * | 2003-07-11 | 2010-06-15 | 주성엔지니어링(주) | 높은 플라즈마 균일도를 가지는 유도성 결합 플라즈마발생장치 및 이를 이용한 플라즈마 균일도 제어 방법 |
KR100655445B1 (ko) * | 2005-10-04 | 2006-12-08 | 삼성전자주식회사 | 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비 |
US8992725B2 (en) | 2006-08-28 | 2015-03-31 | Mattson Technology, Inc. | Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil |
JP2011525682A (ja) * | 2008-05-14 | 2011-09-22 | アプライド マテリアルズ インコーポレイテッド | Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置 |
US8103492B2 (en) | 2008-09-05 | 2012-01-24 | Tokyo Electron Limited | Plasma fluid modeling with transient to stochastic transformation |
JP5693573B2 (ja) * | 2009-06-30 | 2015-04-01 | ラム リサーチ コーポレーションLam Research Corporation | 最適なエンドポイント・アルゴリズムを構築する方法 |
US8983631B2 (en) * | 2009-06-30 | 2015-03-17 | Lam Research Corporation | Arrangement for identifying uncontrolled events at the process module level and methods thereof |
US8932429B2 (en) | 2012-02-23 | 2015-01-13 | Lam Research Corporation | Electronic knob for tuning radial etch non-uniformity at VHF frequencies |
JP6318027B2 (ja) | 2014-06-27 | 2018-04-25 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
-
2016
- 2016-09-16 US US15/268,472 patent/US9972478B2/en active Active
-
2017
- 2017-08-28 EP EP17851300.8A patent/EP3512977B1/en active Active
- 2017-08-28 CN CN201780056833.1A patent/CN109715848B/zh active Active
- 2017-08-28 JP JP2019513313A patent/JP7045368B2/ja active Active
- 2017-08-28 KR KR1020197009604A patent/KR102467120B1/ko active IP Right Grant
- 2017-08-28 WO PCT/US2017/048965 patent/WO2018052698A1/en unknown
- 2017-09-12 TW TW106131104A patent/TWI772325B/zh active
-
2018
- 2018-04-30 US US15/967,541 patent/US10615009B2/en active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030201162A1 (en) * | 2000-03-30 | 2003-10-30 | Lianjun Liu | Optical monitoring and control system and method for plasma reactors |
CN1947215A (zh) * | 2004-04-02 | 2007-04-11 | 应用材料股份有限公司 | 控制等离子制程系统中的制程条件的方法和系统 |
TW201312622A (zh) * | 2011-07-28 | 2013-03-16 | Advanced Energy Ind Inc | 用於先進電漿離子能量處理系統的晶圓夾持系統 |
US20130157387A1 (en) * | 2011-12-16 | 2013-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-zone EPD Detectors |
TW201345321A (zh) * | 2012-03-19 | 2013-11-01 | Lam Res Corp | 用以校正電漿處理系統中之非均勻性的方法及設備 |
US20160148850A1 (en) * | 2014-11-25 | 2016-05-26 | Stream Mosaic, Inc. | Process control techniques for semiconductor manufacturing processes |
Also Published As
Publication number | Publication date |
---|---|
EP3512977B1 (en) | 2023-11-08 |
KR20190049796A (ko) | 2019-05-09 |
JP2019537240A (ja) | 2019-12-19 |
US9972478B2 (en) | 2018-05-15 |
TW201826318A (zh) | 2018-07-16 |
US20180082826A1 (en) | 2018-03-22 |
EP3512977A1 (en) | 2019-07-24 |
CN109715848B (zh) | 2022-05-31 |
CN109715848A (zh) | 2019-05-03 |
JP7045368B2 (ja) | 2022-03-31 |
US10615009B2 (en) | 2020-04-07 |
US20180247798A1 (en) | 2018-08-30 |
WO2018052698A1 (en) | 2018-03-22 |
EP3512977A4 (en) | 2020-05-13 |
KR102467120B1 (ko) | 2022-11-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI772325B (zh) | 電漿處理狀態的控制方法與系統 | |
US20130119016A1 (en) | Plasma processing apparatus and plasma processing method | |
US20220083034A1 (en) | Intelligent processing tools | |
Lynn et al. | Real-time virtual metrology and control for plasma etch | |
US8805567B2 (en) | Method of controlling semiconductor process distribution | |
US20220245307A1 (en) | Hybrid physics/machine learning modeling of processes | |
Ringwood et al. | Estimation and control in semiconductor etch: Practice and possibilities | |
US20230135102A1 (en) | Methods and mechanisms for process recipe optimization | |
WO2023121835A1 (en) | Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing | |
KR20240067834A (ko) | 피처 모델들을 사용한 프로세스 레시피 생성 및 매칭 | |
JP4274348B2 (ja) | プロセスの予測方法及び処理装置並びにプロセス予測プログラム | |
US20240329626A1 (en) | Digital simulation for semiconductor manufacturing processes | |
US20230359179A1 (en) | Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing | |
US12131105B2 (en) | Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing | |
US20240332092A1 (en) | Machine learning model for semiconductor manufacturing processes | |
US20230384777A1 (en) | Methods and mechanisms for preventing fluctuation in machine-learning model performance | |
US20230078146A1 (en) | Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing | |
US20240288779A1 (en) | Methods and mechanisms for modifying machine-learning models for new semiconductor processing equipment | |
WO2024145612A1 (en) | Automated recipe health optimization | |
CN118435137A (zh) | 用于使用基于物理的模型的基板制造腔室的诊断方法 | |
Ha | On-line control of process uniformity using categorized variabilities | |
Cale et al. | A simple adaptive optimization algorithm for the tungsten LPCVD process |