CN109715848A - 复杂多变量晶片处理设备中实现机器学习的方法和过程 - Google Patents

复杂多变量晶片处理设备中实现机器学习的方法和过程 Download PDF

Info

Publication number
CN109715848A
CN109715848A CN201780056833.1A CN201780056833A CN109715848A CN 109715848 A CN109715848 A CN 109715848A CN 201780056833 A CN201780056833 A CN 201780056833A CN 109715848 A CN109715848 A CN 109715848A
Authority
CN
China
Prior art keywords
plasma reactor
processing status
processing
reactor
status value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780056833.1A
Other languages
English (en)
Other versions
CN109715848B (zh
Inventor
约翰·多尔蒂
乔伊迪普·古哈
瓦希德·瓦赫迪
理查德·艾伦·戈特思酷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN109715848A publication Critical patent/CN109715848A/zh
Application granted granted Critical
Publication of CN109715848B publication Critical patent/CN109715848B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/0138Monitoring physical parameters in the etching chamber, e.g. pressure, temperature or gas composition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了用于控制等离子体反应器的处理状态以启动生产衬底的方法和系统。方法使用等离子体反应器的调节按钮的设置启动等离子体反应器中的衬底的处理,设置被逼近以实现期望的处理状态值。多个数据流被接收并用于识别当前的处理状态值。方法包括生成补偿矢量,补偿矢量识别当前的处理状态值与期望的处理状态值之间的差异。补偿矢量的生成使用机器学习来改善和修整期望的补偿的识别和数量,如在补偿矢量中所识别的。方法还将补偿矢量转换为对调节按钮的设置的调整且然后将调整应用于等离子体反应器的调节按钮。

Description

复杂多变量晶片处理设备中实现机器学习的方法和过程
技术领域
本文的实施方案涉及用于表征在等离子体反应器中的处理期间所期望的处理状态并且使用在等离子体处理期间收集的数据流来对处理参数进行调整以使得当前的处理状态最佳匹配所期望的处理状态的方法和计算机实现的过程。在一些实现方式中,所进行的调整是对物理设置的调整,所述物理设置如控制等离子体反应器的参数设置的按钮,并且这样的设置被配置为使所述处理漂移到已知的所期望的处理状态。此外,在各种公开的实施方案中,提供了关于优化从等离子体反应器的传感器收集的数据流的处理以及处理数据流以基于机器学习算法进行调整的方式的公开内容。
背景技术
长期以来等离子体被用来处理衬底(例如晶片或平板)以形成电子产品(如集成电路或平板显示器)。半导体晶片通常被置于蚀刻室内,具有掩模层,以引导下伏材料的蚀刻。蚀刻工艺去除没有被掩模覆盖的下伏材料。由于室内部产生的挥发性等离子体条件,蚀刻工艺还可以从等离子体室内的部件表面去除材料。随着时间的过去,处理室内的部件将因此磨损并将积聚颗粒物质和/或蚀刻残余物,这可能改变蚀刻性能和/或引起工艺漂移。因此,除了需要更换消耗部件之外,还需要定期执行湿法清洁操作(即,内部表面和/或室的部分的湿法清洁操作)。
在湿法清洁之后,室必须通过各种步骤/工艺来修整(即,针对湿法清洁恢复进行处理),然后使室能进行生产晶片处理。该工艺有时被称为室“陈化处理(seasoning)”。陈化处理试图产生模仿稳定状态的表面条件。当达到稳定状态时,溶液趋于脆化,即在不同工艺中或者不同室中并不总是通用的。也许更糟糕的是,陈化处理本身可能占工具总利用率的很大一部分,例如,陈化处理持续15-70小时,而该工艺仅运行150-250小时。显然,这是一种生产力冲击(a productivity hit),更不用说浪费的能源消耗、陈化处理晶片的成本以及当装配消费品只是为了陈化处理晶片时消耗品的高成本。此外,当室从湿法清洁中恢复时,停止生产晶片(production wafer)的制造。
众所周知,蚀刻和沉积反应器是具有多个变量以控制正确的晶片处理条件的非常复杂的设备。在现代半导体处理中,系统已经变得如此复杂,以至于使用一组平凡的物理方程来解释不同的物理/化学过程是非常重要的。由于这种复杂性,很难用所有气体/压力/功率/频率输入模拟现代蚀刻/沉积工艺的全部形式。建模(以及通过扩展预测)的这种困难已经将等离子体处理转换为在很大程度上取决于艺术家的经验水平(在这种情况下是工程师)和环境而不是可预测的科学的艺术。
正是在这种背景下出现了实施方案。
发明内容
本发明公开了用于控制等离子体反应器的处理状态以启动生产衬底的处理和/或以在反应器已经清洁并且需要经过陈化处理以用于随后的生产晶片处理之后确定反应器的就绪状态的方法和系统。所述方法使用所述等离子体反应器的调节按钮的设置启动所述等离子体反应器中的衬底的处理,所述设置被逼近以实现所期望的处理状态值。在所述衬底的所述处理期间从所述等离子体反应器接收多个数据流。所述多个数据流用于识别当前的处理状态值。所述方法包括生成补偿矢量,该补偿矢量识别所述当前的处理状态值与所述所期望的处理状态值之间的差异。补偿矢量的生成使用机器学习来改善和修整(refile)所期望的补偿的识别和数量,如在补偿矢量中所识别的。该方法还包括将所述补偿矢量转换为对所述调节按钮的所述设置的调整并且然后将所述调整应用于所述等离子体反应器的所述调节按钮。
在一些实施方案中,所述方法还包括在所述衬底的所述处理期间继续从所述等离子体反应器接收所述多个数据流,以产生对所述调节按钮的所述设置的所述调整,从而帮助将所述当前的处理状态值移向所期望的处理状态值。
在一些实施方案中,所述所期望的处理状态和所述当前的处理状态在虚拟空间中定义,所述虚拟空间描述在所述等离子体反应器的处理体积内由所述传感器感测到的等离子体条件的物理状态。例如但不限于,所述等离子体条件可以是针对特定的反应器壁表面条件的在所述衬底的平面处的成组的所检测的离子、电子和中性粒子通量。
在一些实施方案中,所述补偿矢量识别在所述虚拟空间中的所述所期望的处理状态值与所述所期望的处理状态值之间的差异。并且所述补偿矢量的所述转换将对所述按钮的所述设置的所述调整识别为具有识别的物理调整的成组的识别的物理按钮。在一实施方案中,所述等离子体反应器的控制器被配置为处理程序指令,所述程序指令导致对所述按钮的所述设置的所述调整。
在一些实施方案中,多变量处理被配置为识别所述当前的处理状态值与所述所期望的处理状态值之间的差异。所述多变量处理还包括至少部分地基于从所述衬底的所述处理的蚀刻速率性能或监测晶片性能中的一者或两者接收的验证反馈,处理机器学习以对所述所期望的处理状态值进行调整以产生经调整的所期望的处理状态值。
在一些实施方案中,针对特定等离子体反应器和特定工艺配方识别所述衬底的所述处理,并且,每个特定的工艺配方和每个特定的等离子体反应器具有相关的模型,该模型包括用于调节按钮的设置和所期望的处理状态值。在一种配置中,该模型从模型数据库访问。
在一些实施方案中,当使用来自所述模型数据库的模型时,机器学习过程对所述模型的所述调节按钮的所述设置进行调整,以改进对所述特定等离子体反应器的设置,从而实现所述所期望的处理状态值。这会导致模型数据库中的模型随着时间的推移而得到改进和改善。
在一些实施方案中,所述方法包括基于通过所述机器学习所进行的所述调整更新所述模型数据库中的所述模型。
在一些实施方案中,所述机器学习使用所述等离子体反应器的所述传感器的灵敏度数据作为输入,使得所产生的所述补偿矢量包括基于灵敏度数据调制的调整。
在另一实施方案中,公开了一种用于控制反应器的等离子体工艺的处理状态的系统。等离子体反应器具有多个调节按钮,所述调节按钮用于对所述等离子体反应器的操作条件进行设置。包括所述等离子体反应器的多个传感器,并且所述多个传感器中的每一个被配置为在用于执行所述等离子体工艺的所述等离子体反应器的操作期间产生信息数据流。所述等离子体反应器的控制器被配置为执行多变量处理,所述多变量处理被配置为将所期望的处理状态值用作输入并且识别当前等离子体处理值,所述所期望的处理状态值定义在所述等离子体反应器的处理环境内的预期的可测量条件。所述多变量处理使用机器学习引擎,所述机器学习引擎接收所述所期望的处理状态值;接收在所述等离子体工艺的处理期间来自多个传感器的数据流;接收与针对调节按钮的补偿的传感器信号相关的灵敏度数据;并且接收由现象学模型使用的反应器壁表面动力学特性(dynamics),所述现象学模型根据由所述等离子体反应器的所述多个传感器产生的所述数据流定义所述处理环境内的等离子体动力学特性。
所述机器学习引擎被配置为识别用于产生补偿矢量的当前的处理状态值。该补偿矢量定义所述所期望的处理状态值与所述当前的处理状态值之间的差异。所述控制器还被配置为执行补偿处理,所述补偿处理将根据在所述处理环境内的测得的条件表示的所述补偿矢量转换为所述等离子体反应器的所述调节按钮中的特定的一个或多个的变化。该控制器被配置为指示关于所述等离子体反应器的所述调节按钮的变化以引起所述反应器的所述处理环境的所述可测量的条件的变化。
在一些实施方案中,所述机器学习引擎被配置为周期性地接收关于蚀刻速率测量或监测晶片测量中的一者或两者的测得的衬底性能数据。所述测得的衬底性能数据用于对所述所期望的处理状态值进行调整,这又导致对所述调节按钮中的所述一个或多个的所述补偿矢量以及所得到的变化的调整。
在一些实施方案中,所述机器学习引擎被配置为利用从蚀刻速率测量或监测晶片测量中的一者或两者获得的实际数据来执行所述当前的处理状态值的验证。
在一些实施方案中,所述系统执行使用非生产衬底的等离子体陈化处理阶段。所述等离子体陈化处理阶段由所述控制器通过以下方式监测:执行所述多变量处理以识别所述当前的处理状态值何时在能够调节所述调节按钮以将所述等离子体反应器放置于准备好用于处理生产衬底并且能够中断所述等离子体反应器的陈化处理阶段的状态的界限内。
在一些实施方案中,所述系统执行使用生产衬底的生产阶段。所述控制器执行所述多变量处理以识别所述当前的处理状态值何时在能够调节所述调节按钮以补偿所述等离子体工艺中的漂移的界限内。在所述生产阶段期间对于漂移的所述补偿发生多次,并且所述调节按钮中的所述调整被计算为使所述处理环境更接近由所述多个传感器测得的所述所期望的处理状态值。
通过以下结合附图的详细描述,其他方面将变得显而易见。
附图说明
通过参考以下结合附图的描述,可以最好地理解实施方案。
图1示出了可以与控制器结合使用以处理衬底的示例性等离子体反应器。
图2示出了根据一实施方案的用于在反应器的处理期间利用多变量处理来监测调节按钮并向调节按钮提供动态反馈的一般框架。
图3示出了根据一实施方案的生成和更新用于启动反应器中的衬底处理的模型的示例。
图4示出了根据一实施方案的系统的图,在该系统中,控制器用于识别用于处理衬底的初始模型。
图5示出了使用多变量处理器以便对调节按钮进行调整的一般示例。
图6示出了将来自传感器的数据流提供给多变量处理器的示例流程。
图7A示出了根据一实施方案的用于表示与操作多变量处理相关联的数据流的流程图。
图7B示出了根据一实施方案的机器学习以维持处理状态的示例性实现。
图8示出了根据一实施方案的示例性处理操作。
图9示出了根据一实施方案的可以针对验证操作和漂移控制操作来执行的方法操作的示例。
图10示出了根据一实施方案在反应器陈化处理操作之后使反应器启动的操作的示例。
图11示出了另一实施方案,其中可以清洁反应器或将反应器维持在操作中。
图12是用于实现实施方案的计算机系统的简化示意图。
具体实施方式
以下实施方案描述了用于监测等离子体处理系统,尤其是用于处理半导体衬底(例如,晶片)的等离子体处理工具的方法、设备、系统和计算机程序。
在一实施方案中,提供了方法和系统以解决在处理期间调节等离子体反应器的复杂性问题,以实现所期望的处理性能并随着时间的推移在等离子体反应器经历(例如,由于在表面和室壁上积聚的颗粒和/或材料以及暴露于等离子体的室消耗部件的消耗或磨损而导致的)物理变化而保持该性能。此外,需要能够在后清洁操作(例如湿法清洁)期间实现监测室条件的方法和系统,以能够识别何时室呈现确认陈化处理过程完成的特征。
在一实施方案中,通过实施数据分析来克服与处理状态的这种监测、识别陈化处理过程何时完成以及生产处理期间的工艺漂移的调整相关联的复杂性。数据分析使用来自等离子体反应器中存在的不同传感器(或并入的新传感器)的数据流。然后分析数据以提供关于等离子体反应器的处理环境的实质性实时信息。通过该信息,可以定义与理想行为的偏差,并且此后得出一组补偿值,其可以应用于等离子体反应器的调节按钮以校正该偏差。
在一实施方案中,除了针对等离子体处理类型和等离子体反应器类型将当前的处理状态与所期望的处理状态进行比较之外,机器学习引擎被配置为从过去处理中学习,从而产生对所期望的处理状态值的调整和改进。在一实施方案中,机器学习引擎操作随时间改进的数学模型,并且不仅能够学习和校正所期望的处理状态值,而且能够学习和校正补偿变量及其大小,其在转换成物理变量时可以用作等离子体反应器的物理控制、值、设置的调节按钮。
在一实施方案中,所公开的实施方案的各方面定义了当生产晶片被引入等离子体反应器时工艺工程师想要什么样的等离子体处理状态。广义地说,处理状态是所期望的处理状态,其是等离子体反应器的处理环境内的可测量条件。这些条件例如由等离子体反应器的多个传感器测量,所述传感器在处理期间产生数据流。例如,每个数据流可以提供随时间推移的针对特定条件读取的值,并且值的变化表示所述条件的变化。
在一实施方案中,当要引入晶片时,反应器的所期望的处理状态值限定了等离子体反应器的所期望的操作状态。例如,如果等离子体反应器刚刚经过湿法清洁,则等离子体反应器经历陈化处理阶段,其中处理陈化处理晶片直到等离子体反应器达到所期望的处理状态,或者在一实施方案中,当等离子体反应器调节按钮被调整以使当前的处理状态转变到所期望的处理状态。在一实施方案中,处理状态被定义为在给定壁边界条件下,在晶片平面处的离子、自由基、电子和中性粒子通量中的一种或其组合。在一实施方案中,这些条件由等离子体反应器的传感器检测。
处理状态可以被定义为空间变量,但是在一实施方案中,其可以定义在等离子体反应器中的任意点处。将该任意点处的处理状态与晶片上结果(例如,在处理验证期间)相关联,消除了对处理等离子体反应器内的处理状态的空间依赖性的需要。举例而言,处理状态的空间变化可以用来自反应器内的不同空间位置的传感器数据来处理。相信,关于反应器的“蚀刻状态”的信息(如果该工艺是蚀刻等离子体工艺)包含在来自其传感器的数据流中。任何特定数据流可能不具有所有信息,但是不同数据流的数学组合可以识别等离子体反应器的“处理状态”。
这是识别根据来自等离子体反应器的传感器输出的数学模型定义的“处理状态”的独特方式。在一实施方案中,反应器的“加工状态”可以在数学框架中描述,从而将其应用于具有表征反应器基本特征的传感器输出的任何反应器。
一旦等离子体反应器的“处理状态”根据其传感器输出定义,就可以实时连续监测蚀刻状态并将其与所期望的“处理状态”进行比较。当前的“处理状态”和所期望的“处理状态”之间的比较将产生“补偿矢量”,“补偿矢量”可以通过适当的数学转换转换成反应器级变量(即,按钮ID和对所述按钮的改变量)。因此,在该示例中,反应器级变量是等离子体反应器上的调节按钮,例如,气体流量、压力、温度等。通过补偿矢量,可以补偿反应器上的调节按钮以实现在等离子体反应器中的所期望的“处理状态“的值。
在一实施方案中,来自反应器的数据流以全新的视角使用,从而通过使用机器学习使它们可用于室控制的目的。下面的图1-12将提供信息如何流动以在等离子体反应器的背景下实现机器学习的示例。从广义上讲,机器学习将能够实现有效的工艺校准,以便在湿法清洁操作后设置等离子体反应器,能够有效识别等离子体反应器何时完成其陈化处理操作,以及动态使用机器学习来校正生产晶片处理中的工艺漂移。
显而易见的是,可以在没有这些具体细节中的一些或全部的情况下实践本发明的实施方案。在其他情况下,没有详细描述公知的处理操作,以免不必要地模糊本发明的实施方案。
图1示出了可以与控制器120结合使用以处理衬底102的示例性等离子体反应器100。衬底可以是例如半导体晶片、平板显示器或能够或可以使用等离子体工艺处理的任何其他类型的衬底。控制器120被配置为执行多变量处理130,其基于所期望的处理状态实现机器学习以动态地调整反应器100的处理状态。应当理解,等离子体反应器100仅是可以受益于多变量处理130的一种类型的反应器,其可以由控制器120或与控制器120接口的处理计算机执行。
如图所示,等离子体反应器100是电容耦合等离子体(CCP)蚀刻室,其使用RF(射频)源112为底部电极104提供功率。顶部电极106耦合到地,并且约束环108用于将等离子体120保持在衬底102的表面上方的处理区域中。该室还包括衬垫110,其保护室壁表面免受等离子体颗粒的累积,并且使得能有效清洁。示出了聚焦环106围绕衬底102和底部电极104。在一实施方案中,源气体116通过设置在顶部电极106中或邻近顶部电极106的喷头被输送到等离子体反应器100中。一个或多个泵114用于在操作期间调节等离子体反应器100内的压力,调节处理气体。
因此,CCP蚀刻室仅是等离子体反应器100的一个示例,其可受益于利用多变量处理130来实现对调节按钮的有效补偿,从而实现和/或维持所期望的处理状态。在没有限制的情况下,其他类型的等离子体室可以包括利用不同类型的沉积工艺的沉积室,其他类型的蚀刻室,例如电感耦合等离子体(ICP)蚀刻室等等。这些室中的任何一个可以由控制器120或计算机控制,以便调节等离子体反应器100的系统控制124。在一实施方案中,系统控制器124代表提供给一个或多个调节按钮134的控制。等离子体反应器100还可以与多个传感器132相关联。在一些实施方案中,传感器将根据等离子体反应器100的结构而变化,或者可以将额外的传感器添加到等离子体反应器100以在处理过程中捕获来自等离子体120的特定类型的数据。
如图所示,传感器132可包括光学发射光谱学(OES)传感器、压力传感器、电压传感器、电流传感器、温度传感器、流率传感器、频率传感器、功率传感器、计量传感器、以及它们中的两个或更多个的组合中的一者或多者。例如,下表A示出了可以从等离子体反应器的各种传感器获得的示例信息。
表A
应当注意,不同类型的等离子体反应器将具有不同类型的传感器,并且可以添加除了本文列出的那些传感器之外的其他传感器,这些传感器仅作为示例提供。此外,应该理解,该信息不需要涉及绝对值。因此,在使用所述机器学习的多变量处理中,增量(delta)变化是令人感兴趣的。结果,甚至可以按统计方式评估小的幅值变化。
还示出了示例性的调节按钮134,其另外可以根据等离子体反应器的类型而变化。示例类型的调节按钮可包括用于调整气体流量计(例如,MFC)的控制、用于调整功率设置的控制、用于调整温度设置的控制、用于调整所述等离子体反应器的顶部电极和底部电极之间的物理间隙分离的控制、用于调整静电卡盘(ESC)温度或操作的控制、用于调整偏置功率设置的控制、用于设定室压力的控制、用于设置一个或多个射频发生器的频率的控制、用于设置特定配方操作的运行时间的控制、用于设置真空的泵送速率的控制、用于设置气流的持续时间的控制、用于配方中的气体分压的控制、用于设置监测算法的控制、触发内窥镜检查的控制、用于设置或确定清洁操作之间的间隔的控制或其中两种或更多种的组合中的一者或多者。如上所述,不同类型的等离子体反应器将具有不同类型的调节按钮,并且可以添加在本文列出的那些调节按钮之外的其他调节按钮。
在一实施方案中,控制器120可以执行多变量处理130,以便将等离子体反应器100置于生产服务中。在室清洁操作之后可能需要该操作,其中室向大气开放并且彻底清洁和/或部件被移除和更换。有时,这种类型的清洁被称为湿法清洁,因为等离子体反应器被打开并经受各种类型的清洁和/或调节操作。在服务期间定期清洁室的要求是必要的,因为等离子体处理根据其本质上会产生可能粘附到反应器内部区域表面的颗粒和副产物,并且必须清洁表面以防止过量工艺漂移。
如果发生过度的工艺漂移,则蚀刻操作(或沉积操作)的性能将变化,并且可能不会产生与特定工艺所要求的结果相同的结果。在漂移已经将工艺结果改变至不可接受的水平时,室通常以编程方式关闭并需要进行湿法清洁。在进行湿法清洁之后,室需要经过陈化处理操作。陈化处理操作利用衬底,该衬底被配置成接近对生产晶片执行的工艺中的衬底类型。在陈化处理操作期间,执行蚀刻工艺(或沉积工艺),并且该工艺将使颗粒和材料粘附到处理室内的表面上。
在不利用本文所述的多变量处理130的情况下,典型的处理将要求操作者简单地进行几个小时的陈化处理操作。因为不可能准确地确定室何时被充分陈化处理,所以通常的做法是简单地进行陈化处理操作,时间超过可能需要的时间。当然,这在使等离子体反应器可用于处理生产晶片方面引入了显著的延迟。根据一实施方案,多变量处理130被配置为利用机器学习来比较从通过等离子体反应器的传感器捕获的数据流中检测到的所期望的处理状态值,并且利用机器学习来确定特定调节按钮需要什么调整,使得当前的处理状态值与所期望的处理状态值匹配或非常接近。
该工艺可以在湿法清洁操作之后执行,该湿法清洁操作可以识别室何时准备投入生产,从而在晶片确实已经准备好用于生产用途时,不会浪费过多的时间来运行陈化处理晶片。当等离子体反应器投入生产时,可以设定特定的配方122,以便限定等离子体处理的起始参数。起始参数可以识别调节按钮134的初始设置,以及与将等离子体反应器置于接收生产晶片的条件相关的其他参数。最初,可以从模型数据库识别等离子体反应器的处理状态,其中模型包括所期望的处理状态值和调节按钮设置。在一实施方案中,模型数据库最初可以通过实验学习处理来构造,该实验学习处理利用测试衬底以便创建放置在模型数据库中的初始模型。
当该工艺在生产中运行时,生产处理将利用多变量处理产生更新,该多变量处理更新和改进该工艺的模型。因此,当该工艺运行更多次时,还改进和更新所期望的处理状态的值和相应的调节按钮。这产生了一种连续学习反馈,随着时间的推移改善了系统的性能。因此,来自传感器132的传感器数据(在传感器数据是从等离子体反应器131的传感器接收的时)将产生传感器数据流136,其被馈送到多变量处理130。如果多变量处理130确定需要对特定的一个或多个调节按钮134的设置进行调整时,补偿138传播到实际调节按钮,以实现处理中的变化140。该反馈操作确保等离子体反应器100中的等离子体处理环境即使在等离子体反应器内的条件改变(例如,在壁和/或消耗部件上发生颗粒和/或材料累积)时也实现所期望的处理状态。
图2示出了根据一实施方案的用于在反应器100的处理期间利用多变量处理150来监测通向调节按钮134的动态反馈并向调节按钮134提供动态反馈的一般框架。在一实施方案中,多变量处理150利用机器学习引擎180,其用于从各种数据产生模块获取输入,以便基于等离子体反应器100内的变化条件确定所期望的补偿程度。
以对调节按钮134进行调整的形式提供补偿,从而修改等离子体反应器100的条件,因此计算该条件以产生更接近在等离子体反应器100中运行的特定工艺类型的所期望的处理状态的当前的处理状态。一般而言,工艺类型应指在等离子体反应器100中进行的一种处理操作。工艺类型可以根据特定类型的蚀刻操作及其与配方相关的特定化学物质和参数来定义。类似地,可以为等离子体反应器100执行的特定沉积操作定义工艺类型。在下面的讨论中,参考蚀刻操作,但是应该理解,所述操作同样可以用于沉积操作。
如图所示,根据一实施方案,模型处理151是可以执行以生成表征用于等离子体反应器的工艺的模型的操作。当先前没有运行一种类型的反应器或工艺,并且工艺工程师需要使针对特定等离子体反应器的特定工艺合格时,可以执行初始模型生成152。当暴露于特定的处理条件和相关的调节按钮设置时,可以通过等离子体反应器中的测试晶片的实验测试来执行这些操作。一旦工艺工程师在该实验测试期间验证了该工艺时,则在操作154中生成模型。
该模型将包括对工艺类型和反应器类型的识别。如下面更详细描述的模型可以包括识别所期望的处理状态以及用于特定工艺的调节按钮设置的信息。举例来说,处理状态值将根据它们的可检测特征来识别,例如,通过等离子体反应器的传感器来识别。在一实施方案中,等离子体状态值的这种表征被称为虚拟空间特性,因为这些值不是特定设置,而是可以根据从等离子体反应器的传感器收集的数据流识别的可检测值。如下所述,这些虚拟空间特性可以转换为实际调节按钮标识符和调节按钮幅度,其定义将调整或改变哪个特定调节按钮以及定义改变或调整一个或者多个调节按钮的特定量。
因此,该工艺可以由用户(工艺工程师或技术人员)在操作160中识别为等离子体工艺设置的工艺开始,其用于从模型数据库中选择模型161。包括所期望的处理状态值和初始调节按钮设置的模型经由162传送到多变量处理150。这定义了该工艺的初始起始点,其识别所期望的特定处理状态值。来自等离子体反应器100的传感器的数据流136被提供给多变量处理150的机器学习引擎180。
另外,机器学习引擎180利用所期望的处理状态值170以便基于传感器提供的数据流确定何时当前的处理状态值172与所期望的处理状态值170不匹配。此外,机器学习引擎180将从蚀刻速率分析接收周期性信息,其可以在使用计量工具测试一个或多个衬底之后执行。可以利用监测晶片执行类似的工艺,监测晶片被配置为接近预期由等离子体反应器100执行的处理的晶片类型。在任一操作中,可以执行蚀刻性能验证174并将其作为周期性数据171提供给机器学习引擎180。
这使得机器学习引擎180能确定何时应当调整所期望的处理状态值170,因为等离子体反应器100的真实性能不再与原始所期望的处理状态170匹配。因此,机器学习引擎180可以基于其周期性验证操作动态地调整所期望的处理状态170,例如,利用反馈到机器学习引擎180的离线计量测试数据动态地调整。另外,可以向机器学习引擎180提供关于反应器壁表面动力学特性182的信息。该信息随着室壁表面的特性在处理期间改变而可包括关于室壁表面的推断特性的数据。举例来说,该数据可以从壁特性的历史测量结果推断,所述壁特性例如材料堆积、剥落、粗糙度、消耗部件使用和其他物理特性。该数据可以在其可以由模型提供时推断出,该模型预测在操作期间随着时间的推移将在反应器壁表面上发生的物理变化的类型。在一些实施方案中,例如,当室进入湿清洁循环时,该数据可以不时地动态更新并且基于对反应器壁表面的检查进行改进。
作为机器学习引擎180的可选改进输入,使用来自现象学模型184的输入,其在给定反应器壁表面动态182的情况下逼近于室内的等离子体的行为。在一实施方案中,使用现象学模型184以逼近处理体积内发生的并且关联于与反应器壁表面的相互作用的化学反应的性质。从广义上讲,现象学模型有时被称为统计模型,因为它是一种数学表达式,它将现象的几种不同的经验观察相互关联起来。这种关系与基础理论一致,但并非直接源于理论。因此,现象学模型并不试图解释为什么等离子体中的变量(即,当化学键断裂以定义不同的化学物质时或当其在与反应器中的表面(例如,室壁)接触时复合以定义不同的化学形式时)。通常,现象学模型184被配置为表征等离子体反应器内的等离子体中的气体的预期化学动力学特性,以及它们相对于反应器壁表面动力学特性182的行为。这些动力学特性可包括,例如,针对不同化学过程的电子碰撞反应、壁复合反应、壁损失反应等。因此,该模型只是试图描述这种关系,其中假设关系超出了测得值。现象学模型184被配置为根据传感器输出产生到机器学习引擎180的输入。也就是说,现象学模型184对等离子体行为的表征被配置为以与可以由耦合到等离子体反应器100的传感器捕获的信息类似的信息形式产生到机器学习引擎180的输入数据。
举例来说,由现象学模型184产生的数据可以以传感器132产生的输出中的任何一个的形式表征,如参考图1所述。以通过传感器(例如OES传感器)测量光学发射光谱学(OES)光谱为例,可以以强度(I)的形式产生输出。现象学模型184可以以强度(I)的形式逼近反应器壁表面和预期的等离子体特性的变化。因此,由于机器学习引擎180可能已经(例如,以数据流的形式)从OES传感器接收输出,机器学习引擎180被编程为预期与强度相关联的输入。因此,现象学模型184被配置为生成到机器学习引擎180的输入和相同形式的强度。因此,强度(I)可以表示为阳极氧化室壁的表面粗糙度、等离子体密度、气流等的函数。
因此,可以说现象学模型184以传感器输出数据的形式或者根据传感器输出数据向机器学习引擎180提供输入。上面提供的关于OES传感器数据的示例仅是一个示例,其中建模类型与可以为其他类型的传感器数据提供的建模类型相同,例如与电容、电压、电流或由耦合到等离子体反应器100的实际传感器产生的其他测量特性相关联的传感器。
机器学习引擎180还被配置为接收输入数据,该数据定义关于特定调节按钮的补偿值的传感器信号192的灵敏度。灵敏度信息可以从等离子体反应器的实验测试中获得,其中反应器的特定条件被修改并且灵敏度可以量化。例如,灵敏度涉及可以针对等离子体反应器100进行修改和改变的特定调节按钮,并且所得到的灵敏度与按钮的设置的改变相关联。
例如,改变特定按钮上的特定值设置可能比改变另一特定按钮上的另一值设置对蚀刻速率(ER)具有更显著的响应。举另一示例而言,等离子体反应器可以被分类或与特定按钮的预定灵敏度分布(profile)相关联,并且可以通过实验确定特定调节按钮中的每一个的灵敏度值的识别。例如,在一些情况下,随着压力增加,蚀刻速率的灵敏度斜率可以具有约2%的斜率,而对源功率的调整可以具有约8%的斜率。在一些实施方案中,蚀刻速率将基于温度根据计算出的分布函数而变化,其可随着温度上升而增大,然后在某一点下降。除了蚀刻速率(其仅是表征由特定调节按钮引起的变化的灵敏度的一种参数)之外,可以表征的其他类型的度量包括例如响应于压力、源功率、气流、电极分离位置等的特定变化的OES测量值的变化。
此外,可以受特定调节按钮的变化影响的其他可测量参数可以包括例如电极之间的电容位置的变化、流率变化、以及可以由与等离子体反应器100相关联的传感器捕获的其他可测量参数。因此,对于每个传感器输出,可以执行灵敏度表征,以便确定对不同调节按钮的每个特定变化将如何影响由特定传感器检测到的所得到的测量值以及相关的灵敏度。在一实施方案中,可以通过一次改变一个或多个调节按钮对室进行灵敏度测试,然后测量来自各种传感器的多个输出。可以对任何数量的调节按钮重复该工艺,同时系统地收集由系统的传感器检测到的每个测量值的可变性。
因此,必须知道与改变任何数量的特定调节按钮相关联的蚀刻速率的灵敏度,以便防止机器学习引擎180产生对特定调节按钮规定太多或太少的改变的补偿矢量194。
因此,机器学习引擎180被配置为在操作182中接收关于要应用于调节按钮134的补偿值的传感器信号的所限定的灵敏度。如上所述,机器学习引擎180被配置成产生当前的处理状态值172,其与所期望的处理状态值170进行比较,以便识别和产生补偿矢量194,补偿矢量194在补偿处理190中处理。然后通过转换工艺186处理补偿矢量194,以便产生补偿值198。转换处理包括转换处理状态值差异,其保持识别哪些特定调节按钮134将被改变或调整所需要的信息,以及这种改变或调整的大小。因此,转换196是转换公式,其将虚拟空间中的补偿矢量值(即,通过传感器输出值表征)转换为真实空间中的补偿值188(即,通过一个或多个调节按钮184的实际变化表征)。
在一实施方案中,补偿值K(r,t)与边界定义197相关联。边界定义197识别应允许在给定等离子体反应器100中补偿值改变的量。例如,如果补偿值超出边界定义197,则系统不应实现该改变。也就是说,边界定义197用作防止对调节按钮134进行改变(该改变可能不会产生所期望的结果或者其中这种改变可能产生已知在特定等离子体反应器内或者对于在衬底上进行的工艺不是所期望的工艺修改)的安全措施。
仍然参考图2,来自等离子体反应器100的数据流136将用于相对于调节按钮的变化计算192灵敏度系数,其中r是位置,而t是时间。在一实施方案中,可以在常规配方中计算,或者可以设计特殊配方以计算,从而可以在反应器的处理状态中实现更多的见解。(其中i表示信号的数量)将按照相关性的阶(order)进行分类和关联,以表示反应器的处理状态。例如,匹配系统(即,耦合到RF功率源)中的电容器调谐位置可以与来自等离子体的光发射光谱学(OES)相关联并且可以相互关联。以类似的方式,我们可以定义相关性的不同的阶,其中较高阶定义传感器信号的强相关性,较低阶定义传感器信号的弱相关性。
如上所述,可任选地实现现象学模型184处理以描述在反应器壁处发生的控制等离子体性质的等离子体相互作用。现象学模型184将以来自反应器的数据流表示。因此,这些数据将是集总参数模型,对反应器水平处理的物理特性有一些了解。
来自灵敏度系数,直接数据流136,监测晶片和/或蚀刻速率R(t)数据174和现象学模型184的输入被馈送到机器学习引擎184。机器学习引擎基于其接收的输入生成统计模型。利用进入机器学习引擎180的输入实时生成统计模型。利用所述引擎接收的所有输入在虚拟空间中创建统计模型。将通过此模型定义所期望的处理状态值。因此,定义的该统计模型将是反应器的用于给定工艺的蓝图。单个反应器可以具有代表可以在反应器上运行的不同工艺的多个,其中i表示工艺的数量。
通过该定义的扩展,反应器应该能够改变其处理状态,并且机器学习引擎180将生成补偿矢量以从一个处理状态改变到另一个处理状态。通过该定义的另一扩展,机器学习引擎180将定义处理状态,并且此后可以用于通过限定每个室的补偿矢量(其对于给定室是唯一的)来在一组室内进行室匹配。这将确保在针对给定工艺向每个室应用补偿矢量之后,室将处于限定的噪声水平内的相同处理状态。这种在室匹配中的机器学习方法将提高硬件子系统的精度和准确度的成本降低,从而可以显著节省成本。
在一实施方案中,通过校准的成组的实验,可以教导模型以定义反应器的处理状态。为此目的可以使用不同类型的监督机器学习模型。在一些实施方案中,该步骤被称为学习步骤,其中机器学习引擎180被教导所期望的状态是什么,边界是什么,信噪比等。通过应用合适的算法,学习步骤可以是自动化的。
如上所述,机器学习引擎180将从数据流136获取所有输入,并且将按照适用于模型的相关性的阶将它们分类。这意味着一些模型可以具有定义其整体的更多数据流,而其他模型可以通过更少数量的数据流来描述(例如,每个传感器产生其相应数据流的情况)。
在一实施方案中,在反应器的正常操作期间,机器学习引擎180将持续监测数据流136并在界限内自我改进以能够提高其在定义反应器的所期望的处理状态方面的精度。举例来说,在操作期间将通过机器学习引擎180持续监测所期望的处理状态,并且将捕获任何偏差,并且机器学习引擎180将校正偏差且在该过程中将生成补偿矢量194。如上所述。补偿矢量194在虚拟空间中定义。
这意味着补偿矢量根据所测得的定义当前的处理状态值的传感器输出特性来定义。如果补偿矢量在由用户定义的范围内或者根据已知设置界限的经验定义的范围内,则机器学习将接受补偿矢量。将在操作196中应用转换函数,其中将补偿矢量转变或转换成可应用于反应器的调节按钮补偿矢量198。补偿矢量可以应用于调节按钮134以使其返回或维持所期望的处理状态。在一实施方案中,用以维持处理状态的机器学习的实现可以实时地、周期性地按照时间表或者在用户输入或编程输入时完成。在一实施方案中,可以在处理晶片之前检查处理状态。
可以使用许多机器学习算法来处理被监测/收集的数据流,并且特定算法的选择可以取决于许多因素或权衡。一个要考虑的因素是处理多变量数据并产生用于补偿的数据所期望的速度。另一个要考虑的因素是得到的数据的准确性。鉴于最近计算能力的显著进步,非常复杂的多变量数据几乎可以实时处理。在一些实现方式中,专用以及通用的机器现在被用于处理大量数据,其通常被称为“大数据”。在一些情况下,也可以使用云处理,例如,诸如云基础设施提供商,其提供根据处理需求或需求进行调节的弹性计算节点。从等离子体反应器的传感器获得的数据流可以被称为大数据类型,并且这些数据可以在处理操作期间连续和/或周期性地生成(并且用于改进模型)。在一些实施方案中,可以收集来自多个处理会话的数据并将其保存到存储器,并且其可以被访问用于离线学习。从该离线学习中习得的信息和关系也可以在特定等离子体反应器的特定配方的实时处理期间使用。
存在可以使用的几种已知的机器学习算法。这样的示例可以包括但不限于,线性/非线性回归、逐步回归、决策树学习(例如、CART、随机森林(Random Forest)、提升树(Boosted Trees)等)、关联规则学习、人工神经网络、深度学习、归纳逻辑编程、支持矢量机器(SVM)、聚类、贝叶斯(Bayesian)网络、强化学习、表示学习、相似性和度量学习、稀疏字典学习等。应该理解,特定机器学习算法的叙述不应被视为对任何一个示例的限制。随着在机器学习算法领域中公开了更新和更先进的算法,可以设想这样的进步同样可以用于操纵所接收的等离子体反应器传感器数据的处理,并且这样的数据可以用于表征提供对系统的行为和运作的理解的模型。因此,这种理解可用于产生补偿数据,该补偿数据用于调谐等离子体反应器,使得在延长的衬底处理期间衬底的生产不会偏离或漂移。
考虑到上述情况,相信机器学习的人工神经网络算法可用于处理所述处理状态值和从数据流接收的输入数据,以通过等离子体反应器的调节按钮产生补偿。人工神经网络(ANN)学习算法有时被称为“神经网络”(NN),它是一种学习算法,类似于生物神经网络的结构和功能方面。计算可以根据互连的人工神经元组(例如,节点)来构造,然后使用联结主义计算方法来处理信息。在一实施方案中,可在表示被监测的等离子体条件的数据流的背景中使用的神经网络可以是非线性统计数据的形式。这些节点用于模拟参考图2描述的输入数据流与其他输入和所期望的处理状态之间的复杂关系。可以找到数据中的模式并将其用于产生统计决策结果。
因此,一种类型的机器学习包括神经网络处理,其通常使用从神经网络的节点定义的决策树。朝向神经网络的节点的输入可以是数据流,并且朝向节点的不同输入可以与权重相关联。权重用于确定特定输入连接的重要性。此外,神经网络可以具有多个输入节点和一个或多个节点层(有时称为隐藏层)。在一实施方案中,决策树的初始生成包括最初将随机连接权重分配给树中每个节点的输入。然后使用称为反向传播的已知过程来细化和学习连接权重。在一实施方案中,所期望的处理状态值表示决策树的所期望的输出,并且输入节点接收当前的处理状态值。使用随机权重,计算输出路径。然后将计算出的这些输出路径和与所期望的处理状态值相关联的输出进行比较。
用随机权重的计算出的输出和与所期望的处理状态值相关联的输出之间的差异被称为网络中的误差。知道此误差后,反向传播用于调整连接权重以尝试产生较小的误差。所述调整使用基于旧权重、节点输入值、误差和学习权重的公式。重复该权重调整过程,直到所有节点与更新的权重相关联。此过程用于识别哪些节点对输出中的误差负最大责任,并且它们的权重调整最多。该过程不断重复,直到节点的决策树已经与最佳地定义树中特定节点的重要或不重要的权重相匹配。在此过程中,可以识别导致错误的特定的一个或多个数据流并将其添加到补偿矢量中。因此,补偿矢量表示必须改变的值,以使当前的处理状态匹配或最佳地类似于所期望的处理状态。在一些实施方案中,需要预处理来定义模型,该模型包括所期望的处理状态值。例如,参考图3描述该过程。在某些情况下,定义模型的过程可能花费时间来建立所期望的模型,并且该处理可以离线完成。生成模型后,模型将表示起始模型或初始模型。随着时间的推移,当模型用于生产处理时,可以使用机器学习继续改进模型。在操作中,用于定义模型的数据集可以保存到可由一个或多个处理机器访问的存储器中。
在一些配置中,执行机器学习的处理机器可以是工具本身的控制器,或者可以包括一个或多个联网计算机。有时,构建模型所必需的处理可能需要大量的处理能力,并且工作负载可能被分配给多于一个的计算机或虚拟机。另外,可以在云处理系统中执行这种大的数据集(例如,大数据)的处理。云处理系统可以配备有高处理能力的虚拟机,其可以共享处理负载以减少处理延迟。一旦模型被构建并保存到模型数据库中,则模型就可以由等离子体反应器实时使用。模型的处理和使用可以经由等离子体反应器的控制器或经由一个或多个其他联网计算机(本地的或云中的)。
图3示出了根据一实施方案的用于启动反应器中的衬底处理的生成和更新模型200的示例。如图所示,当反应器是新的或反应器尚未预先表征时,可以表征反应器以通过多个实验学习过程202定义模型。在这些过程中,测试衬底204可以通过反应器处理。基于多个按钮设置206进行测试衬底204的处理,并且可以针对多个不同的处理配方208处理这些实验。传感器210可以用于在学习处理期间监测反应器,以便定义和生成处理状态212。
然后可以使用该信息来生成初始模型220,其可以被添加到模型数据库230。如图所示,模型数据库将包括多个模型230a-230n,并且每个模型230将表征表示所期望的处理状态值234和相应的调节按钮232设置的处理状态值,其预期产生用于开始处理衬底的所期望的处理状态234。如上所述,当处理首先开始时,在操作154中从模型数据库获得模型,其表示用于处理衬底的开始状态。在处理期间,任何漂移通过对调节按钮134的补偿值进行调整来解决,其进一步用于向模型提供模型更新156。
该处理在图3中示出,其中在实时处理204期间,在反应器中处理制造或生产衬底204a,并且由多变量处理器150连续执行所得到的处理,多处理器150将机器学习更新156提供给模型230。因此,当模型在特定反应器中连续用于特定工艺时,该模型将随着时间的推移针对该反应器进行改进,并且模型的更新可以保存到模型数据库中。因此,当使用相同的反应器进行后续处理时,可以访问相同的模型,可以针对该特定的反应器和工艺对其进行专门调整和改进。因此,随着时间的推移,模型数据库230中的模型将根据机器学习对处理状态的更新,通过对模型进行的改变而被不断更新和改进。
图4示出了根据一实施方案的系统的图300,其中控制器120用于识别用于处理衬底的初始模型。在该示例中,控制器120将识别反应器302,并且还识别工艺304。控制器120使用该信息从数据库320识别反应器和工艺,数据库320包括与多种类型的反应器有关的信息以及与每种类型的反应器322a-322n相关联的工艺。一旦识别出反应器和工艺,就可以访问模型数据库230以识别特定模型,在该示例中特定模型是模型230j。模型230j包括所期望的处理状态P(r,t)和用于实现所期望的处理状态的调节按钮。如上所述,所期望的处理状态是多变量处理将基于从反应器100接收的数据流,并且基于对蚀刻速率或监测晶片的验证和/或确认而尝试匹配的初始处理状态。可以进行对所期望的处理状态的调整,使得机器学习引擎180可以识别将实现当前所期望的处理状态的补偿矢量。
如上所述,补偿矢量将被转换成可以基于选票定义197应用于调节按钮134的实际补偿值198。在替代实施方案中,不是要求控制器120识别初始值模型230j,而是设置工艺的技术人员或工程师可以识别模型并在输入时将其作为起始点提供。如上所述,模型可以用作初始点,以便在退出湿法工艺之后对室进行陈化处理,然后能够确定室准备好进行操作而无需不必要地进行陈化处理操作。在一实施方案中,如果确定室可以在调节按钮的设置调整的某个界限内调整,则可以应用补偿矢量以产生应用于等离子体反应器的调节按钮的变化,以便将其准备好以处理生产晶片。
对于反应器,一旦生产晶片制造准备好,就可以使用用于实现或准备处理室的处理状态以及相关的调节按钮设置。以这种方式,当最初将生产晶片引入反应器时,相信反应器将准备好处理该生产晶片并实现所期望的基本相同的处理状态。如果处理状态漂移,则当在反应器中处理一个或多个晶片时,多变量处理150可以应用补偿矢量值,使得调节按钮134可以调整处理并实现所期望的处理状态。
图5示出了使用多变量处理器150以便对调节按钮134进行调整的一般示例。该过程通常包括反馈系统,该反馈系统使得能从等离子体反应器100的传感器136读取数据流,在包括机器学习的多变量处理器150中处理来自传感器136的数据流,并且然后将变化应用于调节按钮134的设置,调节按钮134的设置应用于等离子体反应器100。该反馈回路确保对等离子体反应器所做的改变被跟踪到由与等离子体反应器相关的传感器感测到的实际数据流。也就是说,使对调节按钮134进行的改变对应于在等离子体反应器100的处理空间内测得的等离子体的特性。以这种方式,可以对调节按钮134进行调整以保持或实现等离子体反应器100内的处理状态,该处理状态是特定反应器和正在实施特定的工艺所期望的。
图6示出了一个示例流程,其中来自传感器136的数据流被提供给多变量处理器150。一旦多变量处理器150已经识别出使等离子体反应器回到与所期望的处理状态一致的状态所要求的必要变化,多变量处理器150将产生补偿矢量194。因此,补偿处理190包括从多变量处理器150接收补偿矢量194。补偿矢量194由描述从等离子体反应器100的传感器获得的测量值的度量表示。
因此,这些度量不能直接与需要对调节按钮134进行的实际改变相关。在一实施方案中,执行转换函数196以便将补偿矢量194中的数据转换为补偿值198。可以使用转换信息的查找表来执行该转换,该转换信息被映射以对应于补偿值的补偿矢量数据,从而识别特定调节按钮和用于调整调节按钮的设置的幅值。
举例而言,可行的是,转换功能196可以识别仅需要调整特定数量的调节按钮,例如更重要或更高的相关调节按钮。可能根本无法调整不会影响也不会导致处理状态的许多变化的调节按钮。因此,除了简单地将补偿矢量值194转换成补偿值198之外,转换函数还可以消除对某些按钮的调整。一旦识别出补偿值198,就将这些值传递到反应器100的调节按钮134。反应器的调节按钮可包括设置、阀、控制器指令、变化、输入等。任何数量的这些调节按钮设置可以由控制器120命令或指示,或者可以由操作员设置或通过手动操作设置。
图7A示出了根据一实施方案的用于表示与操作多变量处理150'相关联的数据流的流程图。在该示例中,等离子体反应器100被示出为提供来自不同传感器的多个输出。因此,不同的传感器将在处理期间产生数据流136。为了启动处理,在操作154中选择模型,其包括处理状态和按钮设置。如上所述,包括处理状态和按钮设置的模型可以从模型数据库获得,模型数据库可以由等离子体反应器的控制器或连接或联网的计算机访问。该模型将包括所期望的处理状态170,其在虚拟空间中定义。
同样,如上所述,虚拟空间表示描述或代表在数据流136中找到的传感器输出的数据。当前的处理状态172至少从获自来源于传感器136的数据流的数据导出。如上所述,当前的处理状态还可以包括来自反应器壁表面动力学特性182的信息处理,以及可选地来自现象学模型184的信息处理。为了简化描述处理流程,使用机器学习的多变量处理将通过比较处理状态400的操作识别当前的处理状态172和所期望的处理状态170之间的差异。
多变量处理150'也可以使用验证操作402,以便确定通过等离子体反应器100进行的实际处理是否与所期望的处理状态170同步。因此验证402将使得能对处理状态400的比较进行调整,以便任何调整都考虑验证402。多变量处理150'的输出因此将在虚拟空间中生成补偿矢量184。得到的补偿矢量184将用作模型154的更新406,模型154用作学习过程,其基于处理体积内发生的实际动力学特性以及传感器感测的和产生的数据流来更新所期望的处理状态。在该示例中,在操作404中转换处于虚拟空间中的补偿矢量和84,其中将补偿矢量转换到真实空间以识别要调整的按钮和调整的量。
因此,所得到的补偿值K1(r,t)-Kn(r,t)将被施加到调节按钮134,调节按钮134被应用于等离子体反应器100。如上所述,将变化应用到调节按钮可以以各种形式应用,具体取决于调节按钮的设置的具体变化。一些按钮表示为阀,一些表示为数字输入,一些表示为频率,一些表示为功率电平,一些表示为气体流量,一些表示为电极定位间距,一些表示为匹配网络中的电容器设置,一些以温度设置表示,一些以静电卡盘温度表示,一些以真空压力表示,一些以泵送速率表示,一些以处理时间表示,一些以混合比表示,以及一些表示为针对特定配方和/或反应器设置而定制的许多设置。应当理解,由调节按钮控制的这些示例设置仅仅是示例,并且可以存在更多。此外,提及的调节按钮不仅应被视为实际的物理按钮,而且应简单地视为特定类型的控制、输入或变量的特定类型设置的标识符。当然,在一些实施方案中,调节按钮实际上可以是按钮。
图7B示出了根据一实施方案的机器学习以维持处理状态的示例实现方式。在该示例中,可以实时使用机器学习引擎。为了使处理保持在一定界限内并避免实现在任何一点上不需要或过量的设置变化的风险,实现方式刚好在处理晶片之前使用反应器处理状态的检查,如图7B所示。以这种方式,可以进行有源晶片处理,然后进行室清洁/准备操作(例如,其包括反应器陈化处理)。刚好在进入有源晶片处理之前,可以执行健康监测操作作为安全检查。可以理解的是,该策略将确保室在取用晶片之前处于正确状态并降低错误处理的晶片的风险。
图8示出了根据一实施方案的示例性处理操作500。在该示例中,在操作502中定义了使用调节按钮的逼近实现所期望的处理状态值的设置来处理反应器中的衬底。在一实施方案中,可以在衬底的生产处理期间执行处理状态调整。在另一实施方案中,在湿法清洁之后,可以在室陈化处理期间执行处理状态调整。在该示例中,可以在已经执行湿法清洁操作工艺之后开始处理,并且室已进行陈化处理并准备好处理生产衬底。
在操作504中,在处理期间,将来自传感器的数据流从反应器传送到多变量处理器或处理反应器中的衬底。操作506示出对调节按钮的主动监测和调整,以便实现反应器内的所期望的处理状态。在操作508中,通过多变量处理器检查数据流来监测当前的处理状态值。如上所述,多变量处理器可以具有其他输入,这些输入对于机器学习是有用的,以便更准确地识别补偿矢量的值。
在操作510中,通过多变量处理器将当前的处理状态值与所期望的处理状态值进行比较。该比较优选地在操作期间实时连续地执行。在另一实施方案中,该比较可以周期性地执行,或者在通过计算机程序或用户通过手动输入的指令下执行。在操作512中,应用从由多变量处理器执行的比较操作导出的调整值对反应器的特定按钮进行调整。操作514利用对按钮设置做出的习得变化来更新模型,该习得变化与监测数据流和比较的处理状态值相关。以这种方式,通过用于特定反应器和特定配方的多变量处理器的机器学习,基于习得信息不断更新模型。
在操作516中,确定该工艺是否应该继续监测和应用调整。在处理一个或多个衬底期间,该工艺可以继续监测,该监测可以通过所进行的调整继续更新模型,以保持处理状态与所期望的处理状态一致。这种对按钮的设置的连续监测和应用有助于控制在反应器中处理越来越多的晶片时可能发生的工艺漂移。如上所述,随着在反应器中处理更多晶片,在处理空间内将发生更多的颗粒堆积或部件磨损。这些物理变化的本质将导致晶片的最终处理漂移。然而,因为正在监测处理状态,所以这些改变将以从传感器收集的所检测到的处理状态呈现。
因为正在检测这些漂移发生,所以与多变量处理器相关联的机器学习可以对反应器的按钮进行调整,以便将由反应器进行的处理维持在使所期望的处理状态实现的状态。如上所述,除了通过改变控制按钮对处理状态进行这些实时改变之外,还可以在处理任意数量的晶片之后执行各种验证步骤。可以反馈该验证,以便调整对按钮的任何调整,并且避免当所期望的处理状态不再达到所期望的结果时改变。有利地,使用来自验证和/或监测的反馈来更新所期望的处理状态,使得通过机器学习进行的调整可以继续以与反应器的实际可实现的结果和性能一致的方式对控制按钮应用调整。
此外,应当理解,通过校正漂移,可以通过反应器实现特定工艺配方的高水平性能,并且在需要反应器实际用来清洁之前还从反应器实现更高水平的晶片处理产量。另外,如果在湿法清洁之后的陈化处理步骤期间进行监测,则也可以更快地开始生产晶片,而不是浪费时间继续进行实际上不需要并且实际上减少了可用于生产晶片的生产时间的陈化处理操作。
图9示出了根据一实施方案的可以针对验证600操作和漂移控制操作650执行的方法操作的示例。可以执行验证操作600以确定反应器是否已经达到准备好处理生产晶片的状态。举例来说,该处理可在室陈化处理操作期间在反应器上进行。例如,在反应器进入生产晶片的处理之后,可以执行漂移控制650,并且需要改变调节按钮以校正由于使用反应器而发生的漂移。
在操作602中,接收关于工艺类型和反应器类型的信息。该信息用于在操作604中从模型数据库中识别模型。模型数据库可以包括可以用于给定反应器的特定工艺的多个模型,并且可以包括具有自身的已被建模的特定工艺的各种反应器。在图4的数据库320中示出了包含不同类型的反应器和针对这些反应器被建模的工艺的数据库的示例。在另一实施方案中,模型可以从文件获得,或者可以由技术人员或工程师输入到与反应器连接的控制器或计算机。
在操作606中,使用调节按钮的设置启动在反应器中的衬底的处理,如模型中所识别的。如图3所示,模型230j与所期望的处理状态234和调节按钮设置232相关联。因此,操作606中使用的调节按钮设置将首先从模型获得,例如,例如当反应器首次在湿法清洁操作后使用并且需要经过陈化处理时获得。在操作607中,在处理衬底期间,来自传感器的数据流在处理反应器中的衬底的同时被传送到多变量处理器。如上所述,多变量处理器将包括机器学习引擎,其用于识别和学习调节按钮所期望的修改类型,以便根据所期望的处理状态值放回处理。在操作608中,通过多变量处理器检查数据流来监测当前的处理状态值。
在操作610中,通过多变量处理器将当前的处理状态值与所期望的处理状态值进行比较。如果当前的处理状态现在符合所期望的处理状态,则在操作611中验证处理状态。举例来说,此时可以认为陈化处理操作完成,因为当前的处理状态与所期望的处理状态匹配。在另一实施方案中,在当前的处理状态与所期望的处理状态匹配之前,多变量处理器可以识别补偿矢量,该补偿矢量识别或用于识别可以对调节按钮进行的调整,以使反应器进入与所期望的处理状态匹配的状态。
可以执行该操作以加速将正在进行陈化处理的反应器快速置于生产状态。如上所述,这是有利的,因为不再需要反应器陈化处理任意长时间,因为现在可以确定反应器何时实际达到所期望的处理状态或通过调节按钮调节以更快到达处理状态。
如果在生产晶片处理期间正在对衬底执行处理,则操作可以继续到612,其中生成补偿矢量以识别要对当前的处理状态进行的调整以便将处理转变到所期望的处理状态。在操作614中,将补偿矢量转换为真实空间调整,其识别要调整的每个按钮和所述调整的量。在操作616中,将调整应用于反应器的每个指定按钮。
如果处理将在操作618中继续,则可以继续监测和应用调整620以防止在反应器中继续进行晶片的额外处理时发生漂移。如上所述,预期当反应器继续用于处理晶片时将发生工艺漂移,因为随着时间的推移反应器壁将积聚材料并且可消耗部件可能消耗掉。然而,通过利用使用机器学习的多变量处理器进行调整,可以对调节按钮进行调整,以便将当前的处理状态保持在实质平衡的所期望的处理状态内。
图10示出了根据一实施方案在反应器陈化处理操作704a之后使反应器启动的操作的示例。在操作702中,清洁反应器和/或对反应器进行维护。在此操作期间,可以打开反应器,更换部件,清洁部件,修复部件和/或简单地组装以进行操作。如上所述,反应器陈化处理704a包括在操作706中针对配方的用于衬底的生产处理的稳态反应器验证。将执行该处理以在反应器陈化处理操作期间监测反应器的处理状态。如上所述,陈化处理操作可以包括使用设计用于陈化处理室的多个衬底来运行反应器,并且将选择被处理的衬底以类似或模仿将由生产衬底使用所期望的工艺或目标工艺执行的处理类型。
在一实施方案中,当多变量处理根据机器学习引擎180执行的处理确定当前的处理状态已经匹配或基本匹配所期望的处理状态时,实现稳态的反应器验证,如参照图2所描述的。一旦确定室已经过陈化处理并准备好进行生产处理,该方法就转到操作708,在这里可以在反应器中针对配方开始进行衬底的生产处理。
在操作710中,在反应器中的一个或多个晶片的处理期间,可以在生产处理期间处理漂移控制。如上所述,漂移控制包括利用多变量处理器以识别当前的处理状态何时漂移远离所期望的处理状态,这可能在处理更多衬底时发生。在一实施方案中,通过连续更新调节按钮的调整,可以保持反应器的当前的处理状态与所期望的处理状态一致,以延长反应器对更多晶片的有效和有用的操作。
图11示出了另一实施方案,其中可以在操作702中清洁或维护反应器。在该实施方案中,反应器陈化处理704b包括在陈化处理期间对反应器达到稳态的过程的附加操作。在该方法中,在操作706中开始针对配方的用于衬底的生产处理的稳态的反应器验证,如图10中所进行的。在包括使一个或多个陈化处理晶片运行通过反应器的验证处理期间,该方法包括操作712,其中确定当前的处理状态是否在实现漂移控制的界限内。当确定或预定可以执行对一个或多个调节按钮的调整可以达到所期望的处理状态时,处理状态被认为是在界限内。
在该示例中,漂移控制在反应器陈化处理期间调整反应器的调节按钮的设置的背景下使用,以便将反应器置于准备状态以进行生产处理而不继续对陈化处理晶片进行处理。在操作714中,如果确定处理状态在界限内,则对反应器的调节按钮应用补偿以便提前退出反应器陈化处理。举例来说,一些陈化处理操作可能需要几个小时,在大致8到12小时的范围内,并且基于经验,传统技术在谨慎方面存在错误并且对陈化处理晶片进行处理持续较长的陈化处理时间段。然而,根据经验进行这种陈化处理的操作人员由于他们在谨慎方面犯了错误而将对反应器进行陈化处理的时间超过其所需要的时间。
根据一实施方案,处理704b将能够识别何时可以通过改变调节按钮来调整反应器以使反应器状态与所期望的处理状态值一致,而不继续对陈化处理晶片进行处理。因此,可以将反应器置于实际生产中以更快地处理生产晶片,并且避免陈化处理成本且尽可能避免因不能将反应器用于生产处理而导致的浪费成本。在该实施方案中,类似于图10的操作处理操作708和710。
通过本文描述的实施方案,使处理工具足够智能化以决定如何以最小的用户输入维持反应器的正确处理状态。扩展这一概念在半导体加工领域非常强大的,因为这降低了这种工具维持其状态的能力对现有制造控制系统和/或操作人员经验的依赖性。如上所述,操作员的经验也不是一种可靠的方法,因为每个操作员/工程师都有他或她自己的方法,一旦他们离开,就需要培训新的人员。所描述的实施方案是特别不同的传统技术,因为多变量处理的机器学习引擎180使用工具的实际实时传感器数据来定义其状态。这与许多现有技术形成对比,这些技术仅依赖于监测晶片和相关的计量工具。如上所述,本发明的实施方案可以使用监测晶片(和蚀刻速率数据),但是其用途现在是用于验证由多变量处理识别的习得的补偿值以便将工艺转换回实时的所期望的处理状态的目的。
如上所述,所公开的实施方案的独特特征在于不直接需要用户的经验来执行室匹配。这些反应器非常复杂,并且通常会具有各种经验水平的用户。并且,许多用户对反应器操作的理解有限,并且可能花费数小时的调整以实现反应器的稍微准确的处理状态。使用所描述的机器学习引擎将确保工具配备高级虚拟用户,并且系统可以及时且实时地做出正确的决策。这方面不仅对于表征客户的工艺的工具制造有用,而且对于需要在从工具供应商处购买的工具上提供自己的工艺的工具客户也是有用的。
通过本文描述的各种教导的扩展,机器学习引擎还可以应用于快速工艺开发(RPD),其包括开发初始工艺趋势并将其馈送到机器学习引擎。然后,引擎尝试预测所期望的状态,并可以更快地调节工艺。这将减少工艺开发时间并减少对用户体验级别的依赖。
在一实施方案中,上面参考图1描述的控制器120可以包括处理器、存储器、软件逻辑、硬件逻辑以及与等离子体处理系统通信、监测和控制的输入和输出子系统。控制器120还可操纵一个或多个配方的处理,该一个或多个配方包括针对例如用于操作等离子体处理系统的各种操作参数(例如,电压、电流、频率、压力、流量、功率、温度等)的多个设定点。此外,虽然参考蚀刻操作(例如蚀刻工具)提供了更详细的实例,但是应当理解的是,操作同样可以用于沉积操作(例如,沉积工具)。例如,在验证操作中,验证可以是验证沉积性能,而不是验证蚀刻性能。沉积性能可以以不同的方式量化,并且可以使用各种类型的指标方法和/或工具,但不受限于此。此外,沉积性能可以原位或离线的方式被测量、感测、逼近和/或测试。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传输到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由被工程化的工艺定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传输到系统。在一些实例中,控制器接收数据形式的指令,该指令指示在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、跟踪室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口的材料搬运中使用的工具通信。
图12是用于实现实施方式的计算机系统的简化示意图。应当理解的是,本文描述的方法可以与数字处理系统一起执行,例如与常规的通用计算机系统一起执行。被设计或编程成执行仅一个功能的专用计算机可在替代方案中使用。计算机系统包括中央处理单元(CPU)804,其通过总线810耦合到随机存取存储器(RAM)828、只读存储器(ROM)812和大容量存储设备814。系统控制器程序808驻留在随机访问存储器(RAM)828内,但也可以驻留在大容量存储设备814内。
大容量存储设备814表示持久的数据存储设备,如软盘驱动器或固定盘驱动器,其可以是本地或远程的。网络接口830提供经由网络832的连接,允许与其它设备通信。但应当理解的是,CPU 804可体现在通用处理器、专用处理器或专门编程逻辑设备内。输入/输出(I/O)接口提供与不同的外围设备的通信,并且通过总线810与CPU 804、RAM 828、ROM 812和大容量存储设备814连接。外围设备实例包括显示器818、键盘822、光标控制824、可移动媒体设备834,等等。
显示器818被配置成显示本文所描述的用户接口。键盘822、光标控制824、可移除媒体设备834和其它外围设备被耦合到I/O接口820,以便在命令选择中向CPU 804传送信息。应该理解的是,出入外部设备的数据可通过I/O接口820传输。实施方式也可以在分布式计算环境内实施,在该分布式计算环境中任务由通过有线或无线网络连接的远程处理设备执行。
实施方式可与各种计算机系统配置一起执行,所述各种计算机系统配置包括手持式设备、微处理器系统、基于微处理器的或可编程的消费电子产品、小型计算机、大型计算机等。这些实施方式也可以在分布式计算环境中实施,在该分布式计算环境中任务由通过网络连接的远程处理设备执行。
考虑到上述实施方式,应当理解的是,实施方式可以采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是那些需要对物理量进行物理操纵的操作。构成实施方式一部分的本文所描述的任何操作是有用的机器操作。实施方式还涉及用于执行这些操作的设备或者装置。该装置可以被特别地构造用于所需目的,诸如专用计算机。当被定义为专用计算机时,该计算机也可以执行并非专用目的一部分的其他的处理、程序执行或例程,同时仍然能够操作用于专用目的。可替代地,操作可以由通用计算机执行,该通用计算机通过存储在计算机存储器、高速缓存或通过网络得到的一个或多个计算机程序选择性地激活或配置。当数据通过网络获得时,数据也可以通过网络上的其他计算机进行处理,例如云计算资源。
一个或多个实施方式也可以构造为在计算机可读介质上的计算机可读代码。该计算机可读介质是可存储数据的任何数据存储设备,这些数据可随后由计算机系统读取。计算机可读介质的例子包括硬盘驱动器、网络附加存储(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带以及其他光学和非光学式数据存储设备。计算机可读介质可包括分布在网络耦合的计算机系统上的计算机可读有形介质,从而计算机可读代码被分布式存储和执行。
虽然该方法的操作被以特定顺序描述,但应当理解的是,其他内务操作可以在操作之间执行,或者操作可以被调整使得它们能够在稍微不同的时刻发生,或者可以在系统中分配,从而允许处理操作发生在与处理相关联的不同间隔,只要重叠操作的处理以所期望的方式执行即可。
关于用于检查处理室和/或消耗部件的方法的更多信息,可以参考2015年12月7日提交的名称为“Estimation of Lifetime Remaining for Consumable Part in aSemiconductor Manufacturing Chamber,”其通过引入并入本文。
关于用于监测处理条件的方法和用于调整设置的方法的更多信息,可参考名称为“Methods and Systems for Monitoring Plasma Processing Systems and AdvancedProcess and Tool Control,”的于2016年8月3日提交的美国临时专利申请No.62/370,658、名称为“Integrated electronic hardware for wafer processing control anddiagnostic,”的美国专利No.6,622,286、名称为“Methods and apparatus to predictetch rate uniformity for qualification of a plasma chamber,”的美国专利No.8,295,966、名称为“Arrangement for identifying uncontrolled events at the processmodule level and methods thereof,”U.S.Pat No.8,983,631、名称为“Methods andapparatus for predictive preventive maintenance of processing chambers,”的美国专利No.8,473,089、名称为“Methods and arrangements for in-situ processmonitoring and control for plasma processing tools,”的美国专利No.8,271,121、以及名称为“Methods for constructing an optimal endpoint algorithm,”的美国专利No.8,538,572,所有这些均被转让给本申请的受让人朗姆研究公司,并且为了所有目的将其中的每一个并入本申请中。
关于机器学习算法、现象学模型和相关过程的附加信息,可以参考:论文,其名称为“Virtual Metrology for Semiconductor Manufacturing Applications,”byBertorelle Nicola,University of Padua,Department of Information Engineering,dated 28 June 2010;论文,其名称为“Statistical Methods for SemiconductorManufacturing,”by Gian Antonio Susto,Universita Degli Studi di Padova,Schoolin Information Engineering,January 2013;以及论文,其名称为“Etchingcharacteristics and mechanisms of the MgO thin films in the CF4/Arinductively coupled plasma,”by A.Efremov,et al.Department of ElectronicDevices and Materials Technology,Sate University of Chemistry and Technology,7,F.Engels St.,15300Ivanovo,Russia,January 12,2007,其各自通过引用并入本发明。
此外,通过引用文献和申请并入的以上文献中描述的实施方式和任何特定特征可以与在本文描述的一个或多个特征组合,以定义或实现特定的实施方式。
虽然为了清楚理解的目的而对前述实施方式已经在一些细节上进行了描述,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。因此,这些实施方式应被认为是说明性的而不是限制性的,并且实施方式并不限于本文所给出的细节,而是可以在所附权利要求的范围和等同方案内进行修改。

Claims (23)

1.一种用于控制等离子体反应器的处理状态的方法,其包括:
使用所述等离子体反应器的调节按钮的设置启动所述等离子体反应器中的衬底的处理,所述设置被逼近以实现所期望的处理状态值;
在所述衬底的所述处理期间从所述等离子体反应器接收多个数据流,所述多个数据流用于识别当前的处理状态值;
生成补偿矢量,该补偿矢量识别所述当前的处理状态值与所述所期望的处理状态值之间的差异;
将所述补偿矢量转换为对所述调节按钮的所述设置的调整;以及
将所述调整应用于所述等离子体反应器的所述调节按钮。
2.根据权利要求1所述的方法,其还包括,
在所述衬底的所述处理期间继续从所述等离子体反应器接收所述多个数据流,以产生对所述调节按钮的所述设置的所述调整,从而帮助将所述当前的处理状态值移向所期望的处理状态值。
3.根据权利要求1所述的方法,其中所述所期望的处理状态和所述当前的处理状态在虚拟空间中定义,所述虚拟空间描述在所述等离子体反应器的处理体积内感测到的等离子体条件的物理状态,所述等离子体条件包括针对特定的反应器壁表面条件的在所述衬底的平面处的成组的离子、电子和中性粒子通量。
4.根据权利要求3所述的方法,其中,所述补偿矢量识别在所述虚拟空间中的所述所期望的处理状态值与所述所期望的处理状态值之间的差异,并且所述补偿矢量的所述转换将对所述按钮的所述设置的所述调整识别为具有识别的物理调整的成组的识别的物理按钮,其中所述等离子体反应器的控制器被配置为处理程序指令,所述程序指令导致对所述按钮的所述设置的所述调整。
5.根据权利要求2所述的方法,其中,多变量处理被配置为识别所述当前的处理状态值与所述所期望的处理状态值之间的差异,并且还包括,
至少部分地基于从所述衬底的所述处理的蚀刻速率性能或监测晶片性能中的一者或两者接收的验证反馈,处理机器学习以对所述所期望的处理状态值进行调整以产生经调整的所期望的处理状态值。
6.根据权利要求1所述的方法,其中针对特定等离子体反应器和特定工艺配方识别所述衬底的所述处理,
其中每个特定的工艺配方和每个特定的等离子体反应器具有相关的模型,该模型包括用于调节按钮的设置和所期望的处理状态值,该模型从模型数据库访问。
7.根据权利要求6所述的方法,其中,当使用来自所述模型数据库的模型时,机器学习过程对所述模型的所述调节按钮的所述设置进行调整,以改进对所述特定等离子体反应器的设置,从而实现所述所期望的处理状态值。
8.根据权利要求7所述的方法,其还包括,
基于所述机器学习所进行的所述调整更新所述模型数据库中的所述模型。
9.根据权利要求5所述的方法,其中所述机器学习使用所述等离子体反应器的所述传感器的灵敏度数据作为输入,使得所产生的所述补偿矢量包括基于灵敏度数据调制的调整。
10.根据权利要求1所述的方法,其还包括,
访问针对所述等离子体反应器的类型和将用于在所述等离子体反应器中所述衬底的所述处理的工艺的类型的模型数据库;
从所述模型数据识别模型,该模型包括等离子体反应器的调节按钮的所述设置,所述设置被逼近以实现所期望的处理状态值。
11.根据权利要求1所述的方法,其中所述多个数据流是从与所述等离子体反应器接口或与连通所述等离子体反应器的控制器接口的传感器接收的,其中所述传感器包括光发射光谱学(OES)传感器、干涉测量仪、压力传感器、电压传感器、电流传感器、温度传感器、流率传感器、频率传感器、功率传感器、计量传感器、以及它们中的两种或更多种的组合中的一者或多者。
12.根据权利要求1所述的方法,其中所述调节按钮涉及与所述等离子体反应器接口的控制,所述控制包括用于调整气体流量计的控制、用于调整功率设置的控制、用于调整温度设置的控制、用于调整所述等离子体反应器的顶部电极和底部电极之间的物理间隙分离的控制、用于调整静电卡盘(ESC)温度或操作的控制、用于调整偏置功率设置的控制、用于设置室压力的控制、用于设置一个或多个射频发生器的频率的控制、用于设置特定配方操作的运行时间的控制、用于设置真空的泵送速率的控制、用于设置气流的持续时间的控制、用于设置监测算法的控制、触发内窥镜检查的控制、用于设置或确定清洁操作之间的间隔的控制或它们中的两种或更多种的组合中的一者或多者。
13.一种用于控制等离子体反应器的处理状态的方法,其包括:
使用所述等离子体反应器的调节按钮的设置启动所述等离子体反应器中的陈化处理衬底的处理,所述设置被逼近以实现所期望的处理状态值;
在所述陈化处理衬底的所述处理期间从所述等离子体反应器接收多个数据流,所述多个数据流用于识别当前的处理状态值;
继续在所述等离子体反应器中处理所述陈化处理衬底或另外的陈化处理衬底,直到所述当前的处理状态值在所述所期望的处理状态值的预定阈值内;
生成补偿矢量,该补偿矢量识别所述所期望的处理状态值与所述所期望的处理状态值之间的差异;
将所述补偿矢量转换为对所述调节按钮的所述设置的调整;
将所述调整应用于所述等离子体反应器的所述调节按钮;以及
当对所述调节按钮的所述调整使等离子体反应器处于基本上产生所述所期望的处理状态值的状态时,将所述等离子体反应器识别为准备好处理生产衬底。
14.根据权利要求13所述的方法,其中所述所期望的处理状态和所述当前的处理状态在虚拟空间中定义,所述虚拟空间描述在所述等离子体反应器的处理体积内感测到的等离子体条件的物理状态,所述等离子体条件包括针对所检测的反应器壁表面条件的在所述衬底的平面处的成组的离子、电子和中性粒子通量。
15.根据权利要求14所述的方法,其中,所述补偿矢量识别所述虚拟空间中的所述所期望的处理状态值与所述所期望的处理状态值之间的差异,并且所述补偿矢量的所述转换将对所述按钮的所述设置的所述调整识别为具有识别的物理调整的成组的识别的物理按钮,其中所述等离子体反应器的控制器被配置为处理程序指令,所述程序指令导致对所述按钮的所述设置的所述调整。
16.根据权利要求13所述的方法,其还包括:
使用在所述衬底的陈化处理期间所设定的所述等离子体反应器的调节按钮的设置启动所述等离子体反应器中的生产衬底的处理,所述设置被逼近以实现所期望的处理状态值;
在所述生产衬底的所述处理期间从所述等离子体反应器接收多个数据流,所述多个数据流用于识别当前的处理状态值;
生成补偿矢量,该补偿矢量识别所述当前的处理状态值与所述所期望的处理状态值之间的差异;
将所述补偿矢量转换为对所述调节按钮的所述设置的调整;
将所述调整应用于所述等离子体反应器的所述调节按钮以随时间的推移补偿由所述等离子体反应器进行的处理中的漂移。
17.一种用于控制等离子体工艺的处理状态的系统,其包括:
具有多个调节按钮的等离子体反应器,所述调节按钮用于对所述等离子体反应器的操作条件进行设置;
所述等离子体反应器的多个传感器,所述多个传感器中的每一个被配置为在用于执行所述等离子体工艺的所述等离子体反应器的操作期间产生信息数据流;
所述等离子体反应器的控制器被配置为执行多变量处理,所述多变量处理被配置为将所期望的处理状态值用作输入并且识别当前等离子体处理值,所述所期望的处理状态值定义在所述等离子体反应器的处理环境内的预期的可测量条件,所述多变量处理使用机器学习引擎,所述机器学习引擎接收,
所述所期望的处理状态值;
在所述等离子体工艺的处理期间来自多个传感器的数据流;
与针对调节按钮的补偿的传感器信号相关的灵敏度数据;
由现象学模型使用的反应器壁表面动力学特性,所述现象学模型根据由所述等离子体反应器的所述多个传感器产生的所述数据流定义所述处理环境内的等离子体动力学特性;
所述机器学习引擎被配置为识别用于产生补偿矢量的当前的处理状态值,该补偿矢量定义所述所期望的处理状态值与所述当前的处理状态值之间的差异;
所述控制器还被配置为执行补偿处理操作,所述补偿处理操作将根据在所述处理环境内的测得的条件表示的所述补偿矢量转换为所述等离子体反应器的所述调节按钮中的特定的一个或多个的变化,该控制器被配置为指示所述等离子体反应器的所述调节按钮的变化引起所述反应器的所述处理环境的所述可测量的条件的变化。
18.根据权利要求17所述的系统,其中所述机器学习引擎被配置为周期性地接收关于蚀刻速率测量或监测晶片测量中的一者或两者的测得的衬底性能数据,所述测得的衬底性能数据用于对所述所期望的处理状态值进行调整,这又导致对所述调节按钮中的所述一个或多个的所述补偿矢量以及所得到的变化的调整。
19.根据权利要求18所述的系统,其中所述机器学习引擎被配置为利用从蚀刻速率测量或监测晶片测量中的一者或两者获得的实际数据来执行所述当前的处理状态值的验证。
20.根据权利要求17所述的系统,其中,所述系统被配置为在一个或多个操作阶段中执行,其中一个操作阶段包括,
在使用非生产衬底的等离子体反应器陈化处理阶段期间,所述等离子体反应器陈化处理阶段由所述控制器通过以下方式监测:执行所述多变量处理以识别所述当前的处理状态值何时在能够调节所述调节按钮以将所述等离子体反应器放置于准备好用于处理生产衬底并且能够中断所述等离子体反应器的陈化处理阶段的状态的界限内。
21.根据权利要求17所述的系统,其中,所述系统被配置为在一个或多个操作阶段中执行,其中一个操作阶段包括,
在使用生产衬底的生产阶段期间,所述控制器执行所述多变量处理以识别所述当前的处理状态值何时在能够调节所述调节按钮以补偿所述等离子体工艺中的漂移的界限内,在所述生产阶段期间对于漂移的所述补偿发生多次,所述调节按钮中的所述调整被计算为使所述处理环境更接近由所述多个传感器测得的所述所期望的处理状态值。
22.一种用于控制等离子体工艺的处理状态的系统,其包括:
具有多个调节按钮的等离子体反应器,所述调节按钮用于对所述等离子体反应器的操作条件进行设置;
所述等离子体反应器的多个传感器,所述多个传感器中的每一个被配置为在用于执行所述等离子体工艺的所述等离子体反应器的操作期间产生信息数据流;
所述等离子体反应器的控制器被配置为执行多变量处理,该多变量处理被配置为将所期望的处理状态值用作输入并识别当前等离子体处理值,所述所期望的处理状态值定义在所述等离子体反应器的处理环境内的预期的可测量条件,所述多变量处理使用机器学习引擎,所述机器学习引擎接收,
所述所期望的处理状态值;
在所述等离子体工艺的处理期间来自所述多个传感器的数据流;
与针对调节按钮的补偿的传感器信号相关的灵敏度数据;
所述机器学习引擎被配置为识别用于产生补偿矢量的当前的处理状态值,所述补偿矢量定义所述所期望的处理状态值与所述当前的处理状态值之间的差异;
所述控制器还被配置为执行补偿处理操作,所述补偿处理操作将根据在所述处理环境内的测得的条件表示的所述补偿矢量转换为所述等离子体反应器的所述调节按钮中的特定的一个或多个的变化,该控制器被配置为指示所述等离子体反应器的所述调节按钮中的一个或多个的变化引起所述反应器的所述反应器的所述处理环境的所述可测量的条件的变化。
23.根据权利要求22所述的系统,其中所述机器学习引擎被配置为周期性地接收关于蚀刻速率测量或监测晶片测量中的一者或两者的测得的衬底性能数据,所述测得的衬底性能数据是从检查由所述等离子体反应器处理的一个或多个衬底的计量工具接收的。
CN201780056833.1A 2016-09-16 2017-08-28 复杂多变量晶片处理设备中实现机器学习的方法和过程 Active CN109715848B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/268,472 2016-09-16
US15/268,472 US9972478B2 (en) 2016-09-16 2016-09-16 Method and process of implementing machine learning in complex multivariate wafer processing equipment
PCT/US2017/048965 WO2018052698A1 (en) 2016-09-16 2017-08-28 Method and process of implementing machine learning in complex multivariate wafer processing equipment

Publications (2)

Publication Number Publication Date
CN109715848A true CN109715848A (zh) 2019-05-03
CN109715848B CN109715848B (zh) 2022-05-31

Family

ID=61620100

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780056833.1A Active CN109715848B (zh) 2016-09-16 2017-08-28 复杂多变量晶片处理设备中实现机器学习的方法和过程

Country Status (7)

Country Link
US (2) US9972478B2 (zh)
EP (1) EP3512977B1 (zh)
JP (1) JP7045368B2 (zh)
KR (1) KR102467120B1 (zh)
CN (1) CN109715848B (zh)
TW (1) TWI772325B (zh)
WO (1) WO2018052698A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111882030A (zh) * 2020-06-29 2020-11-03 武汉钢铁有限公司 一种基于深度强化学习的加锭策略方法
TWI777291B (zh) * 2020-07-29 2022-09-11 台灣積體電路製造股份有限公司 用於檢測處理腔室狀況的方法和系統以及計算機可讀媒介

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2484262B (en) 2010-09-29 2013-08-21 Tristel Plc Hand sanitizer
KR20190038070A (ko) * 2017-09-29 2019-04-08 삼성전자주식회사 반도체 장치의 제조 시스템 및 반도체 장치의 제조 방법
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
KR20200101919A (ko) * 2017-12-27 2020-08-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 박막 제조 장치, 및 신경망을 사용한 박막 제조 장치
US11029359B2 (en) * 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
WO2019177905A1 (en) 2018-03-13 2019-09-19 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
JP7090243B2 (ja) * 2018-05-08 2022-06-24 千代田化工建設株式会社 プラント運転条件設定支援システム、学習装置、及び運転条件設定支援装置
US10916411B2 (en) 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
JP7113507B2 (ja) * 2018-09-29 2022-08-05 株式会社フジキン 活性ガス供給システムとそれを用いた半導体製造装置
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
US10657214B2 (en) 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US10930531B2 (en) 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
KR20200060624A (ko) 2018-11-22 2020-06-01 삼성전자주식회사 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
US11133204B2 (en) * 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
JP6754026B1 (ja) * 2019-02-12 2020-09-09 Sppテクノロジーズ株式会社 基板昇降異常検出装置
JP6737944B1 (ja) * 2019-07-16 2020-08-12 株式会社神戸製鋼所 機械学習方法、機械学習装置、機械学習プログラム、通信方法、及び成膜装置
US11966203B2 (en) * 2019-08-21 2024-04-23 Kla Corporation System and method to adjust a kinetics model of surface reactions during plasma processing
WO2021061541A1 (en) * 2019-09-25 2021-04-01 Lam Research Corporation Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
JP7442305B2 (ja) * 2019-11-26 2024-03-04 東京エレクトロン株式会社 制御システム、制御方法、制御プログラム、および処理システム
CN115023798A (zh) * 2020-01-27 2022-09-06 朗姆研究公司 半导体制造工艺的性能预测器
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US11776900B2 (en) * 2020-06-22 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structure
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
US11735447B2 (en) * 2020-10-20 2023-08-22 Applied Materials, Inc. Enhanced process and hardware architecture to detect and correct realtime product substrates
US11893327B2 (en) 2020-12-14 2024-02-06 Xerox Corporation System and method for machine-learning enabled micro-assembly control with the aid of a digital computer
US11921488B2 (en) * 2020-12-15 2024-03-05 Xerox Corporation System and method for machine-learning-enabled micro-object density distribution control with the aid of a digital computer
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US11532525B2 (en) * 2021-03-03 2022-12-20 Applied Materials, Inc. Controlling concentration profiles for deposited films using machine learning
US20220284342A1 (en) * 2021-03-04 2022-09-08 Applied Materials, Inc. Systems and methods for process chamber health monitoring and diagnostics using virtual model
KR102252144B1 (ko) * 2021-03-31 2021-05-17 (주)알티엠 플라즈마의 동작을 확인하는 전자 장치 및 그 동작 방법
US11586160B2 (en) 2021-06-28 2023-02-21 Applied Materials, Inc. Reducing substrate surface scratching using machine learning
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
US20230057145A1 (en) * 2021-08-23 2023-02-23 Applied Materials, Inc. Plasma chamber with a multiphase rotating cross-flow with uniformity tuning
US20230061513A1 (en) * 2021-08-27 2023-03-02 Applied Materials, Inc. Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment
US20230163002A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
US20230195061A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Manufacturing equipment parts quality management system
US20230195060A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Substrate support characterization to build a digital twin
US20230195074A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models
US20230295799A1 (en) * 2022-03-16 2023-09-21 Applied Materials, Inc. Process characterization and correction using optical wall process sensor (owps)
US20230315047A1 (en) * 2022-03-31 2023-10-05 Tokyo Electron Limited Virtual metrology model based seasoning optimization
WO2023220680A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Virtual semiconductor fab environment
TW202406412A (zh) * 2022-07-15 2024-02-01 日商東京威力科創股份有限公司 電漿處理系統、支援裝置、支援方法及支援程式
WO2024054380A1 (en) * 2022-09-08 2024-03-14 Lam Research Corporation Multi-sensor determination of a state of semiconductor equipment
KR20240047842A (ko) * 2022-10-05 2024-04-12 서울대학교산학협력단 기계학습이 적용된 자동화된 박막 증착 시스템 및 박막 증착 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270640A (zh) * 1997-09-17 2000-10-18 东京电子株式会社 检测并防止rf等离子体系统中电弧放电的装置和方法
US20030201162A1 (en) * 2000-03-30 2003-10-30 Lianjun Liu Optical monitoring and control system and method for plasma reactors
CN1453831A (zh) * 2002-04-26 2003-11-05 株式会社日立高新技术 等离子处理方法和装置
CN1945793A (zh) * 2005-10-04 2007-04-11 三星电子株式会社 使用等离子体处理衬底的装置和方法,以及制造半导体器件的设备
CN1947215A (zh) * 2004-04-02 2007-04-11 应用材料股份有限公司 控制等离子制程系统中的制程条件的方法和系统
CN102473589A (zh) * 2009-06-30 2012-05-23 朗姆研究公司 确定工艺模块级失控事件的装置及其方法
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100963519B1 (ko) * 2003-07-11 2010-06-15 주성엔지니어링(주) 높은 플라즈마 균일도를 가지는 유도성 결합 플라즈마발생장치 및 이를 이용한 플라즈마 균일도 제어 방법
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US9435029B2 (en) * 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
CN102473631B (zh) 2009-06-30 2014-11-26 朗姆研究公司 用于等离子体处理工具原位工艺监控和控制的方法和装置
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
US8932429B2 (en) 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
JP6318027B2 (ja) 2014-06-27 2018-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1270640A (zh) * 1997-09-17 2000-10-18 东京电子株式会社 检测并防止rf等离子体系统中电弧放电的装置和方法
US20030201162A1 (en) * 2000-03-30 2003-10-30 Lianjun Liu Optical monitoring and control system and method for plasma reactors
CN1453831A (zh) * 2002-04-26 2003-11-05 株式会社日立高新技术 等离子处理方法和装置
CN1947215A (zh) * 2004-04-02 2007-04-11 应用材料股份有限公司 控制等离子制程系统中的制程条件的方法和系统
CN1945793A (zh) * 2005-10-04 2007-04-11 三星电子株式会社 使用等离子体处理衬底的装置和方法,以及制造半导体器件的设备
CN102473589A (zh) * 2009-06-30 2012-05-23 朗姆研究公司 确定工艺模块级失控事件的装置及其方法
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111882030A (zh) * 2020-06-29 2020-11-03 武汉钢铁有限公司 一种基于深度强化学习的加锭策略方法
CN111882030B (zh) * 2020-06-29 2023-12-05 武汉钢铁有限公司 一种基于深度强化学习的加锭策略方法
TWI777291B (zh) * 2020-07-29 2022-09-11 台灣積體電路製造股份有限公司 用於檢測處理腔室狀況的方法和系統以及計算機可讀媒介
US11791141B2 (en) 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis

Also Published As

Publication number Publication date
US9972478B2 (en) 2018-05-15
EP3512977A1 (en) 2019-07-24
EP3512977A4 (en) 2020-05-13
US10615009B2 (en) 2020-04-07
KR102467120B1 (ko) 2022-11-14
JP7045368B2 (ja) 2022-03-31
EP3512977B1 (en) 2023-11-08
CN109715848B (zh) 2022-05-31
TW201826318A (zh) 2018-07-16
US20180082826A1 (en) 2018-03-22
US20180247798A1 (en) 2018-08-30
TWI772325B (zh) 2022-08-01
WO2018052698A1 (en) 2018-03-22
JP2019537240A (ja) 2019-12-19
KR20190049796A (ko) 2019-05-09

Similar Documents

Publication Publication Date Title
CN109715848A (zh) 复杂多变量晶片处理设备中实现机器学习的方法和过程
Hirai et al. Adaptive virtual metrology design for semiconductor dry etching process through locally weighted partial least squares
Hong et al. Fault detection and classification in plasma etch equipment for semiconductor manufacturing $ e $-diagnostics
CN113874993A (zh) 用于衬底处理系统的基于模型的调度
Zeng et al. Virtual metrology modeling for plasma etch operations
Lin et al. A virtual metrology scheme for predicting CVD thickness in semiconductor manufacturing
Qian et al. An effective soft computing technology based on belief-rule-base and particle swarm optimization for tipping paper permeability measurement
Bleakie et al. Analytical approach to similarity-based prediction of manufacturing system performance
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
CN102301448B (zh) 半导体制造设备批次控制的背景条件偏差估计方法和系统
Cholette et al. Condition monitoring and operational decision making in semiconductor manufacturing
TW202349275A (zh) 與處理裝備相關聯的合成時間序列資料
CN118056164A (zh) 制造系统处的时间约束管理
Rietman et al. A genetic algorithm for low variance control in semiconductor device manufacturing: Some early results
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
Patterson et al. Methodology for feedback variable selection for control of semiconductor manufacturing processes-Part 1: Analytical and simulation results
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
Kuo et al. Assessing measurement noise effect in run-to-run process control: Extends EWMA controller by Kalman filter
US20230078146A1 (en) Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
Liao et al. Effective TS fuzzy model for decentralized control
Bleakie Integrated performance prediction and quality control in manufacturing systems
WO2023081169A1 (en) Methods and mechanisms for process recipe optimization
KR20240067834A (ko) 피처 모델들을 사용한 프로세스 레시피 생성 및 매칭

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant