JP2019537240A - 複雑な多変量ウエハ処理機器における機械学習を実行する方法及びプロセス - Google Patents

複雑な多変量ウエハ処理機器における機械学習を実行する方法及びプロセス Download PDF

Info

Publication number
JP2019537240A
JP2019537240A JP2019513313A JP2019513313A JP2019537240A JP 2019537240 A JP2019537240 A JP 2019537240A JP 2019513313 A JP2019513313 A JP 2019513313A JP 2019513313 A JP2019513313 A JP 2019513313A JP 2019537240 A JP2019537240 A JP 2019537240A
Authority
JP
Japan
Prior art keywords
plasma reactor
processing
reactor
adjustment
state value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019513313A
Other languages
English (en)
Other versions
JP7045368B2 (ja
JP2019537240A5 (ja
Inventor
ダウガティ・ジョン
グーハ・ジョイディープ
バヘディ・バヘド
ゴットショ・リチャード・アラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2019537240A publication Critical patent/JP2019537240A/ja
Publication of JP2019537240A5 publication Critical patent/JP2019537240A5/ja
Application granted granted Critical
Publication of JP7045368B2 publication Critical patent/JP7045368B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/0138Monitoring physical parameters in the etching chamber, e.g. pressure, temperature or gas composition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

プラズマ反応装置の処理状態を制御するための方法及びシステムが提供される。方法は、望ましい処理状態値を達成するべく近似される、プラズマ反応装置の調整ノブのための設定を使用して、プラズマ反応装置内の基板の処理を開始する。複数のデータストリームが、基板の処理中にプラズマ反応装置から受信される。複数のデータストリームは、現在の処理状態値を識別するために使用される。方法は、現在の処理状態値と望ましい処理状態値との間の違いを識別する補償ベクトルを生成する。補償ベクトルの生成は、補償ベクトルにおいて識別される際に、識別及び必要とされる補償の量を改善すると共に補充するべく、機械学習を使用する。方法は、補償ベクトルを、調整ノブのための設定に対する調節に変換し、その後に調整をプラズマ反応装置の調整ノブに適用することを更に含む。【選択図】図1

Description

本実施形態は、現在の処理状態が望ましい処理状態に最も良く一致するように処理パラメータに対する調節を行うべく、プラズマ反応装置内での処理の間に望まれる処理状態を特徴付けると共に、プラズマ処理中に収集されたデータストリームを使用するための、方法及びコンピュータ実行型プロセスに関する。幾つかの実行例において、行われる調節は、例えば、プラズマ反応装置のパラメータ設定を制御するノブなどの、物理的設定に対する調節であり、そしてそのような設定は、既知である望ましい処理状態に向けて、処理を移行させるように構成されている。更に、開示される様々な実施形態において、プラズマ反応装置のセンサから収集されるデータストリームを処理すること、及び機械学習アルゴリズムに基づいて調節を行うべく、データストリームを処理することを最適化する方法に関して、開示が提供される。
プラズマは、電子製品(例えば、集積回路又はフラットパネルディスプレイ)を形成するべく、基板(例えば、ウエハ又はフラットパネル)を処理するために長らく使用されてきた。半導体ウエハは、通常、下にある物質のエッチングを方向付けるべく、マスク層と共にエッチングチャンバ内に配置される。エッチングプロセスは、マスクによって覆われていない、下方の物質を除去する。チャンバの内部で生成された変わりやすいプラズマ状態のために、エッチングプロセスはまた、プラズマチャンバ内の部品の表面から物質を除去する。時間と共に、処理チャンバ内の部品は、それ故に、すり減り、且つ、微粒子物質及び/又はエッチング残渣物が蓄積されるであろう。これらは、エッチング性能を変えるかもしれない、且つ/又は、プロセス変動を引き起こすかもしれない。この理由のために、消耗部品を置き換える必要性に加えて、ウエット清掃動作(即ち、チャンバの内部表面及び/又はチャンバの部品のウエット清掃動作)を定期的に実施する必要性もまた存在する。
ウエット清掃の後、チャンバは、製造ウエハ処理に進むことを許可される前に、様々なステップ/プロセスを通して再調整されなければならない(即ち、ウエット清掃回復のために処理される)。このプロセスは、チャンバ「慣らし」と呼ばれることがある。慣らしは、安定状態に似せた表面状態を作り出すことを試みる。安定状態が達成される場合、その解決法は不安定になりやすい。即ち、その解決法は、プロセスごとに又はチャンバごとに、必ずしも普遍的というわけではない。たぶん更に悪いことには、慣らし自体が、ツール利用全体のかなりの部分であり得る。例えば、プロセスが単に150〜250時間の運転であるのに対して、慣らしが15〜70時間という具合である。明らかに、これは生産性の低下であり、無駄なエネルギー消費、慣らしウエハのコスト、及び、単に慣らすだけのためにすり減る消耗品の高いコストのことは言うまでもない。更に、チャンバがウエット清掃から回復する間、製造ウエハの製作は停止される。
よく知られているように、エッチング反応装置及び堆積反応装置は、正しいウエハ処理条件を制御するために、多数の変数を備えた非常に複雑な機器である。現代の半導体処理では、システムは非常に複雑に発展してきたので、一組の自明な物理方程式を使用して、異なる物理的/化学的プロセスを説明することは自明ではない。この複雑さのために、全てのガス/圧力/電力/周波数に関する入力を備えた完全な形において、今日のエッチングプロセス/堆積プロセスをモデル化することは困難である。モデル化すること(及び、拡張によって予想すること)におけるこの困難は、プラズマ処理を一つの技術に変えてきたが、そのような技術は、予想可能な科学というよりは、アーティスト(この場合、技術者)の経験レベル及び環境に依存するところが大きい。
実施形態が生じるのは、こういった背景においてである。
プラズマ反応装置の処理状態を制御するための方法及びシステムが開示される。方法及びシステムは、反応装置が清掃された後で、且つそれに続く製造基板処理のために慣らしを行う必要がある場合に、製造基板の処置を開始するための、及び/又は反応装置の準備状態を決定するためのものである。方法は、望ましい処理状態値を達成するべく近似される、プラズマ反応装置の調整ノブのための設定を使用して、プラズマ反応装置内の基板の処置を開始する。基板の処理中に、複数のデータストリームが、プラズマ反応装置から受信される。複数のデータストリームは、現在の処理状態値を識別するために使用される。方法は、現在の処理状態値と望ましい処理状態値との間の差を識別する補償ベクトルを生成することを含む。補償ベクトルの生成は、補償ベクトルにおいて識別される際に、識別及び必要とされる補償の量を改善すると共に補充するべく、機械学習を使用する。方法は、補償ベクトルを調整ノブのための設定に対する調節に変換し、その後にプラズマ反応装置の調整ノブに対して調節を適用することを更に含む。
幾つかの実施形態において、方法は、現在の処理状態値を望ましい処置状態値に向けて移動させる支援のために、調整ノブの設定に対する調節を生成するために基板の処理中に、プラズマ反応装置から複数のデータストリームを継続して受信すること更に含む。
幾つかの実施形態において、望ましい処理状態及び現在の処理状態は、プラズマ反応装置の処理体積内で、センサによって検知されたプラズマ状態の物理的状態を記述する仮想空間の中で定義される。例として、そして他に対する限定するものでなく、プラズマ状態は、特定の反応装置の壁表面状態に対する、基板の平面で検出される一組のイオン、電子、及び中性粒子の束で有り得る。
幾つかの実施形態において、補償ベクトルによって、仮想空間における望ましい処理状態値と望ましい処理状態値との間の差が識別される。そして、補償ベクトルの変換によって、識別された物理的調節を有する一組の識別された物理的ノブとしての、ノブのための設定に対する調節が識別される。一実施形態において、プラズマ反応装置のコントローラは、ノブに対する設定の調節を引き起こすプログラム命令を処理するように構成されている。
幾つかの実施形態において、多変量プロセスは、現在の処理状態値と望ましい処理状態値との間の差を識別するように構成されている。多変量プロセスは、望ましい処理状態値に対して調節を行うべく、基板の処理のエッチング速度性能又は監視ウエハ性能の一方又は両方から受信される検証フィードバックに少なくとも部分的に基づいて、調節された望ましい処理状態値を作り出すための機械学習を処理することを含む。
幾つかの実施形態において、基板の処理は、特定のプラズマ反応装置及び特定のプロセスレシピに対して識別され、且つ、各特定のプロセスレシピ及び各特定のプラズマ反応装置は、調整ノブ及び望ましい処理状態値に対する設定を含む関連するモデルを有する。一構成において、モデルは、モデルデータベースからアクセスされる。
幾つかの実施形態において、モデルデータベース由来のモデルが使用されるにつれて、機械学習プロセスは、特定のプラズマ反応装置に対する設定を改善して、望ましい状態値を達成するべく、モデルの調整ノブのための設定に対する調節を行う。このことは、モデルデータベース内のモデルが、時間と共に洗練され、且つ改善されることを引き起こす。
幾つかの実施形態において、方法は、機械学習によってなされた調節に基づいて、モデルデータベース内のモデルを更新することを含む。
幾つかの実施形態において、機械学習は、プラズマ反応装置のセンサのための感度データを入力として使用し、その結果として、生成された補償ベクトルは、感度データに基づいて抑制される調節を含む。
別の実施形態において、反応装置のプラズマプロセスの処理状態を制御するためのシステムが開示される。プラズマ反応装置は、プラズマ反応装置の動作条件に対する設定を行うための、複数の調整ノブを有する。プラズマ反応装置の複数のセンサが含まれ、複数のセンサの各々は、プラズマプロセスを実行するために、プラズマ反応装置の動作中に、情報のデータストリームを作り出すように構成されている。プラズマ反応装置のコントローラは、望ましい処理状態値を入力として使用すると共に、現在のプラズマ処理値を識別するように構成されている多変量処理を実行するように構成されている。望ましい処理状態値は、プラズマ反応装置の処理環境内の意図された測定可能な状態を定義する。多変量処理は、望ましい処理状態値を受信し、プラズマプロセスの処理中に複数のセンサからデータストリームを受信し、調整ノブの補償に対するセンサ信号に関する感度データを受信し、且つ、現象論的なモデルによって使用するための、反応装置の壁表面の動力学を受信する機械学習エンジンを使用する。ここで現象論的なモデルは、プラズマ反応装置の複数のセンサによって作り出される前記データストリームの観点から、処理環境内のプラズマ動力学を定義する。
機械学習エンジンは、補償ベクトルを作り出すために使用される現在の処理状態値を識別するように構成されている。補償ベクトルは、望ましいプロセス状態値と現在の処理状態値との間の差を定義する。コントローラは、補償処理を実行するように更に構成されている。補償処理は、処理環境内の測定された状態の観点から表される補償ベクトルを、プラズマ反応装置の調整ノブの特定の1つ以上の変化に変換する。コントローラは、反応装置の処理環境の測定可能な状態における変化を引き起こすために、プラズマ反応装置の調整ノブに対する変化に関して命令するように構成されている。
幾つかの実施形態において、機械学習エンジンは、エッチング速度測定又は監視ウエハ測定の一方又は両方に関する、測定された基板性能データを定期的に受信する。測定された基板性能データは、望ましい処理状態値に対する調節を行うために使用され、次に、補償ベクトルに対する調節及び、前記1つ以上の調整ノブに対する、結果として生じる変化を引き起こす。
幾つかの実施形態において、機械学習エンジンは、エッチング速度測定又は監視ウエハ測定の一方又は両方から得られる実際のデータを用いて、現在の処理状態値の検証を実施するように構成されている。
幾つかの実施形態において、システムは、プラズマ反応装置の慣らし段階を実行するが、これには、製造基板でないものを使用する。プラズマ反応装置の慣らし段階は、多変量処理を実行することにより、コントローラによって監視される。このように監視するのは、プラズマ反応装置をある状態に置くために、調整ノブの調節を可能にする範囲内に、現在の処理状態値がいつ入るかを識別するためである。ある状態とは、製造基板を処理する準備が整っている状態で、しかも、プラズマ反応装置の慣らし段階の中止を可能にする状態である。
幾つかの実施形態において、システムは、製造基板を使用する製造段階を実行する。コントローラは、現在の処理状態値が、プラズマプロセスにおける変動を補償するために調整ノブの調節を可能にする範囲内に、いつ入るかを識別するために多変量処理を実行する。製造段階の間に多数回起こる変動に対する補償、及び調整ノブにおける調節は、複数のセンサによって測定される際に、処理環境を望ましい処理値に対してより近くまで移動させるべく、計算される。
他の態様は、添付の図面と併せて捉えれば、以下の詳細な説明から明らかになるであろう。
実施形態は、添付図面と併せて捉えれば、以下の説明を参照することによって、最もよく理解されるかもしれない。
図1は、基板を処理するための、コントローラと併せて使用してもよい、プラズマ反応装置の例を説明する。
図2は、一実施形態に従う、反応装置の処理中に調整ノブへの動的フィードバックを監視すると共に提供するべく、多変量処理を利用するための一般的な枠組みを説明する。
図3は、一実施形態に従う、反応装置内で基板の処理を開始するために使用されるモデルを、生成すると共に更新するステップの例を説明する。
図4は、一実施形態に従う、コントローラが、基板を処理するための初期モデルを識別するために使用される、システムの図を説明する。
図5は、調整ノブに対して調節を行うために多変量処理を使用する、一般例を説明する。
図6は、センサからのデータストリームが多変量プロセッサに提供される、流れの例を説明する。
図7Aは、一実施形態に従う、多変量処理を動作させることに関連付けられたデータの流れを表すために使用される、フローチャートを説明する。
図7Bは、一実施形態に従う、処理状態を維持するための、機械学習の実行例を説明する。
図8は、一実施形態に従う、プロセス動作例を説明する。
図9は、一実施形態に従う、検証動作及び変動制御動作のために実施され得る方法の動作例を説明する。
図10は、一実施形態に従う、反応装置の慣らし動作の後に反応装置を立ち上げる動作の例を説明する。
図11は、反応装置が動作の中で清掃されるかもしれない、又は維持されるかもしれない、別の実施形態を説明する。
図12は、実施形態を実行するための、コンピュータシステムの簡略化された模式図である。
以下の実施形態は、プラズマ処理システムを監視するための方法、デバイス、システム、及びコンピュータプログラムを説明し、そして特に、半導体基板(例えば、ウエハ)を処理するために使用されるプラズマ処理ツールを説明する。
一実施形態において、望ましい処理性能を達成すると共に、時間が経過してもこの性能を維持するべく、処理中にプラズマ反応装置を調整するという複雑さに対処するための方法及びシステムが提供される。というのは、表面及びチャンバの壁上の微粒子及び/又は物質付着のために、及び、プラズマに曝されたチャンバの消耗部品の消耗又はすり減りのために、プラズマ反応装置は、物理的変化を経験するからである。更に、清掃(例えば、ウエット清掃)後動作の間に、チャンバ状態を監視することを可能にする方法及びシステムに対する必要性が存在するが、これらの方法及びシステムは、チャンバが慣らしプロセスの完了を確認する特性をいつ示しているか、という識別を可能にする。
一実施形態において、処理状態をそのように監視すること、慣らしプロセスがいつ完了するかを識別すること、及び、製造処理中のプロセス変動に対する調節に関連付けられている複雑さは、データ分析を実行することによって克服される。データ分析は、プラズマ反応装置内に存在する異なるセンサ(又は組み込まれた新しいセンサ)からのデータストリームを使用する。データは、その後、プラズマ反応装置の処理環境についての、実質的には実時間の情報を提供するために分析される。この情報を通して、理想的な挙動からのずれを定義すると共に、今後、そのずれを訂正するべく、プラズマ装置の調整ノブに適用され得る一組の補償値を引き出すことが可能である。
一実施形態において、プラズマプロセスのタイプ及びプラズマ反応装置のタイプに対して、現在の処理状態を望ましい処理状態と比較することに加えて、機械学習エンジンは、過去の処理から学習するように構成されている。このことは、望ましい処理状態値に対する調節及び改良を作り出す。一実施形態において、機械学習エンジンは、1つの数学的モデルを動作させるが、前記数学的モデルは、時間と共に洗練され、且つ、望ましい処理状態値を学習すると共に訂正するだけでなく、補償変数及びその大きさも学習すると共に訂正する。ここで前記補償変数及びその大きさは、物理的変数に変換されるにあたり、プラズマ反応装置の物理的制御、値、設定のための調整ノブとして使用することが可能である。
一実施形態において、製造ウエハがプラズマ反応装置の中に導入される時、開示された実施形態の態様は、プロセス技術者が、プラズマの処理状態はこうあるべきと欲するものを定義する。大まかに言えば、この処理状態は、望ましい処理状態であり、この望ましい処理状態は、プラズマ反応装置の処理環境の中で測定可能な状態である。この状態は、例えば、プラズマ反応装置の複数のセンサによって測定され、これが、処理中にデータストリームを作り出す。データストリームの各々は、例えば、時間と共に、特別な状態に対して読み取られる値を提供することが可能であり、そしてこの値は、状態における変化を表す。
一実施形態において、反応装置の望ましい処理状態値は、ウエハが導入されるべき時に、プラズマ反応装置に対する動作の望ましい状態を定義する。例えば、もしプラズマ反応装置がウエット清掃を受けたばかりの場合、プラズマ反応装置は慣らし段階に送られる。この慣らし段階で、慣らしウエハは処理されるが、そこでの処理は、プラズマ反応装置が望ましい処理状態に達するまで行われるか、又は、一実施形態において、プラズマ反応装置の調整ノブが、現在の処理状態を望ましい処理状態へ移行させるべく調節される場合に行われる。一実施形態において、処理状態は、与えられた壁境界条件を有するウエハ平面におけるイオン、ラディカル、電子、及び中性粒子の束の1つとして、又はイオン、ラディカル、電子、及び中性粒子束の組み合わせとして定義される。これらの状態は、一実施形態において、プラズマ反応装置のセンサによって検出される。
処理状態は、空間的変数として定義され得るが、しかし、一実施形態において、処理状態は、プラズマ反応装置の中の任意の点において定義され得る。この任意の点における処理状態をウエハ上の結果に関連させることによって、(例えば、プロセス検証中に)プラズマ反応装置内部の処理状態の空間依存性を扱う必要性が排除される。例として、処理状態における空間変化は、反応装置内部の異なる空間的位置からのセンサデータを用いて扱うことが可能である。(もしプロセスがエッチングプラズマプロセスである場合)反応装置の「エッチング状態」に関する情報は、そのセンサからのデータストリームに含まれる、と考えられる。任意の特別なデータストリームは、全ての情報を有さないかもしれないが、しかし異なるデータストリームの数学的組み合わせは、プラズマ反応装置の「処理状態」を識別することが可能である。
これは、プラズマ反応装置から出力されるセンサの数学的モデルの観点から定義される「処理状態」を識別する一意的な方法である。一実施形態において、反応装置の「処理状態」は、数学的枠組みの中で記述でき、それによって、「処理状態」を、反応装置の基本的特徴を特徴付けるセンサ出力を備えた任意の反応装置に適用することが可能である。
一旦プラズマ反応装置の「処理状態」が、センサ出力の観点から定義されると、エッチング状態を実時間で連続的に監視すると共に、その「処理状態」を望ましい「処理状態」と比較することが可能である。現在の処理状態と望ましい「処理状態」との間の比較によって、「補償ベクトル」が生成されるであろう。この補償ベクトルは、適切な数学的な変換を通して、反応装置レベルの変数(即ち、ノブID及び、前記ノブに対する変化の量)に変換することが可能である。反応装置レベルの変数は、この例では、それ故に、プラズマ反応装置上の調整ノブである(例えば、ガス流、圧力、温度など)。補償ベクトルを通して、反応装置上の調整ノブは、プラズマ反応装置における望ましい「処理状態」に対する値を達成するべく、補償することが可能である。
一実施形態において、反応装置からのデータストリームは、全体の新しい見方の中で使用され、且つ、それによって、機械学習の使用を通して、チャンバ制御の目的に対して自身を有用なものとする。以下の図1〜図12は、プラズマ反応装置の背景において、機械学習を可能にするには、いかにして情報が流れるか、ということの例を提供するであろう。大まかに言えば、機械学習は、ウエット清掃動作の後で、プラズマ反応装置を準備するための効率的なプロセス較正を可能にし、プラズマ反応装置がその慣らし動作をいつ完了したかを効率的に識別すること、及び、製造ウエハ処理中のプロセス変動を訂正するべく、機械学習を動的に使用することを可能にするであろう。
本実施形態は、これら特定の詳細の幾つか又は全てが無くても、実践されるかもしれない、ということは明らかであろう。他の事例において、よく知られたプロセス動作は詳細に説明されなかったが、これは、本実施形態を不必要に分かりにくくしないためである。
図1は、プラズマ反応装置100の例を説明する。プラズマ反応装置100は、基板102を処理するために、コントローラ120と併せて使用されてもよい。基板は、例えば、半導体ウエハ、フラットパネルディスプレイ、又は他のタイプの基板であってもよく、これらの基板は、プラズマプロセスを使用して処理することが可能である、又は処理してもよい。コントローラ120は、多変量処理130を実行するように構成されている。ここで多変量処理130は、望ましい処理状態に基づいて反応装置100の処理状態を動的に調節するために、機械学習を実行する。理解するべきことであるが、プラズマ反応装置100は、多変量処理130から利益を得ることが可能な、ただ1つのタイプの反応装置である。ここで多変量処理130は、コントローラ120によって、又はコントローラ120とインターフェースで接続された処理コンピュータによって、実行することが可能である。
図示されるように、プラズマ反応装置100は、容量的に結合されたプラズマ(CCP)エッチングチャンバであり、このチャンバは、下部電極104に電力を供給するためのRF(無線周波数)電源112を使用している。上部電極106は接地に結合され、且つ、基板102の表面にわたる処理領域にプラズマ120を維持するべく、制限リング108が使用される。このチャンバはまた、裏板110を含むが、裏板110は、プラズマ微粒子の付着からチャンバ壁の表面を保護し、且つ、効率的な清掃を可能にする。フォーカスリング106は、基板102及び下部電極104を取り囲むように示されている。供給源ガス116は、一実施形態において、上部電極106の中に配置された、又は上部電極106に隣接して配置されたシャワーヘッドを通して、プラズマ反応装置100の中に送り届けられる。プラズマ反応装置100内の圧力、動作中の処理ガスを調節するために、1つ以上のポンプ114が使用される。
CCPエッチングチャンバは、それ故に、多変量処理130を利用することから利益を得ることが可能な、プラズマ反応装置100のただ1つの例である。ここで多変量処理130は、望ましい処理状態が達成される且つ/又は維持されるように、調整ノブの効率的な補償を達成するためのものである。制限無く、他のタイプのプラズマチャンバは、堆積チャンバを含むことが可能であり、ここで堆積チャンバは、異なるタイプの堆積プロセス、誘導的に結合されたプラズマ(ICP)エッチングチャンバなどのような、他のタイプのエッチングチャンバなどを利用するものである。これらのチャンバのどれか1つを、プラズマ反応装置100のシステム制御124を調節するように、コントローラ120又はコンピュータによって制御してもよい。システム制御124は、一実施形態において、1つ以上の調整ノブ134に提供される制御を表してもよい。プラズマ反応装置100はまた、複数のセンサ132に関連付けられてもよい。幾つかの実施形態において、センサは、プラズマ反応装置100の構造に依存して変わるであろう。又は、処理中にプラズマ120から特定のタイプのデータを捕捉するために、付加的なセンサがプラズマ反応装置100に付加されてもよい。
図示されるように、センサ132は、発光分光分析(OES)センサ、圧力センサ、電圧センサ、電流センサ、温度センサ、流速センサ、周波数センサ、電力センサ、計測センサ、及びこれらの2つ以上の組み合わせの1つ以上を含んでもよい。例として、以下の表Aは、プラズマ反応装置の様々なセンサから得ることが可能な情報の例を示している。
Figure 2019537240
注記するべきことであるが、異なるタイプのプラズマ反応装置は、異なるタイプのセンサを有するであろう。そして本明細書で列挙されるものを超えて、付加的なセンサを付加することが可能であるが、それらは単に例として提供される。更に、理解するべきことであるが、この情報は、絶対値を扱う必要がない。したがって、前記機械学習を使用する多変量処理においては、微小変化に関心がある。その結果として、小さな振幅変化を統計的に評価してもよい。
調整ノブ134の例にも示されるように、調整ノブ134は、プラズマ反応装置のタイプに依存して、付加的に変わり得る。調整ノブのタイプの例は、ガス流量メータ(例えば、MFC)を調節するための制御、電力設定を調節するための制御、温度設定を調節するための制御、プラズマ反応装置の上部電極と下部電極との間の物理的ギャップ間隔を調節するための制御、静電チャック(ESC)の温度又は動作を制御するための制御、バイアス電力設定を調節するための制御、チャンバ圧力を設定するための制御、1つ以上の無線周波数発生器の周波数を設定するための制御、特定のレシピ動作の運転時間を設定するための制御、真空排気速度を設定するための制御、ガス流の持続期間を設定するための制御、レシピにおけるガス分圧に対する制御、アルゴリズム監視を設定するための制御、ボアスコープ検査を誘発する制御、清掃動作間の間隔を設定する若しくは決定するための制御、又はこれらの2つ以上の組み合わせを含むことが可能である。注記したように、異なるタイプのプラズマ反応装置は、異なるタイプの調整ノブを有するであろう。そして、本明細書で列挙したものを超えて、付加的な調整ノブを付加することが可能である。
一実施形態において、コントローラ120は、プラズマ反応装置100を製造業務に置くために、多変量処理130を実行してもよい。この動作は、チャンバ清掃動作の後に要求されてもよく、その場合、チャンバは、大気に開放されると共に、完全に清掃される、且つ/又は、部品が除去されると共に、取り替えられる。時には、このタイプの清掃は、ウエット清掃と呼ばれるが、それは、プラズマ反応装置が開放されると共に、様々なタイプの清掃操作及び/又は調整操作を受けるからである。プラズマ処理は、本質的に反応装置の内部領域の表面に付着し得る微粒子及び副産物を作り出すので、業務の間にチャンバを定期的に清掃する要求が必要とされる。したがって、過度のプロセス変動を防ぐために努力して表面を清掃しなければならない。
過度のプロセス変動が起こる場合、エッチング動作(又は堆積動作)の性能は変わり得る。エッチング動作は、特定のプロセスに対して要求される同じ結果を作り出さない可能性がある。変動がプロセス結果を許容できないレベルまで変化させる前に、チャンバは、通常、プログラムに従って遮断され、且つ、ウエット清掃を受けることを要求される。ウエット清掃が実施された後、チャンバは、慣らし動作を受ける必要がある。慣らし動作は、製造ウエハで実施されるプロセスのタイプを近似するように構成された基板を利用する。慣らし動作の間、エッチングプロセス(又は堆積プロセス)が実施され、そしてまさにこのプロセスは、プロセスチャンバ内の表面に付着する微粒子及び物質の原因となるであろう。
本明細書で説明される多変量処理130を利用しなければ、通常の処理は、単純に数時間の慣らし動作を操作するための操作員を必要とし得る。いつチャンバが十分に慣らされたかを正確に決定できなかったために、これまでの一般的な実践では、必要とされるであろう時間よりも数時間多く、単純に慣らし動作が操作されてきた。もちろん、これは、プラズマ製造ウエハを処理するために反応装置を利用可能とするうえで、実質的な遅れをもたらす。一実施形態に従えば、多変量処理130は、プラズマ反応装置のセンサによって捕捉されるデータストリームから検出された望ましい処理状態値を比較するために機械学習を利用すると共に、どんな調節が特定の調整ノブに要求されるかを決定するために機械学習を利用するように構成され、その結果として、現在の処理状態値は、望ましい処理状態値と一致する、又は望ましい処理状態値と極めて近似する。
このプロセスは、ウエット清掃動作の後に実施可能であり、これによって、慣らしウエハを運転する余分な時間を浪費することなく、いつチャンバが製造に入る準備が整うか、いつウエハが実際に製造使用に対する準備が既に整っているかを識別することが可能である。プラズマ反応装置が製造に入る場合、プラズマ処理の開始パラメータを定義するように、特定のレシピ122が設定され得る。開始パラメータは、調整ノブ134に対する初期設定及び、製造ウエハを受け入れるための条件にプラズマ反応装置を置くために関連付けられている他のパラメータを識別することが可能である。初期には、プラズマ反応装置の処理状態は、モデルデータベースから識別することが可能であり、その場合、モデルは、望ましい処理状態値及び調整ノブ設定を含む。モデルデータベースは、一実施形態において、モデルデータベースに配置される初期モデルを創り出すために試験基板を利用する実験的な学習処理により初期に構築されてもよい。
製造において、プロセスが実行されるにつれて、製造処理は、多変量処理を利用して、プロセスのためのモデルを更新すると共に改良する更新を生み出す。したがって、プロセスがより多くの時間実行されるにつれて、望ましい処理状態の値及び対応する調整ノブもまた、改良されると共に更新される。これは、時間と共にシステムの性能を改善する、1つのタイプの連続的学習フィードバックを作り出す。したがって、プラズマ反応装置131のセンサから受信される際に、センサ132からのセンサデータは、センサデータストリーム136を作り出すであろう。このセンサデータストリーム136は、多変量処理130に供給される。多変量処理130が、特定の1つ以上の調整ノブ134の設定に対して調節が必要であることを決定する場合、補償138が実際の調整ノブに伝えられ、処理における変化140をもたらす。このフィードバック動作は、プラズマ反応装置内の状態が変化しつつある場合(例えば、壁及び/又は消耗部品上の微粒子及び/又は物質付着が発生している場合)でさえも、プラズマ反応装置100内のプラズマ処理環境が望ましい処理状態を達成しつつあることを保証する。
図2は、一実施形態に従う、反応装置100の処理中に調整ノブ134への動的フィードバックを監視し、提供するための多変量処理150を利用するための一般的な枠組みを説明する。多変量処理150は、一実施形態において、機械学習エンジン180を利用する。機械学習エンジン180は、プラズマ反応装置100内の状態を変化させることに基づいて、必要とされる補償の度合いを決定するために、様々なデータを作り出すモジュールから入力を受け取るように機能する。
補償は、反応装置100の状態を変更する調整ノブ134に対する調節の形で提供される。調整は、それ故に、プラズマ反応装置100内で運転される特定のプロセスタイプに対して、望ましい処理状態に良く近似する現在の処理状態を作り出すべく計算される。大まかに言えば、プロセスタイプは、プラズマ反応装置100内で実施されるべき処理動作のタイプのことを指すはずである。プロセスタイプは、特定のタイプのエッチング動作及び、レシピに関連付けられているエッチング動作の特定の化学及びパラメータの観点から定義することが可能である。同様に、プロセスタイプは、プラズマ反応装置100によって実行されるべき特定の堆積動作に対して、定義することが可能である。以下の議論では、エッチング動作に対して言及される。しかし理解するべきことであるが、動作は、堆積動作に対しても、同様に利用することが可能である。
説明されるように、モデル処理151は、一実施形態に従って、プラズマ反応装置のためのプロセスを特徴付けるモデルを生成するために実施され得る動作である。初期モデル生成152は、1つのタイプの反応装置又はプロセスが以前に運転されなかった場合に実施されてもよく、且つプロセス技術者は、特定のプラズマ反応装置に対して特定のプロセスを認定する必要がある。特定の処理条件及び関連する調整ノブ設定に曝される場合、これらの動作は、プラズマ反応装置内での試験ウエハの実験的試験によって実施され得る。一旦、この実験的試験中に、プロセスがプロセス技術者によって確証されると、動作154において、モデルが生成される。
モデルは、プロセスタイプ及び反応装置タイプの識別を含み得る。モデルは、以下でより詳細に説明されるように、特定のプロセスに対する調整ノブ設定と同様に、望ましい処理状態を識別する情報を含み得る。例として、処理状態値は、例えば、プラズマ反応装置のセンサによる、検出可能な特性の観点から識別され得る。プラズマ状態値のこの特性評価は、一実施形態において、仮想空間特性と呼ばれる。その理由は、値は特定の設定ではない代わりに、プラズマ反応装置のセンサから収集されるデータストリームから識別され得る検出可能な値だからである。以下に説明されるように、これらの仮想空間特性は、実際の調整ノブ識別装置及び調整ノブ振幅に変換され得る。これらの調整ノブ識別装置及び調整ノブ振幅は、どの特定の調整ノブが、調節されるか又は変更されるかを定義すると共に、調整ノブ(複数可)を変更するべき又は調節するべき特定の量を定義する。
したがって、プロセスは、ユーザ(プロセス技術者又は技能者)が、動作160においてプラズマプロセスのためのプロセス設定を識別することによって開始され得る。ここで動作160は、モデルデータベースからモデル161を選択するように働く。モデル(これは、望ましい処理状態値及び初期調整ノブ設定を含む)は、162を介して多変量処理150に伝達される。これは、望まれる特定の処理状態値を特定するプロセスの初期開始点を定義する。プラズマ反応装置100のセンサからのデータストリーム136は、多変量処理150の機械学習エンジン180に提供される。
加えて、センサによって提供されるデータストリームに基づいて、いつ現在の処理状態値172が、望ましい処理状態値170に一致しないかを決定するために、機械学習エンジン180は、望ましい処理状態値170を利用する。加えて、機械学習エンジン180は、エッチング速度分析から定期的な情報を受信するであろう。ここでエッチング速度分析は、計測ツールを使用して、1つ以上の基板が試験された後に実施され得る。同様なプロセスは、監視用ウエハを用いて実施され得る。ここで監視用ウエハは、プラズマ反応装置100によって実行されるべき、望まれる処理のタイプを近似するように構成されている。どちらかの動作において、エッチング性能検証174が実施され、且つ、定期的なデータ171として機械学習エンジン180に提供されることが可能である。
これによって、プラズマ反応装置100の真の性能は、もはや元の望ましい処理状態170に一致しないので、機械学習エンジン180は、いつ望ましい処理状態値170が調節されるべきかを決定することが可能となる。そのため、機械学習エンジン180は、例えば、機械学習エンジン180にフィードバックされるオフラインの計測試験を利用する定期的な確証動作に基づいて、望ましい処理状態170を動的に調節してもよい。さらに、機械学習エンジン180には、反応装置の壁表面の動力学182に関する情報が提供され得る。チャンバの壁表面は、プロセスの間に変化するので、この情報は、チャンバの壁表面の推測される特性に関するデータを含み得る。例として、このデータは、壁特性の過去の測定から推測され得る。ここで壁特性とは、例えば、物質付着、薄片、粗さ、消耗部品の使用、及び他の物理的特性などである。時間と共に動作中に反応装置の壁表面上で起こるであろう物理的変化のタイプを予測するモデルによって提供され得るので、このデータは推測され得る。幾つかの実施形態において、このデータは、時々、動的に更新され得ると共に、反応装置の壁表面の検査に基づいて、改良され得る(例えば、チャンバがウエット清掃サイクルに入る時)。
機械学習エンジン180への任意選択的な改良入力として、現象論的モデル184からの入力が使用される。ここで現象論的モデル184は、反応装置の壁表面の動力学182を仮定すると、チャンバ内のプラズマの挙動を近似する。現象論的モデル184は、一実施形態において、処理体積内で起こっている化学反応、及び反応装置の壁表面と関連付けられている相互作用の性質を近似するために、使用される。大まかに言えば、現象論的モデルは、現象の幾つかの異なる経験的な観測を互いに関連付ける数学的表現なので、時として、統計的モデルと呼ばれる。この関係は、基本的理論と首尾一貫しているが、理論から直接には引き出されない。したがって、現象論的モデルは、プラズマにおいてなぜ変数なのかを説明すること(即ち、いつ化学結合が壊れて異なる化学種を定義するか、又は、反応装置内の表面、例えばチャンバの壁、との接触に際して、いつ再結合して異なる化学的形態を定義するか)を試みるものではない。一般に、現象論的なモデル184は、プラズマ反応装置のプラズマ中のガスの期待される化学反応論、及び反応装置の壁表面の動力学182に対するガスの挙動を特徴付けるように構成されている。これらの反応論は、例えば、異なる化学的現象に対して、電子衝突反応、壁再結合反応、壁損失反応などを含み得る。したがって、このモデルは、関係が測定値を超えて広がるという仮定の下、単純に関係を説明することを試みている。現象論的モデル184は、センサ出力の観点からのものである機械学習エンジン180への入力を作り出すように構成されている。即ち、現象論的モデル184によるプラズマ挙動の特性評価は、機械学習エンジン180に対する、プラズマ反応装置100に接続されているセンサによって捕捉され得る情報と同様の情報形式の入力データを作り出すように構成されている。
例として、現象論的モデル184によって作り出されたデータは、図1を参照しながら説明されるように、センサ132によって作り出された出力のいずれか1つの形式に特徴付けられ得る。センサ(例えば、OESセンサ)による発光分光法(OES)スペクトルの測定の例を取ると、出力は、強度(I)の形で生成され得る。現象論的モデル184は、反応装置の壁表面に対する変化、及び強度(I)の形をした予想されるプラズマ特性を近似し得る。したがって、機械学習エンジン180は、(例えば、データストリームの形で)OESセンサからの出力を既に受信しつつあるかもしれないので、機械学習エンジン180は、強度に関連付けられている出力を予想するようにプログラムされる。現象論的モデル184は、それ故に、機械学習エンジン180への入力及び同じ形の強度を生成するように構成されている。したがって、強度(I)は、陽極酸化処理されたチャンバの壁の表面粗さ、プラズマ密度、ガス流などの関数として表され得る。
したがって、現象論的モデル184は、機械学習エンジン180への入力を、センサ出力データの形式で、又はに関して提供する。上記においてOESセンサデータに関して提供された例は、容量、電圧、電流、又は、プラズマ反応装置100に接続されている実際のセンサによって作り出される他の測定特性、に関連付けられている他のタイプのセンサデータに対して提供することが可能な、同じタイプのモデル化における、単に1つの例である。
機械学習エンジン180はまた、特定の調整ノブに対する補償値に関して、センサ信号の感度192を定義するデータを、入力として受信するように構成されている。感度情報は、プラズマ反応装置の実験的試験から得ることが可能である。反応装置の特定の条件は変更され、且つ、感度は定量化され得る。感度は、例えば、プラズマ反応装置100に対して修正されると共に変更され得る特定の調整ノブ、及びノブの設定に対する変化に関連付けられている結果としての感度に関連する。
例えば、特別なノブについて特定の値設定を変更することは、別の特別なノブについて別な値設定を変更することよりも、エッチング速度(ER)に対して、より劇的な応答を有するかもしれない。更なる例として、プラズマ反応装置は、特定のノブに対して予め定義された感度プロファイルを用いて分類又は関連付けられることが可能であり、特定の調整ノブの各々に対する感度値の同定は、実験的に決定することが可能である。例えば、幾つかの事例において、圧力が増加する際、エッチング速度に対する感度の傾きは、約2%の傾きを有することが可能であり、その一方で、ソース電力に対する調節は、約8%の傾きを有することが可能である。幾つかの実施形態において、エッチング速度は、計算された分布関数に従って、温度に基づいて変わるであろう。ここでエッチング速度は、温度上昇につれて増加し、そして、その後、ある点で減少するかもしれない。特定の調整ノブによってなされる変化の感度を特徴づけるただ1つのパラメータであるエッチング速度に加えて、特徴付けることが可能な他のタイプの測定基準は、例えば、圧力、ソース電力、ガス流、電極間隔位置などにおける特定の変化に呼応したOES測定に対する変化を含む。
なお更に、特定の調整ノブにおける変化によって影響され得る他の測定可能なパラメータは、例えば、電極間の容量位置における変化、流速変化、及びプラズマ反応装置100に関連付けられたセンサによって捕捉され得る他の測定可能なパラメータを含むことが可能である。したがって、あらゆるセンサ出力に対して、異なる調整ノブに対する各特定の変化が、特定のセンサによって検出される結果として生じる測定及び関連する感度に、いかに影響を与えるかを決定するために感度特性評価が実施され得る。前記感度特性評価を実施するのは、である。一実施形態において、一度に1つ以上の調整ノブを変え、且つ、その後、様々なセンサからの複数の出力を測定することによって、チャンバに対する感度試験を実施することが可能である。システムのセンサによって検出される測定の各々に対する変動性を系統的に集めながら、任意の数の調整ノブに対して、このプロセスは繰り返され得る。
よって、機械学習エンジン180が、特定の調整ノブに対して、大きすぎる変化又は小さすぎる変化を規定する補償ベクトル194を生成することを妨げるために、変化する任意の数の特定の調整ノブに関連付けられているエッチング速度の感度が既知でなければならない。
機械学習エンジン180は、それ故に、調整ノブ134に適用するべき補償値に関して、動作中のセンサ信号182の定義された感度を受信するように構成されている。上述のように、機械学習エンジン180は、現在の処理状態値172を作り出すように構成されている。ここで現在の処理状態値172は、補償処理190で処理される補償ベクトル194を識別すると共に作り出すために、望ましい処理状態値170と比較される。補償ベクトル194は、その後、補償値198を作り出すために、変換プロセス186を通して処理される。変換プロセスは、処理状態値の違いを変換するステップを含む。処理状態値の違いは、どの特定の調整ノブ134が変更されるか又は調節されるか、及び、そのような変更又は調節の大きさを識別するのに必要な情報を保持する。変換196は、それ故に、補償ベクトル値を変換する変換の方式であり、これは、実空間にある補償値188(即ち、調整ノブ184の1つ以上に対する現実の変化の観点から特徴付けられる)に対して、仮想空間にある(即ち、センサ出力値の観点から特徴付けられる)。
一実施形態において、補償値K(r,t)は、範囲定義197に関連付けられている。範囲定義197は、それにより、所与のプラズマ反応装置100の中で、補償値が変化することを許可されるべき量を識別する。例として、補償値が、範囲定義197の外側にある場合、その時は、システムは、その変化を実行するべきではない。即ち、範囲定義197は、調整ノブ134に対する、望ましい結果を作り出し得ない又は、そのような変化は、特定のプラズマ反応装置内で、又は基板に対して実施されるプロセスに対して、望ましくないことが既知のプロセス変更を生み出し得る変化をさせないための安全措置として働く。
図2をなお参照すると、プラズマ反応装置100からのデータストリーム136が、調整ノブベクトルK(r,t)における変化に関する感度係数ベクトルS(t)を計算する(192)ために利用されるであろう。ここでrは位置、そしてtは時間である。一実施形態において、ベクトルS(t)は通常のレシピにおいて計算することが可能であるか、又は、特別のレシピは、ベクトルS(t)を計算するように設計することが可能であり、その結果として、反応装置の処理状態ベクトルP(r,t)において、より多くの洞察を達成することが可能である。ベクトルS(t)i(ここでiは、信号の数を表す)は、反応装置の処理状態を表すべく、関連性のある順に、分類されると共に関連付けられるであろう。例えば、整合したシステム(即ち、RF電源に結合された)におけるキャパシタ調整位置は、プラズマからの発光スペクトル(OES)に関連付けることが可能であり、且つ、まとめて関連付けることが可能である。同様な方法で、我々は、相関の異なる次数を定義することが可能である。その場合、より高い次数は、センサ信号の強い相関を定義し、そしてより低い次数は、センサ信号の弱い相関を定義する。
上述のように、現象論的なモデル184の処理は、プラズマの特質を制御する反応装置の壁で起こるプラズマ相互作用を説明するために、任意選択的に実行してもよい。現象論的モデル184は、反応装置から来るデータストリームの観点から、表されるであろう。したがって、このデータは、反応装置レベルの処理の物理の中への幾つかの洞察を備えた、一塊のパラメータモデルであろう。
感度係数ベクトルS(t)、直接データストリーム136、監視ウエハ及び/又はエッチング速度R(t)データ174からの入力、並びに現象論的モデル184は、機械学習エンジン184に供給される。機械学習エンジンは、それが受信する入力に基づいて、統計的モデルを生成する。統計的モデルは、機械学習エンジン180に入ってくる入力によって、実時間で生成される。統計的モデルは、エンジンが受信する入力によって、仮想空間の中に創り出される。望ましい処理状態ベクトルP(r,t)値は、このモデルによって定義されるであろう。ベクトルP(r,t)を定義するこの統計的モデルは、したがって、ある与えられたプロセスに対する、反応装置の青写真であろう。単一の反応装置は、反応装置上で運転できる異なる処理を表す多数のベクトルP(r,t)iを有することが可能である。ここでiは、プロセスの数を表す。
この定義の拡張によって、反応装置は、その処理状態ベクトルP(r,t)iを変更できるはずであり、且つ機械学習エンジン180は、1つの処理状態から他の処理状態への変化に対して、補償ベクトルを生成するであろう。この定義の別の拡張によって、機械学習エンジン180は、処理状態ベクトルP(r,t)iを定義するであろう。そして今後、機械学習エンジン180を、一団のチャンバ内でのチャンバ整合を行うために使用することが可能であるが、このチャンバ整合は、各チャンバに対して、ある与えられたチャンバに対して一意的となる補償ベクトルを定義することによって行われる。このことは、ある与えられたプロセスに対して、各チャンバに補償ベクトルを適用した後では、チャンバは、定義されたノイズレベルの範囲内で、同じ処理状態の中にある、ということを保証するであろう。チャンバ整合における機械学習のこのアプローチは、ハードウエアサブシステムにおける精密さ及び正確さを高めるコストを低減するであろう。このことは、重要なコスト削減となり得る。
一実施形態において、較正された一組の実験を通して、反応装置の処理状態を定義することを、モデルに教えることが可能である。異なるタイプの管理された機械学習モデルを、この目的のために利用することが可能である。このステップは、幾つかの実施形態において、学習ステップと呼ばれる。この学習ステップでは、機械学習エンジン180は、何が望ましい状態か、何が範囲か、何が信号対雑音かなどを教えられる。適切なアルゴリズムを通して、学習ステップは、自動化することが可能である。
上述のように、機械学習エンジン180は、データストリーム136から全ての入力を受け取り、且つ、モデルに適用可能なように、関連性のある順にそれらを分類するであろう。このことは、幾つかのモデルは、その全体を定義するよりも多くのデータストリームを有することが可能であり、その一方で、他のモデルは、より少ない数のデータストリームによって説明することが可能である(例えば、そこでは、各センサはそのそれぞれのデータストリームを作り出す)、ということを意味する。
一実施形態において、反応装置の通常動作の間、機械学習エンジン180は、データストリーム136を絶えず監視し、且つ、反応装置の望ましい処理状態を定義することにおいて、その精密さを改善することを可能にするべく、自身を範囲内で改良するであろう。例として、望ましい処理状態ベクトルP(r,t)は、動作の間、機械学習エンジン180によって絶えず監視され、且つ、任意のずれが捕捉されるであろう。そして機械学習エンジン180は、そのずれを訂正し、且つ、プロセスの中で、補償ベクトル194を生成するであろう。上述のように、補償ベクトル194は、仮想空間の中で定義される。
このことは、補償ベクトルが、現在の処理状態値を定義する、測定されたセンサ出力特性に関しいて定義される、ということを意味する。補償ベクトルは、ユーザによって又は設定範囲を知る経験から、定義される範囲の中にある場合、機械学習によって受け入れられるであろう。動作196において、変換機能(関数)が適用されるであろう。そこでは、補償ベクトルは、反応装置に適用され得る調整ノブ補償ベクトルK’(r,t)198に変換又は転換される。補償ベクトルK’(r,t)は、調整ノブ134を元に戻す、又は望ましい処理状態ベクトルP(r,t)を維持するために、調整ノブ134に適用することが可能である。一実施形態において、処理状態を維持するための、機械学習の実行は、スケジュール通り定期的に、又は、ユーザ入力若しくはプログラムされた入力に際して、実時間で行うことが可能である。一実施形態において、ウエハを処理する直前に、処理状態をチェックすることが可能である。
監視された/収集されたデータストリームを処理するために、数多くの機械学習アルゴリズムが用いられてもよく、且つ特定のアルゴリズムの選択は、数多くの因子又は兼ね合いに依存し得る。考慮すべき1つの因子は、多変量データを処理すると共に、補償のために使用されるデータを作り出すために要求されるスピードである。考慮すべき別の因子は、結果として生じるデータの正確さである。計算能力における最近の著しい進歩に照らすと、非常に複雑な多変量データを、ほとんど実質的には実時間で処理することが可能である。幾つかの実行例において、今では汎用マシンと同様、特殊用途マシンが、しばしば「ビッグデータ」と呼ばれる大量のデータを処理するために使用されている。幾つかの事例において、例えば、処理要求又は処理必要性に基づいて拡大縮小する弾性的な計算ノードを提供するクラウド・インフラストラクチャ・プロバイダといった、クラウド処理もまた使用され得る。プラズマ反応装置のセンサから得られるデータストリームは、1つのタイプのビッグデータと呼ぶことが可能であり、且つそのようなデータは、処理動作の間、連続的に且つ/又は定期的に生成され得る(そして洗練モデルに対して使用され得る)。幾つかの実施形態において、多数の処理セッションからのデータは、集められると共に記憶装置に保存され、且つ、オフライン学習のためにアクセスされることが可能である。このオフライン学習から学習された情報及び関係はまた、特定のプラズマ反応装置における特定のレシピの実時間処理の間、使用され得る。
使用され得る幾つかの既知の機械学習アルゴリズムが存在する。限定することなく、そのような例は、線形/非線形回帰、ステップワイズ回帰、デシジョンツリー学習(例えば、CART、ランダムフォレスト、ブーストツリーなど)、連想ルール学習、人工ニューラルネットワーク、ディープラーニング、帰納的論理プログラミング、サポートベクトルマシン(SVM)、クラスタリング、ベイジアンネットワーク、強化学習、表現学習、類似性及び計量学習、スパース辞書学習などを含み得る。理解するべきことであるが、特定の機械学習アルゴリズムを列挙することは、任意の1つの例に制限することと見るべきではない。機械学習アルゴリズムの技術において、より新しい且つより進歩したアルゴリズムが開示されるにつれて、次のことが想像される。即ち、そのような進歩は、受信されたプラズマ反応装置のセンサデータの処理を扱うために同様に使用することが可能であり、且つそのようなデータは、システムの挙動及び動作への洞察を提供するモデルを特徴付けるために使用することが可能である、ということが想像される。そのような洞察は、したがって、補償データを生成するために使用することが可能である。ここで前記補償データは、基板の処理が長びく間に、基板の製造が、正道からそれないように、又は変動しないように、プラズマ反応装置を調整するために使用される。
上記のことを念頭において、考えられることであるが、機械学習の人工ニューラルネットワークアルゴリズムは、データストリームから受信した入力データ、及びプラズマ反応装置の調整ノブを介して補償を生成するための処理状態値を処理するために、使用してもよい。人工ニューラルネットワーク(ANN)学習アルゴリズムは、「ニューラルネット」(NN)と呼ばれることがあり、それは、生物学的なニューラルネットワークの構造及び機能的側面になぞらえられる学習アルゴリズムである。計算は、人工ニューロン(例えば、ノード)の相互に連絡するグループ及び、その後の、計算に対するコネクショニストのアプローチを使用した処理情報の観点から、構造化されてもよい。一実施形態において、監視されたプラズマ状態を表すデータストリームの背景において使用可能なニューラルネットワークは、非線形な統計的データの形をしていてもよい。これらのノードは、入力データストリーム及び、図2を参照しながら説明される他の入力と、望ましい処理状態との間の複雑な関係をモデル化するために使用される。統計的な決定結果を作り出すために、データにおけるパターンが発見され、使用されてもよい。
したがって、1つのタイプの機械学習は、ニューラルネットワーク処理を含む。ここで前記ニューラルネットワーク処理は、ニューラルネットワークのノードから定義されるデシジョンツリーを一般に使用する。ニューラルネットワークのノードへの入力は、データストリームであってもよく、且つノードへの異なる入力は、重みに関連付けられてもよい。特定の入力接続の重要性を決定するために、重みが使用される。更に、ニューラルネットワークは、複数の入力ノード及び、ノードの1つ以上のレイヤのノード(隠れたレイヤと呼ばれることがある)を有してもよい。デシジョンツリーの初期生成は、一実施形態において、ツリー内のノードの各々への入力に対して、ランダムな接続重みを初期に割り当てることを含む。接続重みは、その後、逆行性伝播と呼ばれる既知のプロセスを使用して、洗練されると共に学習される。一実施形態において、望ましい処理状態値は、デシジョンツリーの望ましい出力を表し、且つ入力ノードは、現在の処理状態値を受信する。ランダムな重みを使用して、出力経路が計算される。計算されたこれらの出力経路は、その後、望ましい処理状態値に関連付けられた出力と比較される。
ランダムな重みを用いて計算された出力と、望ましい処理状態値に関連付けられている出力との間の差は、ネットワークにおけるエラーと呼ばれる。このエラーを知り、より小さなエラーを作り出すための試みにおいて接続重みを調節するために、逆行性伝播が使用される。調節は、古い重み、ノード入力値、エラー、及び学習重みに基づく式を使用する。重み調節のこのプロセスは、全てのノードが更新された重みに関連付けられるまで、繰り返される。どのノードが出力におけるエラーの最も大きな原因であるかを識別するために、このプロセスが使用され、且つそれらの重みが、最も多く調節される。このプロセスは、ノードのデシジョンツリーが、ツリー内の特定のノードの重要性又は非重要性を最もよく定義する重みに一致するまで、頻繁に繰り返される。このプロセスの間、エラーの原因となっているデータストリームの特定の1つ以上が、識別されると共に、補償ベクトルに付加され得る。補償ベクトルは、それ故に、現在の処理状態が、望ましい処理状態に一致するために、又は望ましい処理状態に最も類似するために、変化しなければならない値を表す。幾つかの実施形態において、望ましい処理状態値を含むモデルを定義するために、前処理が要求される。この処理は、例えば、図3を参照しながら説明される。モデルを定義するプロセスは、幾つかの事例において、望ましいモデルを確立するのに時間がかかってもよく、且つこの処理は、オフラインで行うことが可能である。一旦モデルが生成されると、そのモデルは、開始モデル又は初期モデルを表す。時間と共に、モデルが製造処理において使用されるにつれて、モデルは、機械学習を使用して、洗練され続けることが可能である。動作において、モデルを定義するために使用されるデータセットは、1つ以上の処理マシンによってアクセス可能な記憶装置に保存され得る。
幾つかの構成において、機械学習を実行する処理マシンは、ツール自体のコントローラであってもよく、又は、1つ以上のネットワークコンピュータを含んでも良い。時には、モデルを構築するために必要な処理は、実質的な処理能力を要求することがあり、作業負荷は、1つ以上のコンピュータ又は仮想マシンに分散され得る。加えて、そのような大きなデータセット(例えば、ビッグデータ)の処理は、クラウド処理システムにおいて実施されてもよい。クラウド処理システムは、処理遅れを軽減するために、処理負荷を共有することが可能な、高処理能力の仮想マシンによって提供されてもよい。一旦モデルが構築され、且つ、モデルデータベースに保存されると、モデルは、プラズマ反応装置によって、実時間で使用され得る。モデルの処理及び使用は、プラズマ反応装置のコントローラを介してもよく、又は1つ以上の他のネットワークコンピュータ(ローカルの又はクラウド内の)を介してもよい。
図3は、一実施形態に従う、反応装置内の基板の処理を開始するために使用されるモデル200を生成すると共に更新する例を説明する。図示されるように、反応装置が、新しい場合、又は以前に特徴付けられていない場合、複数の実験的学習プロセス202によってモデルを定義するべく、反応装置は特徴付けられ得る。これらのプロセスでは、試験基板204は、反応装置によって処理され得る。試験基板204の処理は、複数のノブ設定206に基づいて行われ、且つこれらの実験は、複数の異なるプロセスレシピ208に対して処理され得る。処理状態212を定義すると共に生成するように、学習処理中に反応装置を監視するためにセンサ210は使用され得る。
この情報は、その後、モデルデータベース230に付加することが可能な初期モデル220を生成するために使用され得る。図示されるように、モデルデータベースは、複数のモデル230aから230nを含むであろう。各モデル230は、望ましい処理状態値234及び対応する調整ノブ232設定を表す処理状態ベクトルP(r,t)値を特徴付けるであろう。これらは、基板の処理を開始時に使用するための望ましい処理状態234を作り出すことが予想される。既述のように、処理が最初に始まると、動作154において、モデルデータベースからモデルが得られ、このモデルは、基板の処理のための始まりの状態を表す。処理の間、任意の変動は、補償値に対して行われる調整ノブ134への調節によるものと見なされ、これは更に、モデルにモデル更新156を提供するように働く。
この処理は図3に示され、そこでは実時間処理204の間、製作基板又は製造基板204aは、反応装置内で処理され、且つ結果として生じる処理は、多変量プロセッサ150によって連続的に実行される。ここで多変量プロセッサ150は、機械学習された更新156をモデル230に提供する。よって、特定のプロセスに対して、特定の反応装置内で、モデルが連続的に使用されるにつれて、そのモデルは、その反応装置に対して、時間と共に改良されるであろう。モデルに対する更新は、モデルデータベースに保存され得る。したがって、次に続く処理が、同じ反応装置によって行われる場合、特定の反応装置及びプロセスに対して、特別に調整すると共に改良され得る、同じモデルがアクセスされ得る。よって、時間と共に、モデルデータベース230の中のモデルは、モデルに対する変化によって、頻繁に更新されると共に改良されるであろう。このことは、機械学習によって処理状態に行われる更新ごとに起こる。
図4は、一実施形態に従う、基板を処理するための初期モデルを識別するべく、コントローラ120が使用されるシステム300の図を説明する。この例では、コントローラ120は、反応装置302を識別(特定)し、且つ、プロセス304もまた識別(特定)するであろう。この情報は、データベース320から反応装置及びプロセスを識別するために、コントローラ120によって使用され、データベース320は、各タイプの反応装置322aから322nに関連付けられている多様なタイプの反応装置及びプロセスに関連する情報を含む。一旦反応装置及びプロセスが識別されると、特定のモデル、この例では、モデル230j、を識別するために、モデルデータベース230がアクセスされ得る。モデル230jは、望ましい処理状態ベクトルP(r,t)及び、望ましい処理状態を達成するための調整ノブを含む。上述のように、望ましい処理状態は、反応装置100から受信されたデータストリームに基づいて、且つ、エッチング速度又は監視ウエハに関する検証及び/又は確認に基づいて、多変量処理が一致することを試みる初期の処理状態である。望ましい処理状態に対する調整は、機械学習エンジン180が、現時点で望ましい処理状態を達成するであろう補償ベクトルを識別できるように実行され得る。
補償ベクトルは、上述のように、投票定義197に基づいて、調整ノブ134に適用することが可能である実際の補償値198に変換されるであろう。代替的な実施形態において、処理モデル230jを識別するためにコントローラ120を要求する代わりに、プロセスを設定する技能者又は技術者は、モデルを識別し、且つ、そのモデルを開始点としての入力として提供することが可能である。上述のように、ウエットプロセスを終えた後、チャンバを慣らすために、モデルは、初期点として使用され得る。モデルは、慣らし動作に不必要に進むことなく、チャンバは動作の準備が整っているという決定を可能にする。一実施形態において、調整ノブに対する設定調節のある範囲内で、チャンバを調節できることが決定される場合、製造ウエハを処理するための準備を完了するために、補償ベクトルが適用されて、プラズマ反応装置に適用される調整ノブに対する変化を生成することが可能である。
一旦、反応装置に対する製造ウエハ製作の準備が整うと、関連する調整ノブ設定と共に、処理チャンバを達成又は準備するために使用される処理状態が使用され得る。この手法では、製造ウエハが反応装置の中に最初に導入される際、反応装置は、その製造ウエハを処理する準備が整っており、且つ、望まれるのと同じ処理状態を実質的に達成すると考えられる。処理状態が変動する場合、ウエハ(複数可)が反応装置内で処理されるにつれて、多変量処理150は、補償ベクトル値を適用することが可能であり、その結果として、調整ノブ134は、処理を調節し、且つ、望ましい処理状態を達成することが可能である。
図5は、調整ノブ134に対する調節を行うために、多変量プロセッサ150を使用する一般的な例を説明する。プロセスは、一般にフィードバックシステムを含む。フィードバックシステムは、プラズマ反応装置100のセンサ136からデータストリームを読み取ること、機械学習を含む多変量プロセッサ150においてセンサ136からのデータストリームを処理すること、及び、その後に、プラズマ反応装置100に適用される調整ノブ134の設定に変化を適用すること、を可能にする。このフィードバックループは、プラズマ反応装置に対してなされる変化が、プラズマ反応装置に関連付けられているセンサによって検知される実際のデータストリームに対して追跡されることを保証する。即ち、調整ノブ134に対してなされた変化は、プラズマ反応装置100の処理空間内で測定されるプラズマの特性を対応させる。このように、特定の反応装置及び、実行される特定のプロセスに対して望まれる、プラズマ反応装置100内での処理状態を維持する又は達成するために、調整ノブ134に対する調節を行うことが可能である。
図6は、センサ136からのデータストリームが、多変量プロセッサ150に提供される流れの例を説明する。一旦、多変量プロセッサ150が、プラズマ反応装置を、望ましい処理状態と一致する状態へ引き戻すのに要求される必要な変化を識別すると、多変量プロセッサ150は、補償ベクトル194を作り出すであろう。補償処理190は、それ故に、多変量プロセッサ150から、補償ベクトル194を受信するステップを含む。補償ベクトル194は、プラズマ反応装置100のセンサから得られる測定値を記述する測定基準によって表される。
したがって、これらの測定基準は、調整ノブ134に対してなされるのに必要な実際の変化に、直接に関連し得るものではない。一実施形態において、補償ベクトル194におけるデータを補償値198に変換するために、変換機能196が実施される。この変換は、変換情報のルックアップテーブルを使用して実施され得る。変換情報は、特定の調整ノブ及び、調整ノブの設定を調節するための大きさを識別する補償値に対して、補償ベクトルデータに対応するように配置される。
例として、変換機能196が、より重要なノブ又は、より関連性の高いノブのような、ある一定の数の調整ノブだけが調節される必要があることを識別できるようにすることが可能である。処理状態に対して、影響を与えないノブ調整、又は大きな変化を引き起こさないノブ調整は、全く調節しなくてもよい。それ故に、補償ベクトル値194を補償値198に単に変換することに加えて、変換機能は、ある一定のノブが調節されるのを排除することが可能である。一旦補償値198が特定されると、これらの値は、反応装置100の調整ノブ134へ転送される。反応装置の調整ノブは、設定、バルブ、コントローラ命令、変化、入力などを含むことが可能である。任意の数のこれらの調整ノブ設定は、コントローラ120によって、指示、若しくは命令されることが可能であるか、又は、操作者によって設定、若しくは手動操作によって設定されることが可能である。
図7Aは、一実施形態に従う、多変量処理150’を動作させることに関連付けられているデータの流れを表すのに使用されるフローチャートを説明する。この例では、プラズマ反応装置100は、異なるセンサから多数の出力を提供することが示されている。異なるセンサは、それ故に、処理中にデータストリーム136を作り出すであろう。処理を開始するには、動作154において処理状態及びノブ設定を含むモデルが選択され。上述のように、処理状態及びノブ設定を含むモデルは、モデルデータベースから得ることが可能である。モデルデータベースは、プラズマ反応装置のコントローラによって、又は、接続されたコンピュータ若しくはネットワークコンピュータによって、アクセス可能であってもよい。モデルは、仮想空間で定義される望ましい処理状態170を含むであろう。
再び、上述のように、仮想空間は、データストリーム136の中で見いだされるセンサ出力を説明、又は表すデータを表す。現在の処理状態172は、少なくともセンサ136からのデータストリームから得られたデータから引き出される。上述のように、現在の処理状態はまた、反応装置の壁表面の動力学182から処理された情報及び、任意選択的に、現象論的なモデル184を含み得る。処理の流れを説明する上での簡易化のために、機械学習を使用する多変量処理は、処理状態400を比較する動作を通して、現在の処理状態172と望ましい処理状態170との間の差を識別するであろう。
プラズマ反応装置100による実際の処理が、望ましい処理状態170と同期しているか否かを決定するために、検証動作402はまた、多変量処理150’によって用いられ得る。検証402は、それ故に、任意の調節が検証402を考慮するように、処理状態400の比較に対する調節を可能にするであろう。多変量処理150’の出力は、それ故に、仮想空間の中で補償ベクトル184を生成するであろう。結果として生じる補償ベクトル184は、学習プロセスとして働くモデル154に対する更新406として使用されるであろう。学習プロセスは、処理堆積内で起こる実際の動力学及び、センサによって検知され、作り出されるデータストリームに基づいて、望ましい処理状態を更新する。この例では、仮想空間の中にある補償ベクトル84は、動作404において変換される。動作404では、補償ベクトルは、どのノブを調節するかということ及び調節の量を識別するために、実空間に変換される。
結果として生じる補償値K1(r,t)からKn(r,t)は、それ故に、プラズマ反応装置100に適用される調整ノブ134に適用される。上述のように、調整ノブに対する変化の適用は、調整ノブの設定に対する特定の変化に依存して、様々な形で適用することが可能である。幾つかのノブはバルブとして表され、幾つかのノブはデジタル入力として、幾つかのノブは周波数として、幾つかのノブは電力レベルとして、幾つかのノブはガス流として、幾つかのノブは電極位置の間隔として、幾つかのノブはネットワーク整合におけるキャパシタ設定として、幾つかのノブは温度設定において、幾つかのノブは静電チャック温度において、幾つかのノブは真空圧力において、幾つかのノブは排気速度において、幾つかのノブは処理時間において、幾つかのノブは混合比において、そして多くのノブは、特定のレシピ及び/又は反応装置設定に合わせて作られたより多くの設定において、表される。調整ノブによって制御されるこれらの設定例は、単に例であり、且つ、より多くの設定例が存在することが理解されるべきである。更に、調整ノブに対する参照は、実際の物理的なノブとして見なされるだけなく、単に特定のタイプの制御、入力、又は変数に対する特定のタイプの設定のための識別子としても見なされるべきである。もちろん、幾つかの実施形態において、調整ノブは、実際にノブであってもよい。
図7Bは、一実施形態に従う、処理状態を維持するための機械学習の実行例を説明する。この例では、機械学習エンジンは、実時間で使用することが可能である。処理を範囲内に保つために、且つ、必要とされない設定変化、又は任意の1つの点において過剰である設定変化を実行するリスクを回避するために、実行は、図7Bに示されるように、ウエハを処理する直前に、反応装置の処理状態のチェックを使用する。このように、能動的なウエハ処理が行われ、この後にチャンバ清掃/準備動作が続く(例えば、この動作は、反応装置慣らしを含む)。能動的なウエハ処理に入る直前に、安全性チェックとして、正常性監視動作を実行することが可能である。正しく認識できるように、この戦略は、チャンバが、ウエハを受け入れる前に、正しい状態にあり、且つ、ウエハが誤処理されるリスクを減少させる、ということを保証するであろう。
図8は、一実施形態に従う、プロセス動作500の例を説明する。この例では、望ましい処理状態値を達成するように近似される調整ノブの設定を使用する反応装置内の基板の処理が、動作502において定義される。一実施形態において、処理状態の調節は、基板の製造処理中に実施され得る。別の実施形態において、処理状態の調整は、ウエット清掃に続くチャンバ慣らしの間に実施され得る。この例では、ウエット清掃動作が実施された後に、処理が開始され、チャンバが慣らされ、製造基板の処理が準備され得る。
処理の間、センサからのデータストリームは、反応装置から多変量プロセッサに伝達されるか、又は、動作504において、反応装置内の基板を処理する。動作506は、反応装置内の望ましい処理状態を達成するための能動的な監視及び調整ノブに対する調節を示す。動作508では、現在の処理状態値が、多変量プロセッサによる、データストリームの調査によって監視される。上述のように、多変量プロセッサは、補償ベクトルに対する値をより正確に識別するために、機械学習にとって有用である他の入力を有することが可能である。
動作510では、現在の処理状態値が、多変量プロセッサによって、望ましい処理状態値と比較される。この比較は、好ましくは、動作中に実時間で連続的に実施される。別の実施形態において、この比較は、定期的に実施するか、又は、コンピュータプログラムによる命令、若しくは手動入力を介したユーザによる命令に基づいて、実施され得る。動作512では、多変量プロセッサによって実行される比較動作から引き出される調節値を用いて反応装置の特定のノブに対する調節が適用される。動作514は、監視データストリーム及び比較された処理状態値に関連するノブ設定に対してなされた、学習された変化を用いてモデルを更新する。このように、モデルは、特定の反応装置及び特定のレシピに対する、多変量プロセッサの機械学習による学習された情報に基づいて、連続的に更新される。
動作516では、プロセスは、監視すること及び調節を適用することを継続すべき、ということが決定される。1つ以上の基板を処理する間、プロセスは監視を継続することが可能であり、これによって、望ましい処理状態と一貫した処理状態を保つためになされる調節に関して、モデルを更新し続けることが可能である。この継続して監視すること、及びノブに対する設定を適用することは、1つ以上のウエハが反応装置内で処理されるにつれて、起こるかもしれないプロセス変動を制御する上での助けとなる。上述のように、より多くのウエハが反応装置内で処理されるにつれて、より多くの微粒子の付着又は部品消耗が、処理体積の内部で起こるであろう。これらの物理的変化の本質は、結果として生じるウエハの処理における変動を引き起こす。しかしながら、処理状態は監視されているので、これらの変化は、センサから集められる際に、検出された処理状態において示されるであろう。
これらの変動発生は検出されているので、多変量プロセッサに関連付けられている機械学習は、反応装置のノブに対する調節を行い、その結果として、望ましい処理状態を達成する状態に、反応装置による処理を維持することが可能である。上述のように、制御ノブに対する変化を通して、処理状態に対してこれらの実時間の変化を起こさせることに加えて、任意の数のウエハの処理の後に、様々な検証ステップが実施可能である。この検証は、ノブに対してなされる任意の調節を行うように、且つ、望ましい処理状態がもはや望ましい結果を達成しない場合には、変化を回避するように、フィードバックされる。有利なことには、望ましい処理状態は、検証及び/又は監視からのフィードバックを使用して更新され、その結果として、機械学習による調節は、実際の達成可能な結果及び反応装置の性能と一貫するようなやり方で、制御ノブに対する調節の適用を継続することが可能である。
更に、変動を訂正することによって、特定のプロセスレシピに対して、反応装置による高いレベルの性能を達成すると共に、清掃のために反応装置を停止させることを要求する前に、反応装置からの高いレベルのウエハ処理スループットを達成することが可能であること、が正しく認識されるべきことである。加えて、監視がウエット清掃に続く慣らしステップの間に実施される場合、慣らし動作を継続する無駄な時間の代わりに、より早く製造ウエハを開始することも可能である。この場合、慣らし動作は、実際には必要とされておらず、且つ、製造ウエハのために使用され得る製造時間を実際には減少させることが可能である。
図9は、一実施形態に従う、検証動作600及び変動制御動作650のために実施され得る方法動作の例を説明する。検証動作600は、製造ウエハを処理する準備が整っている状態に反応装置が達したかどうかを決定するために、実施することが可能である。例として、この処理は、チャンバ慣らし動作の間に、反応装置に対して実施され得る。変動制御650は、例えば、反応装置が製造ウエハの処理に入った後に、実施可能であり、調整ノブに対する変化は、反応装置の使用によって起こる変動を訂正するために要求される。
動作602では、プロセスタイプ及び反応装置タイプに関する情報が受信される。この情報は、動作604において、モデルデータベースからのモデルを識別するために使用される。モデルデータベースは、ある与えられた反応装置に対する特定のプロセスのために使用され得る複数のモデルを含んでもよく、且つ、モデル化された反応装置自身の特定のプロセスと共に、様々な反応装置を含んでもよい。それらの反応装置に対してモデル化された、異なるタイプの反応装置及びプロセスを含むデータベースの例が、図4のデータベース320に示される。別の実施形態において、モデルは、ファイルから得てもよく、又は、技能者若しくは技術者によって、コントローラ若しくは、反応装置に接続されたコンピュータに入力してもよい。
動作606では、基板の処理は、モデルにおいて識別される際に、調整ノブの設定を使用して、反応装置の中で開始される。図3に示されるように、モデル230jは、望ましい処理状態234及び調整ノブ設定232に関連付けられている。したがって、動作606で使用される調整ノブ設定は、初めにモデルから得られるであろう。このことは、例えば、ウエット清掃動作の後に、反応装置が初めて使用され、且つ、慣らされることを必要とするような場合に当てはまる。動作607では、反応装置内で基板を処理しながら、基板の処理中に、センサからのデータストリームが多変量プロセッサに伝達される。上述のように、多変量プロセッサは、機械学習エンジンを含むであろう。この機械学習エンジンは、望ましい処理状態値に従って、処理を元に戻すことを目的として、調整ノブに要求される変更のタイプを識別すると共に学習するために利用される。動作608では、現在の処理状態値は、多変量プロセッサによるデータストリームの調査によって監視される。
動作610では、現在の処理状態値が、多変量プロセッサによって、望ましい処理状態値と比較される。現在の処理状態が今、望ましい処理状態に従っている場合、処理状態は、動作611において検証される。例として、現在の処理状態が、望ましい処理状態と一致しているので、この点で、慣らし動作が完了していると言える。別の実施形態において、現在の処理状態が望ましい処理状態に一致する前に、多変量プロセッサは、補償ベクトルを識別することが可能である。補償ベクトルは、望ましい処理状態に一致する状態に反応装置をもたらために、調整ノブに対してなされ得る調節を識別するか、又は前記調節を識別するために使用される。
この動作は、慣らしを受けている反応装置を製造状態に迅速に置くことを促進するために、実施され得る。上述のように、任意に長い時間の間、もはや反応装置が慣らされる必要は無いので、このことは有利である。すなわち、いつ反応装置が実際に望ましい処理状態に到達したか、又は、いつ反応装置が、より早く処理状態に到達するために、調整ノブを介して調節されるか、を識別することが今は可能だからである。
製造ウエハプロセスの間に、基板に対して処理が実施されている場合、動作は612まで継続することが可能である。動作612では、処理を望ましい処理状態に移行させるために、現在の処理状態に対してなされるべき調節を識別するように、補償ベクトルが生成される。動作614では、補償ベクトルは、調節されるべき各ノブを識別する実空間の調節、及び前記調節の量に変換される。動作616では、調節は、反応装置の各指定されたノブに適用される。
動作618において処理が継続する場合、反応装置内でウエハの付加的な処理が継続するにつれて、変動が起こることを防止するために、調節620を監視すること及び適用することが継続可能である。上述のように、ウエハを処理するために反応装置が使用され続ける場合、反応装置の壁は物質を付着させるであろうし、且つ消耗部品が、時間と共に使い尽くされるかもしれないので、変動が起こるであろうと予測される。しかしながら、機械学習を使用する多変量プロセッサを使用して調節を行うことによって、望ましい処理状態の実質的な均衡の範囲内で、現在の処理状態を維持するために、調整ノブに対する調節を行うことが可能である。
図10は、一実施形態に従う、反応装置の慣らし動作704aの後に、反応装置を立ち上げる動作の例を説明する。動作702では、反応装置が清掃される、且つ/又は、反応装置に対して整備が実施される。この動作の間、反応装置は開放されてもよく、部品が交換されてもよく、部品が清掃されてもよく、部品が再調整されてもよく、且つ/又は、単に動作のために部品が組み立てられてもよい。前述のように、反応装置慣らし704aは、動作706において、レシピのための基板の製造処理に対する安定状態に関する反応器検証を含む。この処理は、反応装置の慣らし動作の間、反応装置の処理状態を監視するために、実施されるであろう。上述のように、慣らし動作は、チャンバを慣らすように設計されている複数の基板を使用して、反応装置を運転するステップを含んでもよく、且つ処理される基板は、望ましいプロセス又は標的とするプロセスを使用して、製造基板によって実施されるべき処理のタイプに類似するように、又は処理に似せるように、選ばれるであろう。
一実施形態において、現在の処理状態が望ましい処理状態に一致、又は実質的に一致すると多変量処理が決定すると、安定状態の反応装置検証が達成される。このことは、図2を参照しながら説明されるように、機械学習エンジン180によって実施される処理ごとに当てはまる。一旦チャンバが、慣らされたと決定され、且つ、製造処理に対して準備ができていると決定されると、方法は動作708へ移行する。動作708では、基板の製造処理が、反応装置内でのレシピに対して開始可能となる。
反応装置内の1つ以上のウエハを処理する間、動作710における製造処理の間に変動制御が処理され得る。上述のように、変動制御は、多変量プロセッサを利用するステップを含む。このステップは、いつ現在の処理状態が望ましい処理状態から変動するのか、より多くの基板が処理されるにつれて起こるかもしれない、を識別するためのものである。一実施形態において、調整ノブに対する調節を頻繁に更新することによって、より多くのウエハに対して反応装置の効率的で有用な動作を引き延ばすべく、望ましい処理状態に即した、反応装置の現在の処置状態を維持することが可能である。
図11は、別の実施形態を説明し、そこでは動作702において、反応装置は清掃又は維持されてよい。この例では、反応装置慣らし704bは、慣らし中に反応装置によって安定状態に到達するプロセスに対する付加的な動作を含む。この方法では、レシピに対する基板の製造処理のための安定状態の反応装置検証は、図10で行われたように、動作706において開始される。反応装置による1つ以上の慣らしウエハを運転するステップを含む検証プロセスの間、方法は動作712を含み、そこでは、現在の状態が変動制御を可能にする範囲内にあるか否かの決定が行われる。1つ以上の調整ノブに対する調節が実施可能であると決定される、又は予め決定される場合、及び、望ましい処理状態に到達可能であると決定される場合、処理状態は、範囲内にあると考えられる。
この例では、反応装置慣らしの間に、反応装置の調整ノブの設定を調節するという流れにおいて、変動制御が使用されるが、この変動制御は、慣らしウエハの処理を続けることなく、反応装置を製造処理のための安定状態に置く。動作714では、処理状態が範囲内にあると決定される場合、反応装置の慣らしから早く離脱するために、反応装置の調整ノブに対して、補償が適用される。例として、幾つかの慣らし動作は、8時間から12時間の近辺で数時間かかるかもしれず、且つ従来の技術は、慎重さという面で間違っており、経験に基づいて、より長い期間の慣らしのために慣らし基板を処理していた。しかしながら、このタイプの慣らしを経験によって行う操作者は、慎重さという面での自らの間違いのせいで、必要とされるよりも長い間、反応装置を慣らすであろう。
一実施形態に従えば、処理704bは、いつ反応装置が調節され得るかを識別することを可能にするであろう。この場合の調節は、慣らしウエハの処理を続けることなく、望ましい処理状態値と一貫する反応装置の状態をもたらすべく、調整ノブを変化させることによって行われる。したがって、製造ウエハをより早く処理するために、反応装置を実際の製造に置くことが可能であり、且つ、反応装置は、慣らしのコストを回避すると共に、製造処理のために反応装置を使用できないという無駄なコストを、可能な限り最大限に避けることが可能である。この実施形態では、動作708及び動作710は、図10の動作と同様に処理される。
本明細書で説明される実施形態によって、最小のユーザ入力で、いかにして反応装置の正しい処理状態を維持するかについての判断をすることに対して、処理ツールは、十分に知能の高いものとなっている。この概念を拡張することは、半導体分野で非常に有力である。というのは、この概念が、現存する製作制御システム及び人間の操作者の経験からその条件を維持するための、そのようなツール能力の依存性を減少させるからである。注記したように、人間の操作者の経験もまた、信頼できる方法ではない。というのは、各操作者/技術者は自分自身の方法論を持ち、一度それらの方法論が前に進むと、新しい人員を訓練する必要があるためである。説明された実施形態は、とりわけ異なる従来技術である。というのは、多変量処理の機械学習エンジン180は、その状態を定義するために、ツールの実際の実時間センサデータを使用するからである。これは、監視ウエハおよび関連する計測ツールだけに依存する、多くの現在の技術とは対照的である。上で注記したように、本発明の実施形態は、監視ウエハ(およびエッチング速度データ)を使用してもよいが、しかしその使用は、今は、プロセスを実時間の望ましい処理状態に引き戻すために、多変量処理によって識別された学習補償値を検証することを目的としている。
前述のように、開示された実施形態の独自の特徴は、チャンバ整合を実行するのに、ユーザの経験は直接必要とされない、ということである。これらの反応装置は、非常に複雑であり、且つ、通常は様々な経験レベルのユーザを有するであろう。そして、多くのユーザは、反応装置の動作について、限られた理解を有し、且つ、反応装置に対して幾分正確な処理状態を達成するのに、数えられる調整時間を費やすかもしれない。説明された機械学習エンジンを使用することによって、ツールは高度なレベルの仮想ユーザを備え、且つ、システムはタイムリでしかも実時間の方法において正しい決定を下すことが可能である、ということが保証されるであろう。この側面は、顧客のためにプロセスを特徴付けるツール製造業者にとって有用であるだけでなく、ツール供給業者から購入したツールに対して自分自身のプロセスを供給する必要があるツール顧客にとっても有用である。
本明細書で説明される様々な教示を拡張することによって、機械学習エンジンはまた、急速プロセス開発(RPD)に対して適用してもよい。ここで急速プロセス開発は、初期プロセス傾向を開発すること、及びそれを機械学習エンジンに供給することを含む。エンジンは、その場合、望ましい状態を予測することを試み、且つ、はるかに速くプロセスを調整することが可能である。このことは、プロセス開発時間を減少させ、且つ、ユーザ体験レベルへの依存性を減少させるであろう。
一実施形態において、上で図1を参照しながら説明されたコントローラ120は、プロセッサ、メモリ、ソフトウェアロジック、ハードウェアロジック、及び、プラズマ処理システムと通信すること、プラズマ処理システムを監視すると共に制御することに由来する入出力サブシステムを含んでもよい。コントローラ120はまた、1つ以上のレシピの処理を取り扱ってもよい。ここで前記レシピは、例えば、プラズマ処理システムを動作させるための、様々な動作パラメータ(例えば、電圧、電流、周波数、圧力、流速、電力、温度など)に対する複数の設定点を含む。更に、エッチング動作(例えば、エッチングツール)を参照しながら、より詳細な例が提供されたが、動作は堆積動作(例えば、堆積ツール)にも等しく利用され得る、ということを理解すべきである。例えば、検証動作において、エッチング性能を検証する代わりに、検証は、堆積性能に関するものであり得る。堆積性能は、様々な方法で定量化することが可能であり、且つ、限定無しに、様々なタイプの計測方法及び/又はツールを使用してもよい。更に、堆積性能は、その場で又はオフラインで、測定してもよい、検知してもよい、近似してもよい、且つ/又は試験してもよい。
幾つかの実行例において、コントローラはシステムの一部であり、前記システムは上で説明された例の一部であってもよい。そのようなシステムは、半導体処理機器を備えることが可能である。ここで前記半導体処理機器は、処理ツール(複数可)、チャンバ(複数可)、処理のためのプラットフォーム(複数可)、及び/又は特定の処理構成要素(ウエハ台、ガス流システムなど)を含む。これらのシステムは、半導体ウエハ又は基板の処理の前に、前記処理の間に、及び前記処理の後に、前記システムの動作を制御するための電子機器と統合してもよい。電子機器は、「コントローラ」と呼んでもよく、前記コントローラは、システム(複数可)の様々な構成要素又は副部品を制御してもよい。コントローラは、処理要件及び/又はシステムのタイプに依存して、本明細書で開示されるプロセスの任意のものを制御するようにプログラムしてもよい。ここで前記任意のものとは、処理ガスの供給、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流速設定、流体供給設定、位置及び動作の設定、ツール内へのウエハ搬送及びツールから外へのウエハ搬送、並びに他の搬送ツール、及び/又は、特定のシステムに接続されたロードロック、若しくは特定のシステムとインターフェースで接続されるロードロックを含む。
大まかに言えば、コントローラは、様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義してもよい。ここで前記電子機器は、命令を受け取り、命令を出し、動作を制御し、清掃動作を可能にし、端点測定を可能にする。集積回路は、プログラム命令を格納するファームウェアの形態をしたチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、及び/又は、プログラム命令(例えば 、ソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(又はプログラムファイル)の形態でコントローラに伝達される命令であってもよく、前記命令は、半導体ウエハ上の特別なプロセス、若しくは半導体ウエハのための特別なプロセス、又はシステムに対する特別なプロセスを実行するための動作パラメータを定義する。動作パラメータは、幾つかの実施形態において、プロセスによって定義されるレシピの一部であってもよい。ここで前記プロセスは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウエハの打ち抜き型を製作する間に、1つ以上の処理ステップを遂行するべく巧みに計画される。
コントローラは、幾つかの実行例において、コンピュータの一部であってもよい、又はコンピュータに結合させてもよい。ここで前記コンピュータは、システムと統合される、システムに結合される、さもなければ、システムとネットワークでつながれる、又はこれらの組み合わせである。例えば、コントローラは、「クラウド」の中にあってもよく、又はファブ・ホスト・コンピュータシステムの全部若しくは一部の中にあってもよく、それによって、ウエハ処理の遠隔アクセスが可能になる。コンピュータは、システムへの遠隔アクセスを可能にし、それによって、製作動作の現在の進捗を監視し、過去の製作動作の履歴を調べ、複数の製作動作からの傾向又は性能測定基準を調べ、その結果として、現在の処理のパラメータを変更し、現在の処理に続くべき処理ステップを設定する、又は新しいプロセスを開始する。幾つかの例において、遠隔コンピュータ(例えば、サーバ)は、ネットワークを通して、プロセスレシピをシステムに提供することが可能である。ここで前記ネットワークは、ローカルネットワーク又はインターネットを含んでもよい。遠隔コンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザインターフェースを含んでもよく、入力又はプログラミングは、その後、遠隔コンピュータからシステムに伝達される。幾つかの例において、コントローラは、データの形態で命令を受信するが、ここで前記データは、1つ以上の動作の間に実施されるべき処理ステップの各々に対して、パラメータを指定する。理解するべきことであるが、パラメータは、実施されるべきプロセスのタイプ及び、コントローラがインターフェースで接続されるように、又はコントローラが制御するように構成されるツールのタイプに特有のものであってもよい。したがって、上で説明したように、コントローラは分散されていてもよい。ここで分散は、1つ以上の個別のコントローラを備えることなどよって行われるが、前記個別のコントローラは、互いにネットワーク接続されると共に、本明細書で説明されるプロセス及び制御のような共通の目的に向かって働く。そのような目的のための分散型コントローラの例は、チャンバ上の1つ以上の集積回路であろう。ここで前記集積回路は、チャンバ上のプロセスを制御するために組み合わされる、(プラットフォームレベルで、又は遠隔コンピュータの一部として)遠隔的に配置された1つ以上の集積回路と通信している。
限定されることなく、システムの例は、プラズマエッチングチャンバ又はモジュール、堆積チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属メッキチャンバ又はモジュール、清掃チャンバ又はモジュール、はす縁エッチングチャンバ又はモジュール、物理蒸着(PVD)チャンバ又はモジュール、化学蒸着(CVD)チャンバ又はモジュール、原子層堆積(ALD)チャンバ又はモジュール、原子層エッチング(ALE)チャンバ又はモジュール、イオン注入チャンバ又はモジュール、軌道チャンバ又はモジュール、及び、半導体ウエハの製作及び/又は製造に関連付けられる、若しくは前記製作及び/又は製造に使用されるかもしれない、他の任意の半導体処置システムを含んでもよい。
上述のように、ツールによって実施されるべきプロセスステップ(複数可)に依存して、コントローラは、1つ以上の他のツールの回路又はモジュールと、他のツールの構成要素と、クラスタツールと、他のツールのインターフェースと、隣接したツールと、近隣のツールと、工場全体に配置されたツールと、メインコンピュータと、別のコントローラと、又は材料輸送において使用されるツールと通信してもよいであろう。ここで材料輸送とは、ウエハの容器をツールの場所へ運ぶこと、若しくはウエハの容器をツールの場所から運ぶこと、及び/又は、半導体製造工場内の積み込みポートへ運ぶことである。
図12は、実施形態を実行するための、コンピュータシステムの簡略化された模式図である。正しく認識するべきことであるが、本明細書で説明される方法は、従来の汎用コンピュータスステムのような、デジタル処理システムによって実施してもよい。1つの機能だけを実施するように設計された、又は1つの機能だけを実施するようにプログラムされた特殊用途コンピュータを、代替として使用してもよい。コンピュータシステムは、中央処理ユニット(CPU)804を含み、中央処理ユニット(CPU)804は、バス810を通して、ランダムアクセスメモリ(RAM)806、読み出し専用メモリ(ROM)812、及び大容量記憶デバイス814に結合される。システムコントローラプログラム808は、ランダムアクセスメモリ(RAM)806に常駐するが、しかしまた、大容量記憶デバイス814に常駐することが可能である。
大容量記憶デバイス814は、フロッピーディスクドライブ又は固定ディスクドライブのような持続性のデータ記憶デバイスを表すが、データ記憶デバイスは、ローカルなものであっもてよい、又は遠隔的なものであってもよい。ネットワークインターフェース830は、ネットワーク832を介した接続を提供し、これによって、他のデバイスとの通信を可能にする。正しく認識するべきことであるが、CPU804は、汎用プロセッサ、特殊用途プロセッサ、又は特別にプログラムされたロジックデバイスにおいて具現化してもよい。入力/出力(I/O)インターフェースは、異なる周辺機器との通信を提供し、且つ、バス810を通して、CPU804、RAM806、ROM812、及び大容量記憶デバイス814と接続される。周辺機器の例は、ディスプレイ818、キーボード822、カーソル制御器824、取り外し可能なメディアデバイス834などを含む。
ディスプレイ818は、本明細書で説明されるユーザインターフェースを表示するように構成される。キーボード822、カーソル制御器824、取り外し可能なメディアデバイス834、及び他の周辺機器は、コマンド選択における情報をCPU804へ伝達するために、I/Oインターフェース820に結合される。正しく認識するべきことであるが、外部デバイスへのデータ及び外部デバイスからのデータは、I/Oインターフェース820を通して伝達してもよい。実施形態はまた、分散型コンピューティング環境において実践することが可能であり、前記環境では、タスクは、有線ベースのネットワーク又は無線ネットワークを通して連結される遠隔処理デバイスによって実施される。
実施形態は、様々なコンピュータシステム構成によって実践してもよく、ここで様々なコンピュータシステム構成は、携帯用デバイス、マイクロプロセッサシステム、マイクロプロセッサベースの電子機器又はプログラム可能な消費者用電子機器、ミニコンピュータ、メインフラームコンピュータなどを含む。実施形態はまた、分散型コンピューティング環境において実践することが可能であり、前記分散型コンピューティング環境では、タスクは、ネットワークを通して連結される遠隔処理デバイスによって実施される。
上記の実施形態を念頭において、理解するべきことであるが、実施形態は、コンピュータシステムに格納されるデータを含めて、様々なコンピュータ実行型動作を使用することが可能である。これらの動作は、物理量の物理的操作を要求する動作である。実施形態の一部を形成する、本明細書で説明される動作の任意のものは、有用な機械動作である。実施形態はまた、これらの動作を実施するためのデバイス又は装置に関連する。装置は、特殊用途コンピュータのような、要求される目的のために特別に構築してもよい。特殊用途コンピュータとして定義される場合、コンピュータはまた、特殊用途の一部ではない他の処理、プログラム実行又はルーティンを実施することが可能であり、その一方で、依然として特殊用途のために動作することが可能である。代わりに、動作は、選択的に起動された汎用コンピュータによって処理してもよく、又は、動作は、コンピュータメモリ、キャッシュに格納された、若しくはネットワークを通して得られた、1つ以上のコンピュータプログラムによって構成してもよい。データがネットワークを通して得られる場合、データは、ネットワーク上の他のコンピュータ(例えば、コンピュータリソースのクラウド)によって処理してもよい。
1つ以上の実施形態はまた、コンピュータ可読な媒体上のコンピュータ可読なコードとして製作することが可能である。コンピュータ可読な媒体は、データを格納できる任意のデータ記憶デバイスであり、データ記憶デバイスは、その後、コンピュータシステムによって読み出すことが可能である。コンピュータ可読な媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、及び他の光記憶デバイス並びに非光記憶デバイスを含む。コンピュータ可読な媒体は、ネットワークに結合されたコンピュータシステムを通して分散された、コンピュータ可読な有形の媒体を含むことが可能であり、その結果として、コンピュータ可読なコードは、分散的な方法で、格納されると共に実行される。
方法動作は特定の順序で説明されたが、次のことを理解するべきである。即ち、他の整理整頓動作は、動作の間に実施してもよいか、若しくは、動作がわずかに異なる時間に起こるように調節してもよいか、又は、処理に関連付けられる様々な間隔において処理動作が起こることを可能にするシステムの中で分散してもよい。ただし、このことには、重ねる動作の処理が望ましい方法で実施される限りにおいて、という条件が付いている。
プロセスチャンバ及び/又は消耗部品を検査するための方法に関するより多くの情報については、2015年12月7日に出願された、「半導体製造チャンバ内の消耗部品に対する残存寿命の推定」と題する米国特許出願第14/961,756号を参照してもよく、米国特許出願第14/961,756号は、参照により本明細書に組み入れられる。
プロセス条件を監視するための方法、及び設定を調節するための方法に関するより多くの情報については、2016年8月3日に出願された、「プラズマ処理システムを監視するための方法及びシステム並びに高度なプロセス及びツール制御」と題する米国特許出願第62/370,658号、「ウエハ処理制御及び診断のための集積化された電子的ハードウェア」と題する米国特許第6,622,286号、「プラズマチャンバの認定を目的としたエッチング速度均一性を予測するための方法及び装置」と題する米国特許第8,295,966号、「プロセスモジュールレベルで未制御の事象を識別するための配置及びその方法」と題する米国特許第8,983,631号、「処理チャンバの予言的な予防的整備のための方法及び装置」と題する米国特許第8,473,089号、「プラズマ処理ツールに対するその場プロセス監視及び制御のための方法及び配置」と題する米国特許第8,271,121号、及び「最適な端点アルゴリズムを構築するための方法」と題する米国特許第8,538,572号を参照してもよく、これらの全ては、Lam Research Corporationに譲渡され、本出願の譲受人及び上記特許の各々は、あらゆる目的に対して本明細書に組み込まれる。
機械学習アルゴリズム、現象論的モデル、及び関連するプロセスに関する付加的な情報については、Bertorelle Nicola(University of Padua,Department of Information Engineering)による「半導体製造応用のための仮想計測」と題する学位論文(2010年6月28日付け)、Gian Antonio Susto(Universita Degli Studi di Padova,School in Information Engineering)による「半導体製造のための統計的方法」と題する学位論文(2013年1月)、及び「CF4/Arの誘導的に結合したプラズマ中のMgO薄膜のエッチング特性およびメカニズム」と題する論文(A.Efremov,et al.Department of Electronic Devices and materials Technology,Sate University of Chemistry and Technology,7,F.Engels St.,15300 Ivanovo,Russia,January 12,2007)を参照してもよく、これらの各々は、参照により本明細書に組み込まれる。
更に、特定の実施形態を定義する又は可能にするために、上記の参照により組み込まれた文献及び応用の中で説明される実施形態及び任意の特徴を、本明細書で説明される1つ以上の特徴と組み合わせてもよい。
前述の実施形態は、理解の明瞭さを目的として、ある程度詳細に説明されてきたが、添付された請求項の範囲内で、ある一定の変更及び修正が実践され得ることは明らかであろう。よって、本実施形態は、例証的であって、限定的ではないと考えるべきであり、且つ、実施形態は、本明細書で与えられた詳細に限定されるべきではなく、添付された請求項の範囲及び等価物の範囲内で修正してもよい。

Claims (23)

  1. プラズマ反応装置の処理状態を制御するための方法であって、
    望ましい処理状態値を達成するために近似される、前記プラズマ反応装置の調整ノブのための設定を使用して、前記プラズマ反応装置内の基板の処理を開始し、
    前記基板の前記処理の間に、前記プラズマ反応装置からの複数のデータストリームを受信し、前記複数のデータストリームは現在の処理状態値を識別するために使用され、
    前記現在の処理状態値と前記望ましい処理状態値との間の差を識別する補償ベクトルを生成し、
    前記補償ベクトルを、前記調整ノブのための前記設定に対する調節に変換し、
    前記調節を前記プラズマ反応装置の前記調整ノブに適用すること、
    を備える、方法。
  2. 請求項1に記載の方法はさらに、
    前記現在の処理状態値を前記望ましい処理状態値に向けて移動させることを支援するために、前記調整ノブの前記設定に対する前記調節を生成するために、前記基板の前記処理の間に前記プラズマ反応装置から前記複数のデータストリームを受信することを継続すること、
    を備える、方法。
  3. 請求項1に記載の方法であって、
    前記望ましい処理状態及び前記現在の処理状態は、前記プラズマ反応装置の処理体積内で検知されるプラズマ状態の物理的状態を記述する仮想空間において定義され、前記プラズマ状態は特定の反応装置の壁表面状態に対する前記基板の平面における一組のイオン、電子、及び中性粒子の束を含む、方法。
  4. 請求項3に記載の方法であって、
    前記補償ベクトルは前記仮想空間における前記望ましい処理状態値と前記望ましい処理状態値との間の違いを識別し、且つ前記補償ベクトルの前記変換は識別される物理的調節を有する一組の識別される物理的ノブとしての前記ノブのための前記設定に対する前記調節を識別し、前記プラズマ反応装置のコントローラは前記ノブに対する前記設定の前記調節を引き起こすプログラム命令を処理するように構成されている、方法。
  5. 請求項2に記載の方法であって、
    多変量プロセスが前記現在の処理状態値と前記望ましい処理状態値との間の前記違いを識別するように構成され、さらに、
    前記基板の前記処理のエッチング速度性能又は監視ウエハ性能の一方又は両方から受け取る検証フィードバックに少なくとも部分的に基づいて、調節された望ましい処理状態値を生成するために、前記望ましい処理状態値に対する調節を行うために機械学習を処理すること備える、方法。
  6. 請求項1に記載の方法であって、
    前記基板の前記処理は、特定のプラズマ反応装置及び特定のプロセスレシピに対して識別され、
    各特定のプロセスレシピ及び各特定のプラズマ反応装置は、調整ノブ及び望ましい処理状態値に対する設定を含む、関連付けられているモデルを有し、前記モデルは、モデルデータベースからアクセスされる、方法。
  7. 請求項6に記載の方法であって、
    前記モデルデータベースからのモデルが使用されるにつれて、機械学習プロセスは、前記望ましい処理状態値を達成するために、前記特定のプラズマ反応装置に対する設定を改善するために、前記モデルの前記調整ノブのための前記設定に対する調節を行う、方法。
  8. 請求項7に記載の方法はさらに、
    前記機械学習によって行われる前記調節に基づいて、前記モデルデータベースの中の前記モデルを更新すること、
    を備える、方法。
  9. 請求項5に記載の方法であって、
    前記機械学習は、前記プラズマ反応装置の前記センサに関する感度データを入力として使用し、その結果として、作り出された補償ベクトルは、感度データに基づいて抑制される調節を含む、方法。
  10. 請求項1に記載の方法はさらに、
    前記プラズマ反応装置内の前記基板の前記処理のために使用されるべき、前記プラズマ反応装置のタイプ及びプロセスのタイプに対して、モデルデータベースにアクセスすることを備え、
    前記モデルデータからのモデルを識別し、前記モデルは、望ましい処理状態値を達成するべく近似される、前記プラズマ反応装置の調整ノブのための前記設定を含む、
    方法。
  11. 請求項1に記載の方法であって、
    前記複数のデータストリームは前記プラズマ反応装置とインターフェースで接続、又は前記プラズマ反応装置と通信しているコントローラとインターフェースで接続されているセンサから受信され、前記センサは、1つ以上の発光分光分析(OES)センサ、干渉法、圧力センサ、電圧センサ、電流センサ、温度センサ、流速センサ、周波数センサ、電力センサ、計測センサ、及びこれらの2つ以上の組み合わせの1つ以上を含む、方法。
  12. 請求項1に記載の方法であって、
    前記調整ノブは前記プラズマ反応装置とインターフェースで接続されている制御に関連し、前記制御はガス流メータを調節するための制御、電力設定を調節するための制御、温度設定を調節するための制御、前記プラズマ反応装置の上部電極と下部電極との間の物理的ギャップ間隔を調節するための制御、静電チャック(ESC)の温度又は動作を調節するための制御、バイアス電力設定を調節するための制御、チャンバ圧力を設定するための制御、1つ以上の無線周波数発生器の周波数を設定するための制御、特定のレシピ動作の運転時間を設定するための制御、真空の排気速度を設定するための制御、ガス流の持続期間を設定するための制御、監視アルゴリズムを設定するための制御、ボアスコープ検査を誘発する制御、清掃動作間の間隔を設定する、若しくは決定するための制御、又は、これらの2つ以上の組み合わせの1つ以上を含む、方法。
  13. プラズマ反応装置の処置状態を制御するための方法であって、
    望ましい処理状態値を達成するために近似される前記プラズマ反応装置の調整ノブのための設定を使用して、前記プラズマ反応装置内の慣らし基板の処理を開始し、
    前記慣らし基板の前記処理の間、前記プラズマ反応装置から複数のデータストリームを受信し、前記複数のデータストリームは現在の処理状態値を識別するために使用され、
    前記現在の処理状態値が、前記望ましい処理状態値の予め定義された閾値の範囲内となるまで、前記プラズマ反応装置内で前記慣らし基板又は付加的な慣らし基板を処理することを継続し、
    前記望ましい処理状態値と前記望ましい処理状態値との間の違いを識別する補償ベクトルを生成し、
    前記補償ベクトルを、前記調整ノブのための前記設定に対する調節に変換し、
    前記調節を前記プラズマ反応装置の前記調整ノブに適用し、
    前記調整ノブに対する前記調節が、前記プラズマ反応装置を、前記望ましい処理状態値を実質的に作り出す状態に置くと、製造基板の処理に対して準備が整っているとして前記プラズマ反応装置を識別すること、
    を備える、方法。
  14. 請求項13に記載の方法であって、
    前記望ましい処理状態及び前記現在の処理状態は、前記プラズマ反応装置の処理体積内で検知されるプラズマ状態の物理的状態を記述する仮想空間において定義され、前記プラズマ状態は、検出された反応装置の壁表面状態に対する、前記基板の平面における一組のイオン、電子、及び中性粒子の束を含む、方法。
  15. 請求項14に記載の方法であって、
    前記補償ベクトルは、前記仮想空間における前記望ましい処理状態値と前記望ましい処理状態値との間の違いを識別し、且つ前記補償ベクトルの前記変換は、識別される物理的調節を有する一組の識別される物理的ノブとしての前記ノブのための前記設定に対する前記調節を識別し、前記プラズマ反応装置のコントローラは、前記ノブに対する前記設定の前記調節を引き起こすプログラム命令を処理するように構成されている、方法。
  16. 請求項13に記載の方法はさらに、
    望ましい処理状態値を達成するべく近似される、前記基板の前記慣らしの間に設定される、前記プラズマ反応装置の調整ノブのための設定を使用して、前記プラズマ反応装置内の製造基板の処理を開始し、
    前記製造基板の前記処理の間に、前記プラズマ反応装置から複数のデータストリームを受信し、前記複数のデータストリームは現在の処理状態値を識別するために使用され、
    前記現在の処理状態値と前記望ましい処理状態値との間の違いを識別する補償ベクトルを生成し、
    前記補償ベクトルを、前記調整ノブのための前記設定に対する調節に変換し、
    時間と共に、前記プラズマ反応装置による処理における変動を補償するために、前記調節を前記プラズマ反応装置の前記調整ノブに適用すること、
    を備える、方法。
  17. プラズマプロセスの処理状態を制御するためのシステムであって、
    プラズマ反応装置の動作条件に対する設定を行うための複数の調整ノブを有するプラズマ反応装置と、
    前記プラズマ反応装置の複数のセンサと、前記複数のセンサの各々は、前記プラズマプロセスを実行するために、前記プラズマ反応装置の動作中に、情報のデータストリームを作り出すように構成され、
    前記プラズマ反応装置の処理環境内の意図された測定可能な状態を定義する、望ましい処理状態値を入力として使用するように構成されている多変量処理を実行すると共に、現在のプラズマ処理値を識別するように構成されている前記プラズマ反応装置のコントローラとを備え、前記多変量処理は、機械学習エンジンを使用し、前記機械学習エンジンは、
    前記望ましい処理状態値と、
    前記プロズマプロセスの処理中の、前記複数のセンサからのデータストリームと、
    調整ノブの補償に対するセンサ信号に関する感度データと、
    前記プラズマ反応装置の前記複数のセンサによって作り出される前記データストリームの観点から、前記処理環境内のプラズマ動力学を定義する現象論的モデルによって使用するための反応装置の壁表面の動力学と、を受信し、
    前記機械学習エンジンは、補償ベクトルを生成するために使用される現在の処置状態値を識別するように構成され、前記補償ベクトルは前記望ましい処理状態値と前記現在の処理状態値との間の違いを定義し、
    前記コントローラは、前記処理環境内の測定される状態の観点から表される前記補償ベクトルを前記プラズマ反応装置の前記調整ノブの特定の1つ以上の変化に変換する補償処理動作を実行するように更に構成され、前記コントローラは、前記反応装置の前記処理環境の前記測定可能な状態における変化を引き起こすために前記プラズマ反応装置の前記調整ノブに対する変化を命令するように構成されている、システム。
  18. 請求項17に記載のシステムであって、
    前記機械学習エンジンは、エッチング速度測定又は監視ウエハ測定の一方又は両方に関する測定された基板性能データを定期的に受信するように構成され、前記測定された基板性能データは前記望ましい処理状態値に対する調節を行うために使用され、このことは、次に、前記補償ベクトルに対する調節、及び前記調整ノブの前記1つ以上に対する結果として生じる変化を引き起こす、システム。
  19. 請求項18に記載のシステムであって、
    前記機械学習エンジンは、エッチング速度測定又は監視ウエハ測定の一方又は両方から得られる実際のデータによって、前記現在の処理状態値の検証を実施するように構成されている、システム。
  20. 請求項17に記載のシステムであって、
    前記システムは、1つ以上の動作段階において実行されるように構成され、1つの動作段階は、
    製造基板でない基板を使用するプラズマ反応装置慣らし段階の間に、前記プラズマ反応装置慣らし段階は、いつ前記現在の処理状態値が、製造基板を処理する準備が整っている状態に前記プラズマ反応装置を置くために前記調整ノブと調整を可能にし、前記プラズマ反応装置慣らし段階の中止を可能にする範囲内に入るかを識別するために前記多変量処理を実行することにより前記コントローラによって監視される、
    ことを含む、システム。
  21. 請求項17に記載のシステムであって、
    前記システムは、1つ以上の動作段階において実行されるように構成され、1つの動作段階は、
    製造基板を使用する製造段階の間に、前記コントローラは、いつ前記現在の処理状態値が、前記プラズマプロセスにおける変動を補償するために前記調整ノブの調節を可能にする範囲内に入るかを識別するために前記多変量処理を実行し、変動に対する前記補償は前記製造段階の間に多数回起こり、前記調整ノブにおける前記調節は前記複数のセンサによって測定される際に、前記処理環境を前記望ましい処理状態値に対してより近くまで移動させるために計算される、
    ことを含む、システム。
  22. プラズマプロセスの処理状態を制御するためのシステムであって、
    プラズマ反応装置の動作条件に対する設定を行うための複数の調整ノブを有するプラズマ反応装置と、
    前記プラズマ反応装置の複数のセンサと、前記複数のセンサの各々は、前記プラズマプロセスを実行するために、前記プラズマ反応装置の動作中に、情報のデータストリームを作り出すように構成され、
    前記プラズマ反応装置の処理環境内の意図された測定可能な状態を定義する、望ましい処理状態値を入力として使用するように構成されている多変量処理を実行すると共に、現在のプラズマ処理値を識別するように構成されている前記プラズマ反応装置のコントローラとを備え、前記多変量処理は、機械学習エンジンを使用し、前記機械学習エンジンは、
    前記望ましい処理状態値と、
    前記プロズマプロセスの処理中の、前記複数のセンサからのデータストリームと、
    調整ノブの補償に対するセンサ信号に関する感度データと、
    を受信し、
    前記機械学習エンジンは、補償ベクトルを生成するために使用される現在の処置状態値を識別するように構成され、前記補償ベクトルは前記望ましい処理状態値と前記現在の処理状態値との間の違いを定義し、
    前記コントローラは、前記処理環境内の測定される状態の観点から表される前記補償ベクトルを前記プラズマ反応装置の前記調整ノブの特定の1つ以上の変化に変換する補償処理動作を実行するように更に構成され、前記コントローラは、前記反応装置の前記処理環境の前記測定可能な状態における変化を引き起こすために前記プラズマ反応装置の前記調整ノブの1つ以上に対する変化を命令するように構成されている、システム。
  23. 請求項22に記載のシステムであって、
    前記機械学習エンジンは、エッチング速度測定又は監視ウエハ測定の一方又は両方に関する、測定された基板性能データを定期的に受信するように構成され、前記測定された基板性能データは前記プラズマ反応装置によって処理される1つ以上の基板を調べる計測ツールから受信される、システム。
JP2019513313A 2016-09-16 2017-08-28 複雑な多変量ウエハ処理機器における機械学習を実行する方法及びプロセス Active JP7045368B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/268,472 2016-09-16
US15/268,472 US9972478B2 (en) 2016-09-16 2016-09-16 Method and process of implementing machine learning in complex multivariate wafer processing equipment
PCT/US2017/048965 WO2018052698A1 (en) 2016-09-16 2017-08-28 Method and process of implementing machine learning in complex multivariate wafer processing equipment

Publications (3)

Publication Number Publication Date
JP2019537240A true JP2019537240A (ja) 2019-12-19
JP2019537240A5 JP2019537240A5 (ja) 2020-10-22
JP7045368B2 JP7045368B2 (ja) 2022-03-31

Family

ID=61620100

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019513313A Active JP7045368B2 (ja) 2016-09-16 2017-08-28 複雑な多変量ウエハ処理機器における機械学習を実行する方法及びプロセス

Country Status (7)

Country Link
US (2) US9972478B2 (ja)
EP (1) EP3512977B1 (ja)
JP (1) JP7045368B2 (ja)
KR (1) KR102467120B1 (ja)
CN (1) CN109715848B (ja)
TW (1) TWI772325B (ja)
WO (1) WO2018052698A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024014363A1 (ja) * 2022-07-15 2024-01-18 東京エレクトロン株式会社 プラズマ処理システム、支援装置、支援方法及び支援プログラム
JP7496363B2 (ja) 2019-02-14 2024-06-06 エーイーエス グローバル ホールディングス, プライベート リミテッド 遠隔プラズマ源のための保守

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2484262B (en) 2010-09-29 2013-08-21 Tristel Plc Hand sanitizer
KR20190038070A (ko) * 2017-09-29 2019-04-08 삼성전자주식회사 반도체 장치의 제조 시스템 및 반도체 장치의 제조 방법
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
JP7305555B2 (ja) * 2017-12-27 2023-07-10 株式会社半導体エネルギー研究所 薄膜製造装置
US11029359B2 (en) * 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
CN111902924A (zh) 2018-03-13 2020-11-06 应用材料公司 用于半导体处理的监测的机器学习系统
JP7090243B2 (ja) 2018-05-08 2022-06-24 千代田化工建設株式会社 プラント運転条件設定支援システム、学習装置、及び運転条件設定支援装置
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US10916411B2 (en) 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
JP7113507B2 (ja) * 2018-09-29 2022-08-05 株式会社フジキン 活性ガス供給システムとそれを用いた半導体製造装置
US10930531B2 (en) 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
US10657214B2 (en) 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
KR20200060624A (ko) 2018-11-22 2020-06-01 삼성전자주식회사 기판 처리 장치, 기판 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
US11133204B2 (en) * 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
CN112602188B (zh) * 2019-02-12 2023-10-24 Spp科技股份有限公司 基板升降异常检测装置
JP6737944B1 (ja) * 2019-07-16 2020-08-12 株式会社神戸製鋼所 機械学習方法、機械学習装置、機械学習プログラム、通信方法、及び成膜装置
US11966203B2 (en) 2019-08-21 2024-04-23 Kla Corporation System and method to adjust a kinetics model of surface reactions during plasma processing
US20220344184A1 (en) * 2019-09-25 2022-10-27 Lam Research Corporation Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
JP7442305B2 (ja) * 2019-11-26 2024-03-04 東京エレクトロン株式会社 制御システム、制御方法、制御プログラム、および処理システム
WO2021154747A1 (en) * 2020-01-27 2021-08-05 Lam Research Corporation Performance predictors for semiconductor-manufacturing processes
US11708635B2 (en) 2020-06-12 2023-07-25 Applied Materials, Inc. Processing chamber condition and process state monitoring using optical reflector attached to processing chamber liner
US12009191B2 (en) 2020-06-12 2024-06-11 Applied Materials, Inc. Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
US11776900B2 (en) 2020-06-22 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structure
CN111882030B (zh) * 2020-06-29 2023-12-05 武汉钢铁有限公司 一种基于深度强化学习的加锭策略方法
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
US11791141B2 (en) 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
US20220084794A1 (en) * 2020-09-16 2022-03-17 Applied Materials, Inc. Plasma chamber with a multiphase rotating modulated cross-flow
US11735447B2 (en) * 2020-10-20 2023-08-22 Applied Materials, Inc. Enhanced process and hardware architecture to detect and correct realtime product substrates
US12020399B2 (en) 2020-11-16 2024-06-25 Xerox Corporation System and method for multi-object micro-assembly control with the aid of a digital computer
US11893327B2 (en) 2020-12-14 2024-02-06 Xerox Corporation System and method for machine-learning enabled micro-assembly control with the aid of a digital computer
US11921488B2 (en) * 2020-12-15 2024-03-05 Xerox Corporation System and method for machine-learning-enabled micro-object density distribution control with the aid of a digital computer
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US11532525B2 (en) * 2021-03-03 2022-12-20 Applied Materials, Inc. Controlling concentration profiles for deposited films using machine learning
US20220284342A1 (en) * 2021-03-04 2022-09-08 Applied Materials, Inc. Systems and methods for process chamber health monitoring and diagnostics using virtual model
KR102252144B1 (ko) * 2021-03-31 2021-05-17 (주)알티엠 플라즈마의 동작을 확인하는 전자 장치 및 그 동작 방법
US11586160B2 (en) 2021-06-28 2023-02-21 Applied Materials, Inc. Reducing substrate surface scratching using machine learning
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
US20230057145A1 (en) * 2021-08-23 2023-02-23 Applied Materials, Inc. Plasma chamber with a multiphase rotating cross-flow with uniformity tuning
US20230061513A1 (en) * 2021-08-27 2023-03-02 Applied Materials, Inc. Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment
US20230163002A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
US20230195061A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Manufacturing equipment parts quality management system
US20230195060A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Substrate support characterization to build a digital twin
US20230195074A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models
US20230295799A1 (en) * 2022-03-16 2023-09-21 Applied Materials, Inc. Process characterization and correction using optical wall process sensor (owps)
USD1031743S1 (en) 2022-05-06 2024-06-18 Applied Materials, Inc. Portion of a display panel with a graphical user interface
WO2023220680A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Virtual semiconductor fab environment
WO2024054380A1 (en) * 2022-09-08 2024-03-14 Lam Research Corporation Multi-sensor determination of a state of semiconductor equipment
KR20240047842A (ko) * 2022-10-05 2024-04-12 서울대학교산학협력단 기계학습이 적용된 자동화된 박막 증착 시스템 및 박막 증착 방법
US20240176334A1 (en) * 2022-11-28 2024-05-30 Applied Materials, Inc. Adjusting chamber performance by equipment constant updates
US20240176336A1 (en) * 2022-11-28 2024-05-30 Applied Materials, Inc. Chamber matching by equipment constant updates

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003529946A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ反応炉のための光学的なモニタ及び制御のシステム及び方法
JP2007531823A (ja) * 2004-04-02 2007-11-08 アプライド マテリアルズ インコーポレイテッド プラズマ処理システムにおける処理条件の制御のための方法及びシステム
JP2012532460A (ja) * 2009-06-30 2012-12-13 ラム リサーチ コーポレーション プラズマ処理ツールのためのイン・サイチュプロセス監視および制御のための方法と構成
JP2016012583A (ja) * 2014-06-27 2016-01-21 株式会社日立ハイテクノロジーズ プラズマ処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1186476C (zh) * 1997-09-17 2005-01-26 东京电子株式会社 检测并防止射频等离子体系统中电弧放电的装置和方法
US6700090B2 (en) * 2002-04-26 2004-03-02 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
KR100963519B1 (ko) * 2003-07-11 2010-06-15 주성엔지니어링(주) 높은 플라즈마 균일도를 가지는 유도성 결합 플라즈마발생장치 및 이를 이용한 플라즈마 균일도 제어 방법
KR100655445B1 (ko) * 2005-10-04 2006-12-08 삼성전자주식회사 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
WO2009140371A2 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US9435029B2 (en) * 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
US8932429B2 (en) 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
KR102521159B1 (ko) * 2014-11-25 2023-04-13 피디에프 솔루션즈, 인코포레이티드 반도체 제조 공정을 위한 개선된 공정 제어 기술

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003529946A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ反応炉のための光学的なモニタ及び制御のシステム及び方法
JP2007531823A (ja) * 2004-04-02 2007-11-08 アプライド マテリアルズ インコーポレイテッド プラズマ処理システムにおける処理条件の制御のための方法及びシステム
JP2012532460A (ja) * 2009-06-30 2012-12-13 ラム リサーチ コーポレーション プラズマ処理ツールのためのイン・サイチュプロセス監視および制御のための方法と構成
JP2016012583A (ja) * 2014-06-27 2016-01-21 株式会社日立ハイテクノロジーズ プラズマ処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7496363B2 (ja) 2019-02-14 2024-06-06 エーイーエス グローバル ホールディングス, プライベート リミテッド 遠隔プラズマ源のための保守
WO2024014363A1 (ja) * 2022-07-15 2024-01-18 東京エレクトロン株式会社 プラズマ処理システム、支援装置、支援方法及び支援プログラム

Also Published As

Publication number Publication date
TWI772325B (zh) 2022-08-01
US20180082826A1 (en) 2018-03-22
US9972478B2 (en) 2018-05-15
CN109715848B (zh) 2022-05-31
EP3512977A4 (en) 2020-05-13
EP3512977B1 (en) 2023-11-08
CN109715848A (zh) 2019-05-03
KR20190049796A (ko) 2019-05-09
KR102467120B1 (ko) 2022-11-14
US20180247798A1 (en) 2018-08-30
JP7045368B2 (ja) 2022-03-31
TW201826318A (zh) 2018-07-16
WO2018052698A1 (en) 2018-03-22
US10615009B2 (en) 2020-04-07
EP3512977A1 (en) 2019-07-24

Similar Documents

Publication Publication Date Title
JP7045368B2 (ja) 複雑な多変量ウエハ処理機器における機械学習を実行する方法及びプロセス
Hirai et al. Adaptive virtual metrology design for semiconductor dry etching process through locally weighted partial least squares
US10884400B2 (en) Matching process controllers for improved matching of process
KR20220133228A (ko) 반도체-제작 프로세스들을 위한 성능 예측기들
Lynn et al. Real-time virtual metrology and control for plasma etch
JP2018049936A (ja) 探索装置および探索方法
US11586794B2 (en) Semiconductor processing tools with improved performance by use of hybrid learning models
US11126172B2 (en) Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
WO2022169542A1 (en) Hybrid physics/machine learning modeling of processes
WO2023121835A1 (en) Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing
JP2020025116A (ja) 探索装置および探索方法
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
Lynn et al. Real-time virtual metrology and control of etch rate in an industrial plasma chamber
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230078146A1 (en) Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing
US20230195060A1 (en) Substrate support characterization to build a digital twin
US20240210916A1 (en) Machine and deep learning techniques for predicting ecological efficiency in substrate processing
WO2024145612A1 (en) Automated recipe health optimization
KR20240100395A (ko) 프로세스 레시피 최적화를 위한 방법들 및 메커니즘들
TW202338537A (zh) 用於使用物理為基模型的基板製造腔室的診斷方法
KR20240067834A (ko) 피처 모델들을 사용한 프로세스 레시피 생성 및 매칭
TW202347063A (zh) 製程控制旋鈕估計
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习
Bleakie Integrated performance prediction and quality control in manufacturing systems

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200914

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220318

R150 Certificate of patent or registration of utility model

Ref document number: 7045368

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150