TW202339233A - 3d鐵電記憶體裝置 - Google Patents

3d鐵電記憶體裝置 Download PDF

Info

Publication number
TW202339233A
TW202339233A TW112105312A TW112105312A TW202339233A TW 202339233 A TW202339233 A TW 202339233A TW 112105312 A TW112105312 A TW 112105312A TW 112105312 A TW112105312 A TW 112105312A TW 202339233 A TW202339233 A TW 202339233A
Authority
TW
Taiwan
Prior art keywords
source
horizontal direction
channel
pattern
gate electrode
Prior art date
Application number
TW112105312A
Other languages
English (en)
Inventor
李炅奐
金容錫
河大元
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW202339233A publication Critical patent/TW202339233A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/50Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

一種三維鐵電隨機存取記憶體(3D FeRAM)裝置包括:閘電極,在基板上在垂直方向上延伸;鐵電圖案及閘極絕緣圖案,在水平方向上堆疊於閘電極上以環繞閘電極;第一通道與第二通道,在閘極絕緣圖案的外側壁上在水平方向上彼此間隔開;第一源極/汲極圖案結構,在第一通道的外側壁上在垂直方向上彼此間隔開;以及第二源極/汲極圖案結構,在第二通道的外側壁上在垂直方向上彼此間隔開。

Description

3D鐵電記憶體裝置
[相關申請案的交叉參考]
本申請案主張於2022年3月28日在韓國智慧財產局提出申請的韓國專利申請案第10-2022-0038183號的優先權,所述韓國專利申請案的揭露內容全文併入本案供參考。
本發明概念的實例性實施例是有關於一種3D鐵電記憶體裝置。
鐵電隨機存取記憶體(ferroelectric random access memory,FeRAM)裝置或鐵電場效電晶體(ferroelectric field effect transistor,FeFET)可用作較動態隨機存取記憶體(dynamic random access memory,DRAM)裝置更簡單的記憶體裝置、以及作為快閃記憶體裝置的非揮發性記憶體裝置。近年來,為了具有高整合度,已經開發了三維(three-dimensional,3D)FeRAM裝置,然而,需要一種製造3D FeRAM裝置的增強方法。
本揭露的實例性實施例提供一種具有增強的整合度的3D鐵電記憶體裝置。
根據實例性實施例,提供一種3D FeRAM裝置。所述3D FeRAM裝置可包括閘電極、鐵電圖案、閘極絕緣圖案、第一通道及第二通道、第一源極/汲極圖案結構以及第二源極/汲極圖案結構。閘電極可在基板上在垂直方向上延伸。鐵電圖案及閘極絕緣圖案可在水平方向上堆疊於閘電極上,且鐵電圖案及閘極絕緣圖案可環繞閘電極。第一通道與第二通道可在閘極絕緣圖案的外側壁上在水平方向上彼此間隔開。第一源極/汲極圖案結構可在第一通道的外側壁上在垂直方向上彼此間隔開。第二源極/汲極圖案結構可在第二通道的外側壁上在垂直方向上彼此間隔開。
根據實例性實施例,提供一種3D FeRAM裝置。所述3D FeRAM裝置可包括第一閘電極、鐵電圖案、第二閘電極、閘極絕緣圖案、第一通道及第二通道、第一源極/汲極圖案結構以及第二源極/汲極圖案結構。第一閘電極可形成於基板上,且可在與基板的上表面實質上垂直的垂直方向上延伸。鐵電圖案、第二閘電極及閘極絕緣圖案可在水平方向上依序堆疊於第一閘電極上以環繞第一閘電極。第一通道與第二通道可在閘極絕緣圖案的外側壁上在水平方向上彼此間隔開。第一源極/汲極圖案結構可在第一通道的外側壁上在垂直方向上彼此間隔開。第二源極/汲極圖案結構可在第二通道的外側壁上在垂直方向上彼此間隔開。
根據實例性實施例,存在一種3D FeRAM裝置。所述3D FeRAM裝置可包括閘電極、鐵電圖案、閘極絕緣圖案、第一通道及第二通道、第一源極/汲極圖案結構、第二源極/汲極圖案結構以及字元線。閘電極可在基板上在第一水平方向及第二水平方向上彼此間隔開。第一水平方向與第二水平方向可彼此交叉。閘電極中的每一者可在垂直方向上延伸。鐵電圖案可分別環繞閘電極。閘極絕緣圖案可分別環繞鐵電圖案。第一通道與第二通道可形成於閘極絕緣圖案中的每一者的外側壁上,且可在第一水平方向上彼此間隔開。第一源極/汲極圖案結構可在第二水平方向上延伸,且可包括第一源極/汲極圖案及第二源極/汲極圖案,第一源極/汲極圖案接觸第一通道中的在第二方向上排列的多個第一通道的外側壁,第二源極/汲極圖案接觸第一源極/汲極圖案的在第一水平方向上的側壁。第二源極/汲極圖案結構可在第二水平方向上延伸,且可包括第三源極/汲極圖案及第四源極/汲極圖案,第三源極/汲極圖案接觸第二通道中的在第二方向上排列的多個第二通道的外側壁,第四源極/汲極圖案接觸第三源極/汲極圖案的在第一水平方向上的側壁。字元線可在第一水平方向上延伸,且可電性連接至第一閘電極中的在第一方向上排列的多個第一閘電極。
在根據實例性實施例的3D FeRAM裝置中,可形成單位胞元,單位胞元中的每一者可包括共享一個閘電極且在水平方向上彼此間隔開的一對通道,且因此3D FeRAM裝置可具有增強的整合度。
藉由參照附圖詳細闡述本揭露的實例性實施例,將更清楚地理解本揭露的以上及其他特徵。
應理解,當稱一元件或層位於另一元件或層「之上(over)」、「上方(above)」、「上(on)」、「下方(below)」、「之下(under)」、「下面(beneath)」、「連接至(connected to)」或「耦合至(coupled to)」另一元件或層時,所述一元件或層可直接位於另一元件或層之上、上方、上、下方、之下、下面、連接至或耦合至另一元件或層,或者可存在中間元件或層。相比之下,當稱一元件「直接位於另一元件或層之上」、「直接位於另一元件或層上方」、「直接位於另一元件或層上」、「直接位於另一元件或層下方」、「直接位於另一元件或層之下」、「直接位於另一元件或層下面」、「直接連接至」或「直接耦合至」另一元件或層時,則不存在中間元件或層。
在下文中,在說明書中(且未必在申請專利範圍中),可將與基板的上表面實質上平行且彼此交叉的兩個方向分別定義為第一方向D1及第二方向D2,且可將與基板的上表面實質上垂直的方向定義為第三方向D3。根據實例性實施例,第一方向D1與第二方向D2可彼此實質上垂直。
圖1是根據實例性實施例的三維(3D)鐵電記憶體裝置的平面圖。圖2是根據實施例的沿圖1所示的線A-A'截取的圖1所示3D鐵電記憶體裝置的剖視圖。
參照圖1及圖2,3D鐵電記憶體裝置可包括堆疊於第一基板100上的第一層間絕緣層110及蝕刻終止層120,且可在第三方向D3上在蝕刻終止層120上堆疊多個多層結構(multi-layered structure)。
第一基板100可包含半導體材料(例如,矽、鍺、矽-鍺等)或III-V族化合物半導體(例如GaP、GaAs、GaSb等)。在實例性實施例中,第一基板100可為絕緣體上矽(silicon-on-insulator,SOI)基板或絕緣體上鍺(germanium-on-insulator,GOI)基板。
第一層間絕緣層110可包含氧化物(例如,氧化矽),且蝕刻終止層120可包含金屬氧化物(例如,氧化鋁)。
可在第一基板100上形成各種類型的電路圖案(例如,電晶體、接觸插塞、配線等),第一基板100可被第一層間絕緣層110覆蓋。
多層結構可包括在第三方向D3上依序堆疊的源極/汲極圖案結構、第一絕緣圖案135及另一源極/汲極圖案結構。每一源極/汲極圖案結構可包括在第一方向D1上彼此接觸的第一源極/汲極圖案145與第二源極/汲極圖案260。
多層結構可藉由第二絕緣層190而在第三方向D3上彼此間隔開,且第一絕緣圖案135可進一步形成於多層結構中的最下部的一個多層結構與蝕刻終止層120之間以及多層結構中的最上部的一個多層結構上。圖2示出第一基板100上的三個多層結構,然而,本揭露並非僅限於此。
多層結構可在第二方向D2上延伸,且多個多層結構可藉由第四絕緣層270而在第一方向D1上彼此間隔開。多層結構中所包括的第二源極/汲極圖案260可在第二方向D2上延伸且接觸第四絕緣層270,並且第一源極/汲極圖案145亦可在第二方向D2上延伸且接觸第二源極/汲極圖案260的側壁。
根據實例性實施例,第一源極/汲極圖案145可包含例如被摻雜n型雜質的複晶矽,且第二源極/汲極圖案260可包含金屬(例如,鎢)。
第一絕緣圖案135及第四絕緣層270可包含氧化物,例如氧化矽。
根據實例性實施例,具有柱形狀的第一閘電極240可被形成為在第三方向D3上延伸穿過在第三方向D3上堆疊的各多層結構。根據實施例,可在第一閘電極240的下表面及側壁上形成具有杯形狀的鐵電圖案230。根據實施例,可在鐵電圖案230的下表面及外側壁上形成具有杯形狀的第一閘極絕緣圖案220。根據實施例,可在第一閘極絕緣圖案220的外側壁上形成包括第一通道172及第二通道174的通道結構176。
根據實例性實施例,多個第一閘電極240可在第一方向D1及第二方向D2上彼此間隔開,且因此,可界定第一閘電極陣列。第一閘電極陣列可包括第一閘電極行,第一閘電極行包括在第二方向D2上排列的多個第一閘電極240,且多個第一閘電極行可在第一方向D1上彼此間隔開。
根據實例性實施例,位於第一閘極絕緣圖案220的側壁上的第一通道172可在第二方向D2上彼此間隔開,且位於第一閘極絕緣圖案220的側壁上的第二通道174可在第二方向D2上彼此間隔開。根據實例性實施例,第一通道172可在第三方向D3上被第二絕緣層190劃分成多個第一通道172,且第二通道174可在第三方向D3上被第二絕緣層190劃分成多個第二通道174。
第一閘電極240可包含金屬(例如,鎢),鐵電圖案230可包含被摻雜例如鋯(Zr)、矽(Si)、鋁(Al)、釔(Y)、釓(Gd)、鑭(La)、鈧(Sc)、鍶(Sr)等的氧化鉿,且第一閘極絕緣圖案220可包含氧化物(例如,氧化矽)。
根據實例性實施例,第一通道172及第二通道174中的每一者可包含半導體材料,例如複晶矽、經摻雜複晶矽、矽-鍺等。作為另外一種選擇,第一通道172及第二通道174中的每一者可包含氧化物半導體材料,例如IGZO、Sn-IGZO、IWO、CuS 2、CuSe 2、WSe 2、IZO、ZTO、YZO等。作為另外一種選擇,第一通道172及第二通道174中的每一者可包含二維(two-dimensional,2D)材料,例如MoS 2、MoSe 2、WS 2等。
根據實例性實施例,多層結構中的第一源極/汲極圖案145可接觸在第二方向D2上排列的各第一通道172的外側壁。另外,多層結構中的第一源極/汲極圖案145可接觸在第二方向D2上排列的各第二通道174的外側壁。
根據實例性實施例,第一源極/汲極圖案145可在第一方向D1上被第三絕緣層210劃分,第三絕緣層210在第二方向D2上延伸穿過在第三方向D3上堆疊於在第二方向D2上排列的各第一閘電極240中的相鄰的第一閘電極240之間的多層結構。另外,第一通道172與第二通道174可藉由第三絕緣層210而在第一方向D1上彼此間隔開。第三絕緣層210可接觸位於第一閘電極240中的每一者的側壁上的第一閘極絕緣圖案220的外側壁。第三絕緣層210可包含氧化物,例如氧化矽。
根據實例性實施例,可在第一閘電極240中的每一者的上表面上形成第一接觸插塞290,且可在第一接觸插塞290的側壁上形成第二層間絕緣層280。另外,可在第二層間絕緣層280上形成第三層間絕緣層300,且第一配線310可延伸穿過第三層間絕緣層300以接觸第一接觸插塞290的上表面。
根據實例性實施例,第一配線310可在第一方向D1上延伸,且多條第一配線310可在第二方向D2上彼此間隔開。根據實例性實施例,第一閘電極陣列中的第一閘電極240中的在第一方向D1上排列的多個第一閘電極240可分別經由第一接觸插塞290電性連接至第一配線310,且可用作字元線。
第一接觸插塞290及第一配線310可包含金屬、金屬氮化物、金屬矽化物等,且第二層間絕緣層280及第三層間絕緣層300可包含氧化物,例如氧化矽。
根據實例性實施例,可在圖2所示區X中形成3D FeRAM裝置中的單位胞元(unit cell)。
根據實施例,單位胞元可包括延伸穿過每一多層結構的第一閘電極240的一部分、鐵電圖案230的一部分、在與第一基板100的上表面實質上平行的水平方向上依序堆疊的第一閘極絕緣圖案220及第一通道172的一部分、以及分別接觸第一通道172的上部部分及下部部分的源極/汲極圖案結構。源極/汲極圖案結構中的一者可用作源極,而源極/汲極圖案結構中的另一者可用作汲極。
根據實施例,單位胞元可包括延伸穿過每一多層結構的第一閘電極240的一部分、鐵電圖案230的一部分、在水平方向上依序堆疊的第一閘極絕緣圖案220及第二通道174的一部分、以及分別接觸第二通道174的上部部分及下部部分的源極/汲極圖案結構。同樣,源極/汲極圖案結構中的一者可用作源極,而源極/汲極圖案結構中的另一者可用作汲極。
亦即,共享第一閘電極240且分別包括第一通道172及第二通道174的各單位胞元可在第一方向D1上彼此面對,且因此3D FeRAM裝置的整合度可得到增強。
圖3至圖16是示出根據實例性實施例的製造3D FeRAM裝置的方法的平面圖及剖視圖。具體而言,圖3、圖5、圖7、圖9、圖11、圖13及圖15是平面圖,圖4、圖6、圖8、圖10、圖14及圖16分別是沿對應的平面圖的線A-A'截取的剖視圖,且圖12是沿圖11的線B-B'截取的剖視圖。
參照圖3及圖4,可在第一基板100上依序堆疊第一層間絕緣層110、蝕刻終止層120及第一絕緣層130,可在第一絕緣層130上交替且重複地形成多層(multi-layer)(140/130/140)及第一犧牲層150,並且第一絕緣層130可形成於最上部的多層上。
根據實例性實施例,多層可包括在第三方向D3上依序堆疊的第一源極/汲極層140、第一絕緣層130及另一第一源極/汲極層140。
第一犧牲層150可包含相對於第一絕緣層130具有蝕刻選擇性的材料。舉例而言,第一犧牲層150可包含例如氮化矽等絕緣氮化物。
圖4示出堆疊於第一基板100上的三個多層,然而,本揭露並非僅限於此,且可在第一基板100上堆疊多個多層。
可在第一基板100上形成各種類型的電路圖案(例如,電晶體、接觸插塞、配線等),第一層間絕緣層110可形成於第一基板100上。
參照圖5及圖6,舉例而言,可實行乾法蝕刻製程以形成穿過第一絕緣層130、多層及第一犧牲層150的孔160,孔160可暴露出蝕刻終止層120的上表面。
根據實例性實施例,多個孔160可被形成為在第一方向D1及第二方向D2上彼此間隔開。舉例而言,在第二方向D2上彼此間隔開的多個孔160可形成孔行,且多個孔行可在第一方向D1上彼此間隔開。
參照圖7及圖8,可在孔160的底部及側壁以及第一絕緣層130中的最上部的第一絕緣層130的上表面上形成通道層170,且可對通道層170實行各向異性蝕刻製程。
因此,除了在孔160的側壁上之外,亦可自孔160的底部及第一絕緣層130中的最上部的第一絕緣層130的上表面移除通道層170。由於在第一方向D1及第二方向D2上排列的孔160形成孔陣列,因此在第一方向D1及第二方向D2上排列的通道層170亦可形成通道層陣列。通道層陣列可包括在第一方向D1上排列的多個通道層行,且所述多個通道層行中的每一者可包括在第二方向D2上排列的多個通道層170。
可形成第二犧牲層180以使用第二犧牲層180側壁上的通道層170來填充孔160。第二犧牲層180可包含絕緣氮化物,例如氮化矽。
參照圖9及圖10,舉例而言,可實行乾法蝕刻製程以形成穿過第一絕緣層130、多層及第一犧牲層150的第一開口,第一開口可暴露出蝕刻終止層120的上表面,且舉例而言,可實行濕法蝕刻製程以移除被第一開口暴露出的第一犧牲層150來形成第一間隙。
根據實例性實施例,第一開口可在第二方向D2上延伸,且多個第一開口可在第一方向D1上彼此間隔開。第一開口中的每一者可形成於通道層行之間。
隨著第一開口的形成,第一絕緣層130、多層及第一犧牲層150可分別被劃分成第一絕緣圖案135、初步多層結構及第一犧牲圖案,第一絕緣圖案135、初步多層結構及第一犧牲圖案中的每一者可在第二方向D2上延伸。初步多層結構中的每一者可包括第一源極/汲極圖案145、第一絕緣圖案135及另一第一源極/汲極圖案145。
當藉由濕法蝕刻製程移除第一犧牲層150時,通道層170可被第一間隙部分地暴露出,且可移除通道層170的被第一間隙暴露出的部分。因此,第一間隙可在水平方向上擴大,且可移除可在第三方向D3上延伸的通道層170的被第一間隙暴露出的部分,使得通道層170可被劃分成在第三方向D3上彼此間隔開的多個初步通道175。
由於在第一方向D1及第二方向D2上排列的通道層170形成通道層陣列,因此初步通道175可在每一水平高度處在第一方向D1及第二方向D2上排列以形成初步通道陣列。初步通道陣列可包括在第一方向D1上排列的多個初步通道行,且所述多個初步通道行中的每一者可包括在第二方向D2上彼此間隔開的多個初步通道175。
可形成第二絕緣層190來填充第一間隙,且可形成第三犧牲層200來填充第一開口。第三犧牲層200可包含絕緣氮化物,例如氮化矽。
參照圖11及圖12,舉例而言,可實行乾法蝕刻製程以形成穿過第一絕緣圖案135、初步多層結構、第一犧牲圖案、第二絕緣層190及初步通道175的第二開口,第二開口可暴露出蝕刻終止層120的上表面,且可在第二開口中形成第三絕緣層210。
根據實例性實施例,第二開口可在初步通道175中的在第二方向D2上排列的多個初步通道175之間在第二方向D2上延伸,所述多個初步通道175可分別包括於初步通道行中的相鄰的初步通道行中,且第二開口可延伸穿過在第二方向D2上彼此面對的初步通道175的部分。因此,初步通道175中的每一者可在第一方向D1上被劃分成兩部分,且在下文中,藉由第二開口而彼此間隔開的一對初步通道175可分別被稱為第一通道172及第二通道174。
參照圖13及圖14,可移除第二犧牲層180以暴露出第一通道172及第二通道174的內側壁、第二絕緣層190的內側壁及蝕刻終止層120的上表面。在藉由移除第二犧牲層180而提供的空間中,可在第一通道172及第二通道174的內側壁、第二絕緣層190的內側壁、蝕刻終止層120的上表面、以及第一通道172及第二通道174的上表面、第一絕緣圖案135中的最上部的第一絕緣圖案135的上表面、第三犧牲層200的上表面及第三絕緣層210的上表面上依序堆疊閘極絕緣層、鐵電層及第一閘電極層。
可對第一閘電極層、鐵電層及閘極絕緣層進行平坦化直至暴露出第一絕緣圖案135中的最上部的第一絕緣圖案135的上表面為止,以在孔160中分別形成第一閘電極240、鐵電圖案230及第一閘極絕緣圖案220。
根據實例性實施例,第一閘電極240可具有在第三方向D3上延伸的柱形狀,鐵電圖案230可具有形成於第一閘電極240的側壁及下表面上的杯形狀,且第一閘極絕緣圖案220可具有形成於鐵電圖案230的外側壁及下表面上的杯形狀。
在第一閘極絕緣圖案220的外側壁上,可形成第一通道172及第二通道174的內側壁、第二絕緣層190的內側壁及第三絕緣層210的側壁。
參照圖15及圖16,可移除第三犧牲層200以再次形成第一開口,可部分地移除被第一開口暴露出的第一源極/汲極圖案145以形成凹槽,且可在凹槽中形成第二源極/汲極圖案260。
根據實例性實施例,可藉由濕法蝕刻製程來形成凹槽,且在一些實施例中,可完全移除第一源極/汲極圖案145。第二源極/汲極圖案260可在第二方向D2上延伸,且可接觸第一源極/汲極圖案145。
彼此接觸的第一源極/汲極圖案145與第二源極/汲極圖案260可形成源極/汲極圖案結構。在第三方向D3上依序堆疊的源極/汲極圖案結構、第一絕緣圖案135及另一源極/汲極圖案結構可形成多層結構。
可形成第四絕緣層270來填充第一開口。
再次參照圖1及圖2,可在上述結構上形成第二層間絕緣層280,且可穿過第二層間絕緣層280而形成第一接觸插塞290以接觸第一閘電極240的上表面。
可在第二層間絕緣層280及第一接觸插塞290上形成第三層間絕緣層300,且可穿過第三層間絕緣層300而形成第一配線310以接觸第一接觸插塞290的上表面。
根據實例性實施例,第一配線310可在第一方向D1上延伸,且可共同接觸各第一接觸插塞290的上表面。
藉由以上製程,3D FeRAM裝置可製造而成。
圖17是示出根據實例性實施例的3D FeRAM裝置的剖視圖,圖17可對應於圖2。
除了不包括第二源極/汲極圖案260之外,此3D FeRAM裝置可實質上相同於或相似於圖1及圖2所示3D FeRAM裝置。
可藉由不實行與參照圖15及圖16所示的製程實質上相同或相似的製程來製造此3D FeRAM裝置,例如,用於部分地移除第一源極/汲極圖案145以形成凹槽以及在凹槽中形成第二源極/汲極圖案260的製程。
因此,當實行與參照圖9及圖10所示的製程實質上相同或相似的製程時,第二絕緣層190不僅可形成於第一間隙中,且亦可形成於第一開口中,並且可不被移除。
圖18及圖19分別是示出根據實例性實施例的3D FeRAM裝置的平面圖及剖視圖,圖18及圖19可分別對應於圖1及圖2。
除了更包括位於鐵電圖案230與第三閘極絕緣圖案224之間的第二閘電極332之外,此3D FeRAM裝置可實質上相同於或相似於圖1及圖2所示3D FeRAM裝置。
第二閘電極332可包含金屬,例如鎢。因此,可在包含金屬的第一閘電極240與第二閘電極332之間形成鐵電圖案230,且因此,鐵電圖案230的電特性可得到增強。
根據實例性實施例,第二閘電極332可形成於鐵電圖案230的外側壁上,且多個第二閘電極332可在第三方向D3上彼此間隔開。
與參照圖1及圖2所示的第一閘極絕緣圖案220不同,可形成於第二閘電極332與第一通道172及第二通道174中的每一者之間的多個第三閘極絕緣圖案224可被形成為在第三方向D3上彼此間隔開。亦即,在水平方向上依序堆疊的第二閘電極332、第三閘極絕緣圖案224及第一通道172可形成於鐵電圖案230的外側壁上,或者在水平方向上依序堆疊的第二閘電極332、第三閘極絕緣圖案224及第二通道174可形成於鐵電圖案230的外側壁上。
圖20至圖24是示出根據實例性實施例的製造3D FeRAM裝置的方法的平面圖及剖視圖。圖20、圖22及圖24是平面圖,且圖21及圖23分別是沿對應的平面圖的線A-A'截取的剖視圖。
製造3D FeRAM裝置的此種方法可包括與參照圖1至圖16所示的製程實質上相同或相似的製程,且因此在本文中省略其重複說明。
參照圖20及圖21,可實行與參照圖3至圖8所示的製程相似的製程。
然而,在孔160的底部及側壁以及第一絕緣層130中的最上部的第一絕緣層130的上表面上可不僅依序堆疊有通道層170且亦依序堆疊有閘極絕緣層及第二閘電極層330,並且可對第二閘電極層330、閘極絕緣層及通道層170實行各向異性蝕刻製程。
因此,可在孔160的側壁上形成在水平方向上依序堆疊的通道層170、第二閘極絕緣圖案222及第二閘電極層330。
可形成第二犧牲層180來填充孔160的剩餘部分。
參照圖22及圖23,可實行與參照圖9及圖10所示的製程實質上相同或相似的製程。
然而,當藉由濕法蝕刻製程移除第一犧牲層150時,通道層170可被第一間隙部分地移除,且不僅可移除通道層170的被暴露出的部分,且亦可移除第二閘極絕緣圖案222及與其相鄰的第二閘電極層330的部分。
因此,在第三方向D3上延伸的通道層170可被劃分成在第三方向D3上彼此間隔開的多個初步通道175,且各自可在第三方向D3上延伸的第二閘極絕緣圖案222及第二閘電極層330可分別被劃分成第三閘極絕緣圖案224及第二閘電極332。
參照圖24,可實行與參照圖11至圖12所示的製程實質上相同或相似的製程。
因此,可藉由乾法蝕刻製程穿過第一絕緣圖案135、初步多層結構、第一犧牲圖案、第二絕緣層190及初步通道175而形成第二開口,且可在第二開口中形成第三絕緣層210。
再次參照圖18及圖19,可實行與參照圖13至圖16以及圖1及圖2所示的製程實質上相同或相似的製程以完成3D FeRAM裝置的製作。
可在鐵電圖案230的外側壁上形成第二閘電極332,可在第二閘電極332的外側壁上形成第三閘極絕緣圖案224,且可在第三閘極絕緣圖案224的外側壁上形成第一通道172及第二通道174中的每一者。
圖25至圖28分別是示出根據實例性實施例的3D FeRAM裝置的剖視圖,圖25至圖28可對應於圖2。
除了一些元件之外,該些3D FeRAM裝置可與圖1及圖2所示3D FeRAM裝置實質上相同或相似,且因此,在本文中省略其重複闡釋。
參照圖25,可在區Y中形成3D FeRAM裝置的單位胞元。
根據實施例,可在多層結構中的每一者中形成彼此間隔開的三個源極/汲極圖案結構,該些多層結構可藉由第二絕緣層190而在第三方向D3上彼此間隔開。舉例而言,第三源極/汲極圖案結構可分別用作源極、汲極及源極。
根據實施例,在第三方向D3上排列的三個源極/汲極圖案結構中的中間的源極/汲極圖案結構可分別用作多層結構中的每一者的上部部分及下部部分的單位胞元的共用汲極。
參照圖26,可在區Z中形成3D FeRAM裝置的單位胞元。
根據實施例,可不形成在第三方向D3上劃分多層結構中的每一者的第二絕緣層190,且在第三方向D3上堆疊的源極/汲極圖案結構可自最下部的水平高度朝最上部的水平高度交替地用作源極及汲極。
參照圖27,3D FeRAM裝置可包括位於第一基板100上的第一層間絕緣層110中的下部電路圖案,且因此可具有周邊胞元(cell over periphery,COP)結構。
在實例性實施例中,下部電路圖案可包括電晶體、第二接觸插塞至第四接觸插塞442、444及460以及第二配線至第四配線452、454及470。
所述電晶體可包括閘極結構430以及第一雜質區102及第二雜質區104,閘極結構430位於主動圖案上,主動圖案的側壁可被第一基板100上的隔離圖案105覆蓋,第一雜質區102及第二雜質區104分別位於主動圖案的與閘極結構430相鄰的上部部分處。閘極結構430可包括在第三方向D3上堆疊的第四閘極絕緣圖案410及第三閘電極420,且第一雜質區102及第二雜質區104可分別用作源極及汲極。
第二接觸插塞442及第三接觸插塞444可分別接觸第一雜質區102及第二雜質區104的上表面,且第二配線452及第三配線454可分別接觸第二接觸插塞442及第三接觸插塞444的上表面。第四接觸插塞460可接觸第二配線452的上表面,且第四配線470可接觸第四接觸插塞460的上表面。
根據實例性實施例,第四配線470可電性連接至在第一方向D1上排列的多個第一閘電極240,且可用作字元線。電晶體可經由第二接觸插塞442及第四接觸插塞460以及第二配線452電性連接至第四配線470,且可用作閘極選擇電晶體。
參照圖28,3D FeRAM裝置可包括位於第二基板500上的第四層間絕緣層510中的下部電路圖案,並且參照圖1及圖2示出的結構可進行顛倒且可形成於第二基板500上。
因此,第二基板500上的閘極選擇電晶體可經由第二接觸插塞442及第四接觸插塞460以及第二配線452電性連接至第一配線310。
可在第二基板500上的隔離圖案505中的主動圖案上形成閘極選擇電晶體中所包括的閘極結構430,且可在主動圖案的與閘極結構430相鄰的上部部分處形成第一雜質區502及第二雜質區504。
儘管已經參照本揭露的實例性實施例示出及闡述了本揭露,但對於此項技術中具有通常知識者而言將顯而易見的是,在不背離由以下申請專利範圍所述的本揭露的精神及範圍的條件下,可對其進行形式及細節上的各種潤飾。
100:第一基板 105、505:隔離圖案 102、502:第一雜質區 104、504:第二雜質區 110:第一層間絕緣層 120:蝕刻終止層 130:第一絕緣層/層 135:第一絕緣圖案 140:第一源極/汲極層/層 145:第一源極/汲極圖案 150:第一犧牲層 160:孔 170:通道層 172:第一通道 174:第二通道 175:初步通道 176:通道結構 180:第二犧牲層 190:第二絕緣層 200:第三犧牲層 210:第三絕緣層 220:第一閘極絕緣圖案 222:第二閘極絕緣圖案 224:第三閘極絕緣圖案 230:鐵電圖案 240:第一閘電極 260:第二源極/汲極圖案 270:第四絕緣層 280:第二層間絕緣層 290:第一接觸插塞 300:第三層間絕緣層 310:第一配線 330:第二閘電極層 332:第二閘電極 410:第四閘極絕緣圖案 420:第三閘電極 430:閘極結構 442:第二接觸插塞 444:第三接觸插塞 452:第二配線 454:第三配線 460:第四接觸插塞 470:第四配線 500:第二基板 510:第四層間絕緣層 A-A'、B-B':線 D1:第一方向 D2:第二方向 D3:第三方向 X、Y、Z:區
圖1是根據實例性實施例的三維(3D)鐵電記憶體裝置的平面圖。 圖2是根據實施例的沿圖1所示的線A-A'截取的圖1所示3D鐵電記憶體裝置的剖視圖。 圖3至圖16是示出根據實例性實施例的製造3D FeRAM裝置的方法的平面圖及剖視圖。 圖17是示出根據實例性實施例的3D FeRAM裝置的剖視圖。 圖18及圖19分別是示出根據實例性實施例的3D FeRAM裝置的平面圖及剖視圖。 圖20至圖24是示出根據實例性實施例的製造3D FeRAM裝置的方法的平面圖及剖視圖。 圖25至圖28分別是示出根據實例性實施例的3D FeRAM裝置的剖視圖。
100:第一基板
110:第一層間絕緣層
120:蝕刻終止層
135:第一絕緣圖案
145:第一源極/汲極圖案
172:第一通道
174:第二通道
176:通道結構
190:第二絕緣層
220:第一閘極絕緣圖案
230:鐵電圖案
240:第一閘電極
260:第二源極/汲極圖案
270:第四絕緣層
280:第二層間絕緣層
290:第一接觸插塞
310:第一配線
A-A':線
D1:第一方向
D2:第二方向
D3:第三方向
X:區

Claims (10)

  1. 一種三維鐵電隨機存取記憶體(3D FeRAM)裝置,包括: 第一閘電極,在基板上在垂直方向上延伸; 第一鐵電圖案及第一閘極絕緣圖案,在第一水平方向上堆疊於所述第一閘電極上以環繞所述第一閘電極; 第一通道與第二通道,在所述第一閘極絕緣圖案的外側壁上在所述第一水平方向上彼此間隔開; 第一源極/汲極圖案結構,在所述第一通道的外側壁上在所述垂直方向上彼此間隔開;以及 第二源極/汲極圖案結構,在所述第二通道的外側壁上在所述垂直方向上彼此間隔開。
  2. 如請求項1所述的三維鐵電隨機存取記憶體裝置,其中所述第一閘電極是在與所述第一水平方向交叉的第二水平方向上排列的多個第一閘電極中的一者,所述多個第一閘電極形成第一閘電極行,且所述第一鐵電圖案、所述第一閘極絕緣圖案以及所述第一通道及所述第二通道形成於所述第一閘電極行中所包括的所述多個第一閘電極中的每一者的側壁上, 其中所述第一源極/汲極圖案結構中的每一者在所述第二水平方向上延伸,且接觸在所述第二水平方向上排列的所述第一通道的外側壁,並且 其中所述第二源極/汲極圖案結構中的每一者在所述第二水平方向上延伸,且接觸在所述第二水平方向上排列的所述第二通道的外側壁。
  3. 如請求項2所述的三維鐵電隨機存取記憶體裝置,其中所述第一通道與所述第二通道在所述第一水平方向上彼此間隔開。
  4. 如請求項3所述的三維鐵電隨機存取記憶體裝置,其中所述第一閘電極行是在所述第一水平方向上彼此間隔開的多個第一閘電極行中的一者,所述多個第一閘電極行形成第一閘電極陣列,且 其中所述三維鐵電隨機存取記憶體更包括在所述第一水平方向上延伸的字元線,所述字元線電性連接至所述第一閘電極陣列中所包括的所述多個第一閘電極中的在所述第一水平方向上排列的多個第一閘電極。
  5. 如請求項3所述的三維鐵電隨機存取記憶體裝置,其中所述第一源極/汲極圖案結構中的每一者包括: 第一源極/汲極圖案,接觸所述第一通道的所述外側壁;以及 第二源極/汲極圖案,接觸所述第一源極/汲極圖案的在所述第一水平方向上的側壁,且 其中所述第二源極/汲極圖案結構中的每一者包括: 第三源極/汲極圖案,接觸所述第二通道的所述外側壁;以及 第四源極/汲極圖案,接觸所述第三源極/汲極圖案的在所述第一水平方向上的側壁。
  6. 如請求項1所述的三維鐵電隨機存取記憶體裝置,更包括位於第二鐵電圖案與第二閘極絕緣圖案之間的第二閘電極。
  7. 如請求項6所述的三維鐵電隨機存取記憶體裝置,其中所述第一閘電極及所述第二閘電極中的每一者包含金屬。
  8. 如請求項6所述的三維鐵電隨機存取記憶體裝置,其中所述第二鐵電圖案中的每一者在所述垂直方向上延伸,且 其中所述第二閘電極是在所述垂直方向上彼此間隔開的多個第二閘電極中的一者。
  9. 一種三維鐵電隨機存取記憶體(3D FeRAM)裝置,包括: 第一閘電極,位於基板上,所述第一閘電極在與所述基板的上表面實質上垂直的垂直方向上延伸; 鐵電圖案、第二閘電極及閘極絕緣圖案,在水平方向上依序堆疊於所述第一閘電極上以環繞所述第一閘電極; 第一通道與第二通道,在所述閘極絕緣圖案的外側壁上在所述水平方向上彼此間隔開; 第一源極/汲極圖案結構,在所述第一通道的外側壁上在所述垂直方向上彼此間隔開;以及 第二源極/汲極圖案結構,在所述第二通道的外側壁上在所述垂直方向上彼此間隔開。
  10. 一種三維鐵電隨機存取記憶體(3D FeRAM)裝置,包括: 閘電極,在基板上在第一水平方向及第二水平方向上彼此間隔開,所述第一水平方向與所述第二水平方向彼此交叉,且所述閘電極中的每一者在垂直方向上延伸; 鐵電圖案,分別環繞所述閘電極; 閘極絕緣圖案,分別環繞所述鐵電圖案; 第一通道及第二通道,位於所述閘極絕緣圖案中的每一者的外側壁上,所述第一通道與所述第二通道在所述第一水平方向上彼此間隔開; 第一源極/汲極圖案結構,在所述第二水平方向上延伸且包括: 第一源極/汲極圖案,接觸所述第一通道中的在所述第二水平方向上排列的多個第一通道的外側壁;以及 第二源極/汲極圖案,接觸所述第一源極/汲極圖案的在所述第一水平方向上的側壁; 第二源極/汲極圖案結構,在所述第二水平方向上延伸且包括: 第三源極/汲極圖案,接觸所述第二通道中的在所述第二水平方向上排列的多個第二通道的外側壁;以及 第四源極/汲極圖案,接觸所述第三源極/汲極圖案的在所述第一水平方向上的側壁;以及 字元線,在所述第一水平方向上延伸,所述字元線電性連接至所述閘電極中的在所述第一水平方向上排列的多個第一閘電極。
TW112105312A 2022-03-28 2023-02-15 3d鐵電記憶體裝置 TW202339233A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020220038183A KR20230139624A (ko) 2022-03-28 2022-03-28 3차원 강유전체 메모리 장치
KR10-2022-0038183 2022-03-28

Publications (1)

Publication Number Publication Date
TW202339233A true TW202339233A (zh) 2023-10-01

Family

ID=88096948

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112105312A TW202339233A (zh) 2022-03-28 2023-02-15 3d鐵電記憶體裝置

Country Status (4)

Country Link
US (1) US20230309314A1 (zh)
KR (1) KR20230139624A (zh)
CN (1) CN116828860A (zh)
TW (1) TW202339233A (zh)

Also Published As

Publication number Publication date
US20230309314A1 (en) 2023-09-28
CN116828860A (zh) 2023-09-29
KR20230139624A (ko) 2023-10-05

Similar Documents

Publication Publication Date Title
US11335697B2 (en) Vertical memory devices having contact plugs vertically extending through plurality of gate electrodes and contacting lower circuit pattern
TWI737160B (zh) 整合互補式場效電晶體之結構及sram位元單元
US20240114677A1 (en) Semiconductor device and method of manufacturing the same
CN108389865B (zh) 具有倾斜栅电极的三维半导体存储器件
US20220336574A1 (en) Semiconductor device including capacitor and method of forming the same
TW202201743A (zh) 三維記憶體裝置及其製造方法
TWI830993B (zh) 半導體元件
US9773790B1 (en) Semiconductor device and method for forming the same
TWI820715B (zh) 半導體裝置
TW202322361A (zh) 半導體裝置
TW202339233A (zh) 3d鐵電記憶體裝置
US10930671B2 (en) Vertical memory devices
TW202145441A (zh) 三維記憶體元件及其製造方法
TWI831664B (zh) 3d鐵電記憶體裝置
TWI843223B (zh) 去耦電容結構和包括其的半導體裝置
TWI814592B (zh) 半導體裝置
US20240164108A1 (en) Three-dimensional ferroelectric memory devices
US20240081079A1 (en) Semiconductor device
KR102622628B1 (ko) 3차원 적층형 디램 어레이 및 그 제조방법
TWI817447B (zh) 三維記憶體陣列之結構
US20230034533A1 (en) Semiconductor device
WO2024040645A1 (zh) 半导体结构及其制造方法、存储器
TW202324698A (zh) 去耦電容結構和包括其的半導體裝置
TW202306036A (zh) 半導體裝置
KR20220157142A (ko) 반도체 메모리 장치 및 그 제조 방법