TW202335172A - 用於支持多個半導體處理模組或腔室的模組化主機佈局 - Google Patents

用於支持多個半導體處理模組或腔室的模組化主機佈局 Download PDF

Info

Publication number
TW202335172A
TW202335172A TW111141028A TW111141028A TW202335172A TW 202335172 A TW202335172 A TW 202335172A TW 111141028 A TW111141028 A TW 111141028A TW 111141028 A TW111141028 A TW 111141028A TW 202335172 A TW202335172 A TW 202335172A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
amm
efem
transfer
Prior art date
Application number
TW111141028A
Other languages
English (en)
Inventor
蘭迪A 哈理斯
卡比史考特 葛瑞夫
保羅扎卡里 沃思
艾維納許 向塔蘭
阿培 夷馬茲
阿米爾 尼桑
吉天德拉蒂拉爾 辛姆嘉尼
尼蘭賈納 普林格
文森 狄卡普里歐
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/513,631 external-priority patent/US11935771B2/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202335172A publication Critical patent/TW202335172A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本案提供用於將小晶片接合至基板的方法及設備。在一些實施例中,一種用於處理基板的多腔室處理工具包括:第一設備前端模組(EFEM)及第二EFEM,第一EFEM具有用於接收一或更多種基板的一或更多個載入埠,且第二EFEM具有一或更多個載入埠;及複數個大氣模組主機(AMM),彼此耦接並具有耦接至第一EFEM的第一AMM及耦接至第二EFEM的最末AMM,其中複數個AMM中的每一個包括移送腔室及耦接至此移送腔室的一或更多個處理腔室,其中移送腔室包括緩衝器,並且其中移送腔室包括移送機器人、一或更多個處理腔室,及安置在該等AMM中之相鄰AMM中的一緩衝器。

Description

用於支持多個半導體處理模組或腔室的模組化主機佈局
本揭示案之實施例大體係關於基板處理設備。
在製造半導體積體電路裝置期間,基板經歷各種製程。該等製程中的一些製程包括晶圓切割,其中處理過的晶圓置於切割帶上並被切割或分成複數個晶粒或小晶片。一旦晶圓切割好,小晶片通常留在切割帶上直到其被提取並接合至基板。用於清潔小晶片、切割小晶片並將小晶片接合至基板的習知處理工具通常包括容納在主機工具中的多個工具或單個線性機器人。許多腔室或處理模組可耦接至主機,並通常決定主機及單個線性機器人的長度。然而,包含容納在主機中的單個線性機器人的工具,可提供受限的可延伸性及處理產出率。
因此,發明者提供了用於處理基板的改進的多腔室處理工具。
本案提供將小晶片接合至基板的方法及設備。在一些實施例中,一種用於處理基板的多腔室處理工具包括:第一設備前端模組(equipment front end module; EFEM),具有用於接收一或更多種基板的一或更多個載入埠;第二EFEM,具有用於在多腔室處理工具中與第一EFEM相對的側上接收一或更多種基板的一或更多個載入埠;及複數個大氣模組主機(atmospheric modular mainframe; AMM),彼此耦接並具有耦接至第一EFEM的第一AMM及耦接至第二EFEM的最後AMM,其中複數AMM中的每一個包括移送腔室及耦接至此移送腔室的一或更多個處理腔室,其中移送腔室包括用以保持複數個一或更多種基板的緩衝器,並且其中移送腔室包括用以在緩衝器、一或更多個處理腔室、及安置在該等AMM之相鄰AMM中的緩衝器之間移送一或更多種基板的移送機器人。
在一些實施例中,一種用於處理基板的多腔室處理工具包括:第一設備前端模組(equipment front end module; EFEM),具有一或更多個用於接收第一種基板的第一載入埠,一或更多個用於接收具有複數個小晶片之第二種基板的第二載入埠,及EFEM機器人,用於移送第一種基板及第二種基板;第二EFEM,具有一或更多個用於接收第一種基板的第二載入埠,一或更多個用於接收具有複數個小晶片之第二種基板的第二載入埠,及EFEM機器人,用於移送第一種基板及第二種基板;及複數個大氣模組主機(atmospheric modular mainframe; AMM),彼此耦接並具有耦接至第一EFEM的第一AMM及耦接至第二EFEM的最後AMM,其中該等AMM中之每一個包括移送腔室及一或更多個處理腔室,該等處理腔室包含以下耦接至移送腔室的至少一者:濕式清潔腔室、電漿腔室、脫氣腔室、輻射腔室、或接合器腔室,其中移送腔室包括用以保持第一種基板中的一或更多個及第二種基板中的一或更多個的緩衝器,並且其中移送腔室包括用以在緩衝器、一或更多個處理腔室、及安置在該等AMM之相鄰AMM中的緩衝器之間移送第一種基板及第二種基板的移送機器人;並且其中該等AMM中之第一AMM的一或更多個處理腔室包括電漿腔室或脫氣腔室中的至少一個並包括濕式清潔腔室,該等AMM中耦接至第一AMM的第二AMM包含電漿腔室或脫氣腔室中的至少一個,並且該等AMM中耦接至第二AMM的第三AMM包含一或更多個接合器腔室,該等接合器腔室用以從第二種基板移除該等小晶片並將該等小晶片接合至第一種基板。
在一些實施例中,一種將複數個小晶片接合至基板上的方法,包括以下步驟:將第一種基板載入具有複數個AMM的多腔室處理工具的設備前端模組(equipment front end module; EFEM)的第一載入埠上;使用EFEM機器人將第一種基板移送至第一緩衝器,第一緩衝器安置在耦接至EFEM的第一AMM中;將第一種基板從第一緩衝器連續地移送至第一濕式清潔腔室以執行清潔製程,移送至第一脫氣腔室以執行脫氣製程以乾燥第一種基板,移送至第一電漿腔室以執行電漿蝕刻製程以從第一種基板移除不需要的材料,及移送至接合器腔室;使用EFEM機器人移送具有複數個小晶片的第二種基板至第一緩衝器;將第二種基板從第一緩衝器連續地移送至第二濕式清潔腔室以執行清潔製程,移送至第二脫氣腔室以執行脫氣製程以乾燥第二種基板,移送至第二電漿腔室以執行電漿蝕刻製程以從第二種基板移除不需要的材料,及移送至輻射腔室以執行輻射製程以減弱該等小晶片與第二種基板之間的接合,及移送至接合器腔室;將該等小晶片中之至少一些在接合器腔室中從第二種基板移送至第一種基板;及將該等小晶片中之至少一些在接合器腔室中接合至第一種基板;及將具有接合的該等小晶片的第一種基板從最後AMM載入至多腔室處理工具的第二EFEM的載入埠。
本揭示案之其他及進一步實施例描述如下。
本案提供用於處理基板的方法及設備的實施例。設備通常包括模組化的多腔室處理工具,並包括一或更多個用於將基板載入及移出多腔室處理工具的設備前端模組(equipment front end module; EFEM),該等設備前端模組耦接至複數個AMM,用以對基板執行一或更多個處理步驟。一或更多個處理步驟可為製造或封裝積體電路中的任何適當步驟。例如,一或更多個處理步驟可用以執行以下步驟中的一或更多者:用以將複數個小晶片接合至基板上的接合製程、電漿切割或切單製程、基板清潔製程、基板電鍍或塗覆製程、或類似製程。該等AMM通常可與EFEM連接以將基板轉移至與每個AMM相關聯的一或更多個腔室。
該等AMM中的每一個包括移送機器人,允許該等移送機器人並行工作,以藉由促進同時處理多個基板來有利地增加處理產出率。對於將複數個小晶片接合至基板上的實例製程,多腔室處理工具有利地允許將具有不同尺寸的複數個小晶片接合至基板上,並允許在多腔室處理工具內將該等小晶片接合至基板上的多層中。
第1圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具100的示意性俯視圖。多腔室處理工具100通常包括設備前端模組(equipment front end module; EFEM) 102及連續耦接至EFEM 102的複數個AMM 110。該等AMM 110用以透過多腔室處理工具100將一或更多種基板112从EFEM 102往返移動,並對此一或更多種基板112執行一或更多個處理步驟。該等AMM 110中的每一個通常包括移送腔室116及耦接至移送腔室116的一或更多個處理腔室106以執行一或更多個處理步驟。該等AMM 110經由其相應移送腔室116彼此耦接,以有利地提供多腔室處理工具100的模組化可擴展性及客製化。如第1圖所示,該等AMM 110包括三個AMM,其中第一AMM 110a耦接至EFEM 102,第二AMM 110b耦接至第一AMM 110a,及第三AMM 110c耦接至第二AMM 110b。
EFEM 102包括複數個用於接收一或更多種基板112的載入埠114。在一些實施例中,一或更多種基板112包括200 mm晶圓、300 mm晶圓、450 mm晶圓、帶框基板、載體基板、矽基板、玻璃基板等。在一些實施例中,該等載入埠114包括一或更多個用於接收第一種基板112a的第一載入埠114a或一或更多個用於接收第二種基板112b的第二載入埠114b中的至少一個。在一些實施例中,第一種基板112a與第二種基板112b具有不同的尺寸。在一些實施例中,第二種基板112b包括帶框基板或載體基板。在一些實施例中,第二種基板112b包括安置在帶框或載體板上的複數個小晶片。在一些實施例中,第二種基板112b可容納不同類型及尺寸的小晶片。因此,一或更多個第二載入埠114b可具有不同尺寸或接收表面,用以載入具有不同尺寸的第二種基板112b。
在一些實施例中,該等載入埠114沿EFEM 102的共用側佈置。儘管第1圖繪示一對第一載入埠114a及一對第二載入埠114b,但EFEM 102可包括載入埠的其他組合,如一個第一載入埠114a及三個第二載入埠114b。
在一些實施例中,EFEM 102包括具有基板ID讀取器的掃描站108,用於掃描一或更多種基板112以識別資訊。在一些實施例中,基板ID讀取器包括條碼讀取器或光學字符辨識(optical character recognition; OCR)讀取器。多腔室處理工具100用以使用來自一或更多種基板112的任何識別資訊,該識別資訊被掃描以基於識別資訊來決定製程步驟,例如,第一種基板112a及第二種基板112b的不同製程步驟。在一些實施例中,掃描站108亦可用以旋轉移動,以對準第一種基板112a或第二種基板112b。在一些實施例中,該等AMM 110中的一或更多個包括掃描站108。
EFEM機器人104安置在EFEM 102中並用以將第一種基板112a及第二種基板112b在該等載入埠114至掃描站108之間運輸。EFEM機器人104可包括用於搬運第一種基板112a的基板端效器及用於搬運第二種基板112b的第二端效器。EFEM機器人104可旋轉或線性地旋轉及移動。
第6圖繪示根據本揭示案之至少一些實施例的第二種基板112b。在一些實施例中,第二種基板112b為通常包含由帶框604圍繞的背襯帶602的帶框基板。在使用中,複數個小晶片606可附接至背襯帶302。該等小晶片606通常經由切單製程形成,切單製程將半導體晶圓610切割成該等小晶片606或晶粒。在一些實施例中,帶框604由金屬,如不鏽鋼製成。帶框604可具有一或更多個凹口608以便於對準及搬運。對於具有300 mm直徑的半導體晶圓610,帶框604可具有約340 mm至約420 mm的寬度及約340 mm至約420 mm的長度。第二種基板112b可替代地為載體板,用以使該等小晶片606耦接至載體板。
回看第1圖,一或更多個處理腔室106可與移送腔室116密封接合。移送腔室116通常在大氣壓下操作,但可用以在真空壓力下操作。例如,移送腔室116可為用以在約700托或更大的大氣壓下操作的非真空腔室。另外,儘管一或更多個處理腔室106通常被繪示為與移送腔室116正交,但一或更多個處理腔室106可相對於移送腔室116呈一定角度安置,或正交與一定角度的組合。例如,第二AMM 110b繪示一或更多個處理腔室106中相對於移送腔室116呈一定角度安置的一對處理腔室。
移送腔室116包括用以容納一或更多個第一種基板112a的緩衝器120。在一些實施例中,緩衝器120用以容納第一種基板112a中的一或更多個及第二種基板112b中的一或更多個。移送腔室116包括用以在緩衝器120、一或更多個處理腔室106、及安置在該等AMM 110之相鄰AMM中的緩衝器之間移送第一種基板112a及第二種基板112b的移送機器人126。例如,第一AMM 110a中的移送機器人126用以在第一AMM 110a與第二AMM 110b中的緩衝器120之間移送第一種基板112a及第二種基板112b。在一些實施例中,緩衝器120安置在移送腔室116的內部體積內,有利地減少了整個工具的佔地面積。另外,緩衝器120可通向移送腔室116的內部體積以便於移送機器人126進出。在一些實施例中,緩衝器120亦可用以對第二種基板112b執行輻射製程。
第7圖繪示根據本揭示案之至少一些實施例的該等AMM 110的移送腔室116的等軸視圖。移送腔室116以簡化形式繪示以描述關鍵部件。移送腔室116通常包括由板(第7圖中所示的頂板712,未示出側板)覆蓋以封閉移送腔室116的框架710。在一些實施例中,移送腔室116的寬度小於長度。頂板712(或側板)可包括選擇性打開或關閉以維護移送腔室116的進出口716。側板在與一或更多個處理腔室106、EFEM 102或相鄰移送腔室中的至少一個的界面處包括開口。儘管第7圖示出具有矩形或箱形的移送腔室116,但移送腔室116可具有任意其他適當的形狀,如圓柱形、六邊形、或類似形狀。一或更多個處理腔室106可正交耦接至移送腔室116或可相對於移送腔室116呈一定角度地耦接。
移送腔室116可具有一或更多個環境控制。例如,移送腔室116中的氣流開口(例如,進出口716)可包括用以過濾進入移送腔室116的氣流的過濾器。其他環境控制可包括濕度控制、靜態控制、溫度控制、或壓力控制中的一或更多者。
移送機器人126通常被容納在框架710內。移送機器人126用於在移送腔室116內旋轉或旋轉及線性移動。在一些實施例中,移送機器人126經由移送腔室116的底板上的軌道或經由移送機器人126底下的輪子來線性地移動。移送機器人126包括具有一或更多個端效器730的伸缩臂720,端效器可延伸進一或更多個處理腔室106及相鄰AMM中。在一些實施例中,一或更多個端效器730包括用於搬運第一種基板112a的基板端效器及用於搬運第二種基板112b的第二端效器。在一些實施例中,對於具有約2.0至約2.5公尺長度的移送腔室116,伸缩臂720可具有多達約1.0公尺的行程长度。在一些實施例中,EFEM機器人104與移送機器人126具有相同類型及配置,用於增強部件共用性。
緩衝器120被容納在框架710內,例如,框架710的內部體積中。在一些實施例中,緩衝器120用以旋轉以要求方式將第一種基板112a與第二種基板112b對準。在一些實施例中,緩衝器用於以垂直堆疊地方式保持一或更多種基板112,從而有利地減少移送腔室116的佔地面積。例如,在一些實施例中,緩衝器120包括複數個架子722,用於儲存或保持一或更多個第一種基板112a及一或更多個第二種基板112b。在一些實施例中,複數個架子722以垂直間隔開的配置來安置。在一些實施例中,緩衝器120包括六個架子。在一些實施例中,複數個架子包括用於容納第二種基板112b的兩個架子。
回看第1圖,一或更多個處理腔室106可包括用以在大氣壓下操作的常壓腔室及用以在真空壓力下操作的真空腔室。常壓腔室的實例可通常包括濕式清潔腔室、輻射腔室、加熱腔室、計量腔室、接合腔室,或類似項。真空腔室的實例可包括電漿腔室。上述種類的常壓腔室亦可用以在真空下操作,若需要。一或更多個處理腔室106可為執行接合製程、切割製程、清潔製程、電鍍製程或類似項所需的任何處理腔室或模組。
在一些實施例中,該等AMM 110中的一或更多個處理腔室106包括以下的至少一者:濕式清潔腔室122、電漿腔室130、脫氣腔室132、輻射腔室134、或接合器腔室140,使得多腔室處理工具100包括至少一個濕式清潔腔室122、至少一個電漿腔室130、至少一個脫氣腔室132、至少一個輻射腔室134、及至少一個接合器腔室140。
濕式清潔腔室122用以執行濕式清潔製程以經由諸如水的流體清潔一或更多種基板112。濕式清潔腔室122可包括用於清潔第一種基板112a的第一濕式清潔腔室112a或用於清潔第二種基板112b的第二濕式清潔腔室122b。
脫氣腔室132用以執行脫氣製程以經由例如高溫烘焙製程從基板112移除濕氣。在一些實施例中,脫氣腔室132包括用於第一種基板112a的第一脫氣腔室132a及用於第二種基板112b的第二脫氣腔室132b。
電漿腔室130可用以執行蝕刻製程以從第一種基板112a或第二種基板112b移除不需要的材料,例如有機材料及氧化物。在一些實施例中,電漿腔室130包括用於第一種基板112a的第一電漿腔室130a及用於第二種基板112b的第二電漿腔室130b。電漿腔室130亦可用以執行蝕刻製程以將基板112切割成小晶片。在一些實施例中,電漿腔室130可用以執行沉積製程,例如物理氣相沉積製程、化學氣相沉積製程、或類似項,以用期望的材料層塗覆第一種基板112a或第二種基板112b。
輻射腔室134用以對第二種基板112b執行輻射製程以減少該等小晶片606與背襯帶602之間的黏著。例如,輻射腔室134可為用以將紫外線輻射引導至背襯帶602處的紫外线辐射腔室或用以加熱背襯帶602的加熱腔室。該等小晶片606與背襯帶602之間的減少的黏著便於更容易從第二種基板112b移除該等小晶片606。在一些實施例中,輻射腔室134用以保持並處理多個第二種基板112b。
接合器腔室140用以將該等小晶片606中的至少一部分移送並接合至第一種基板112a中的一個。接合器腔室140通常包括用以支撐第一種基板112a中的一個的第一支撐件142及用以支撐第二種基板112b中的一個的第二支撐件144。
在一些實施例中,第一AMM 110a中的一或更多個處理腔室106包括電漿腔室130或脫氣腔室132中的至少一個,並包括濕式清潔腔室122。在第1圖的說明性實例中,第一AMM 110a包括在第一AMM 110a的第一側上的第一電漿腔室130a及第二電漿腔室130b。在一些實施例中,第一AMM 110a包括在第一AMM 110a的與第一側相對的第二側上的第一濕式清潔腔室122a及第二濕式清潔腔室122b。在一些實施例中,第二AMM包括電漿腔室130或脫氣腔室132中的至少一個及輻射腔室134。
在一些實施例中,該等AMM 110中的最後AMM,例如第1圖的第三AMM 110c,包括一或更多個接合器腔室140(第1圖中示出兩個)。在一些實施例中,兩個接合器腔室中的第一接合器腔室用以移除並接合具有第一尺寸的小晶片,並且兩個接合器腔室中的第二接合器腔室用以移除並接合具有第二尺寸的小晶片。在一些實施例中,該等AMM 110中的任一個包括用以量測一或更多種基板112的計量腔室118。在第1圖中,計量腔室118被示為第二AMM 110b中耦接至第二AMM 110b的移送腔室116的部分。然而,計量腔室118可耦接至任何移送腔室116或位於移送腔室116內。
控制器180控制本文所述多腔室處理工具的任一工具(包括多腔室處理工具100)的操作。控制器180可使用多腔室處理工具100的直接控制,或替代地,藉由控制與多腔室處理工具100相關聯的電腦(或控制器)來控制。在操作中,控制器180使能來自多腔室處理工具100的資料收集及反饋,以最佳化多腔室處理工具100的效能。控制器180通常包括中央處理單元(Central Processing Unit; CPU) 182、記憶體184、及支援電路186。CPU 182可為可用於工業環境中的任意形式的通用電腦處理器。支援電路186通常耦接至CPU 182,並可包含高速緩衝記憶體、時鐘電路、輸入/輸出子系統、電源等。軟體常式,諸如下文所述的方法,可儲存在記憶體184中,並當CPU 182執行此軟體常式時,將CPU 182轉換成特定用途電腦(控制器180)。軟體常式亦可由遠離多腔室處理工具100放置的第二控制器(未示出)儲存及/或執行。
記憶體184為包含指令的電腦可讀儲存媒體的形式,當CPU 182執行該等指令時,促進半導體製程及設備的操作。記憶體184中的指令為程式產品的形式,諸如執行本原理的方法的程式。程式代碼可依據許多不同程式化語言中的任一種。在一個實例中,本揭示案可作為儲存在與電腦系統一起使用的電腦可讀儲存媒體上的程式產品實現。程式產品的程式定義態樣(包括本文所述方法)的功能。說明性電腦可讀儲存媒體包括但不限於:永久存儲資訊的不可寫儲存媒體(例如,電腦內的唯讀記憶體裝置,諸如可由CD-ROM驅動器、快閃記憶體、ROM晶片或任何類型的固態非揮發性半導體記憶體讀取的CD-ROM磁碟);及其上存儲可變資訊的可寫儲存媒體(例如,軟碟驅動器或硬碟驅動器內的軟碟或任何類型的固態隨機存取半導體記憶體)。當攜帶指導本文方法的功能的電腦可讀指令時,此種電腦可讀儲存媒體為本原理的態樣。
第2圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具200的示意性俯視圖。多腔室處理工具200與多腔室處理工具100類似,具有一或更多個處理腔室106的不同構造。多腔室處理工具200包括三個AMM。在一些實施例中,第一AMM 110a包括用以將第一種基板112a進行脫氣的第一脫氣腔室132a及用以對在第一AMM 110a的第一側上的第二種基板112b進行脫氣的第二脫氣腔室132b,及第一AMM 110a中與第一側相對的第二側上的兩個濕式清潔腔室122b。在一些實施例中,第一AMM 110a的第二側可替代地包括兩個第一濕式清潔腔室122a或一個第一濕式清潔腔室122a及一個第二濕式清潔腔室122b。
在一些實施例中,第二AMM 110b包括第一電漿腔室130a及在第二AMM 110b的第一側上的第二電漿腔室130b。在一些實施例中,第二AMM 110b中與第一側相對的第二側包括兩個濕式清潔腔室122a。在一些實施例中,第二AMM 110b的第二側包括第一濕式清潔腔室122a及輻射腔室134。在一些實施例中,最後AMM,例如第2圖的第三AMM 110c的一或更多個處理腔室106,包括兩個接合器腔室140及輻射腔室134。在一些實施例中,輻射腔室134沿移送腔室116的寬度安置。相比於多腔室處理工具100,輻射腔室134放置在第三AMM 110c中,有利地提供了具有額外兩個濕式清潔腔室122的多腔室處理工具200。
第3圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具300的示意性俯視圖。多腔室處理工具300與多腔室處理工具200類似,只是多腔室處理工具300包括第四AMM 110d及第五AMM 110e。在一些實施例中,該等AMM 110包括一或更多個具有一或更多個接合器腔室140的AMM,該等接合器腔室安置在第一AMM 110a與最後AMM(例如第3圖的第五AMM 110e)之間。
在一些實施例中,多腔室處理工具300包括六接合器腔室140,其中六個接合器腔室140用以處理相同類型及尺寸的小晶片或不同類型及尺寸的小晶片。在一些實施例中,第五AMM 110e包括輻射腔室134。相比於第2圖的多腔室處理工具200,多腔室處理工具300的模組化配置有利地促進並行接合或附加基板及附加類型及尺寸的小晶片。
第4圖繪示根據本揭示案之至少一些實施例的以T型配置佈置的用於將小晶片接合至基板的多腔室處理工具400的示意性俯視圖。多腔室處理工具400的T形配置與線性佈局(如多腔室處理工具300)相比,有利地減少了工具的長度,同時具有與多腔室處理工具300相同或類似數量的處理腔室。
在一些實施例中,如第4圖所示,該等AMM 110包括接合模組410,接合模組410在接合模組410的三個側面上耦接至AMM。在一些實施例中,該等AMM 110包括耦接至EFEM 102的第一AMM 110a、一端處耦接至第一AMM 110a及在相對端耦接至接合模組410的第二AMM 110b。在一些實施例中,第三AMM 110c及第四AMM 110d在接合模組410的相對側耦接至接合模組410。在一些實施例中,第五AMM 110e在與接合模組410相對的一端耦接至第四AMM 110d。在一些實施例中,接合模組410中的移送機器人126用以在接合模組410中的緩衝器120與第三AMM 110c及第四AMM 110d中的緩衝器之間移送一或更多種基板112。在一些實施例中,接合模組410包括位於接合模組410中與第二AMM 110b相對的一側的輻射腔室134。
第5圖繪示根據本揭示案之至少一些實施例的以U型配置佈置的用於將小晶片接合至基板的多腔室處理工具500的示意性俯視圖。多腔室處理工具500包括以U形配置佈置的該等AMM 110。如第5圖所示,第一組三個AMM 110a~110c呈線性排列,第二組三個AMM 110d~110f從第一組垂直延伸,及第三組三個AMM 110g~110i從第二組垂直延伸並平行於第一組。與線性配置(如第3圖的多腔室處理工具300)相比,多腔室處理工具500的U形配置有利地減少了工具的長度。
在一些實施例中,第二EFEM 502耦接至該等AMM 110中的最後AMM。例如,在第5圖中,最後AMM或第九個AMM 110i耦接至第二個EFEM 502。在一些實施例中,第二EFEM 502包括一或更多個載入埠514及EFEM機器人104。在一些實施例中,一或更多個載入埠514包括一或更多個用於接收第一種基板112a的第一載入埠514a及一或更多個用於接收具有複數個小晶片的第二種基板112b的第二載入埠514b。在一些實施例中,一或更多個載入埠514包括四個第二載入埠514b,而不包括第一載入埠514a。第二EFEM 502的添加有利地向工具添加額外的載入埠及額外的掃描站108,從而增加處理產出率。第二EFEM 502的添加亦有利地允許一或更多種基板112從一端進入多腔室處理工具500,並從另一端退出,而無需返回到一端,從而減少處理並增加處理產出率。減少對一或更多種基板112的處理可有利地減少多腔室處理工具500中的顆粒生成及污染。在一些實施例中,EFEM 102及第二EFEM 502中的每一個都具有兩個或更多個載入埠。在一些實施例中,EFEM 102及第二EFEM 502一起包括兩個或更多個第一載入埠114a及四個或更多個第二載入埠116b。在一些實施例中,EFEM 102及第二EFEM 502一起包括第一載入埠114a中的兩個及第二載入埠116b中的六個。第二EFEM 502可添加到本文描述的任何多腔室處理工具中。
在一些實施例中,利用U形配置,該等AMM 110中的一個AMM可包括兩個緩衝器120。第5圖繪示了具有兩個緩衝器120的第六個AMM 110f,然而第二組三個AMM 110d~110f中的任何一個都可包括兩個緩衝器120。在一些實施例中,第三AMM 110c及第七AMM 110g可包括輻射腔室134。與第1圖至第5圖中任一圖的該等AMM 110相關聯的一或更多個處理腔室106的配置為示例性的,並且可以任何合適的方式重新佈置一或更多個處理腔室106,以便在多腔室處理工具100、200、300、400、500、900、1000中的任一個中實現所需的應用。
第8圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的方法800的流程圖。在802,方法800包括以下步驟,將基板(例如,第一種基板112a)載入到具有複數個AMM(例如,複數個AMM 110)的多腔室處理工具(例如,多腔室處理工具100、200、300、400、500、900、1000)的設備前端模組(equipment front end module; EFEM)(例如,設備前端模組102)的載入埠(例如,基板載入埠114a)上。
在804處,方法800包括以下步驟,使用EFEM機器人(例如,EFEM機器人104)將第一種基板移送到安置在第一AMM(例如,第一AMM 110a)中的第一緩衝器(例如,緩衝器120),第一AMM耦接至EFEM。在一些實施例中,EFEM機器人用於在將第一種基板移送到第一緩衝器之前,將第一種基板移送至EFEM中的掃描站(例如,掃描站108),以記錄識別資訊,以基於識別資訊決定製程步驟。例如,識別資訊可指示以下至少一種情況:將有多少不同類型的小晶片接合至第一種基板,將有多少層小晶片接合至第一種基板,或者小晶片接合至第一種基板時的期望佈置。識別資訊還可指示需要哪些預接合製程步驟(例如,濕式清潔、電漿蝕刻、脫氣、紫外線製程等)及製程參數(例如,持續時間、功率、溫度等)。識別資訊可經由基板ID讀取器(例如OCR讀取器或條碼讀取器)讀取。
在806處,方法800包括以下步驟,經由該等AMM中的每個AMM中的相應移送機器人(例如,移送機器人126)將第一種基板從第一緩衝器連續移送到第一濕式清潔腔室(例如,第一濕式清潔腔室122a),以執行清潔製程,移送至第一脫氣腔室(例如,第一脫氣腔室132a)以執行脫氣製程以乾燥第一種基板,移送至第一電漿腔室(例如,第一電漿腔室130a)以執行電漿蝕刻製程以從第一種基板移除不需要的材料,及移送至接合器腔室(例如,接合器腔室140)。
在808處,方法800包括以下步驟,使用EFEM機器人將具有複數個小晶片的第二種基板(例如,第二種基板112b)從第二載入埠(例如,一或更多個第二載入埠114b)移送至第一緩衝器。在一些實施例中,EFEM機器人用於在將第二種基板移送到第一緩衝器之前,將第二種基板移送至EFEM中的掃描站,以記錄識別資訊,以基於識別資訊決定製程步驟。識別資訊可經由OCR讀取器或條碼讀取器讀取。
在810處,方法800包括以下步驟,經由該等AMM中的每個AMM中的相應移送機器人,將第二種基板從第一緩衝器連續移送至第二濕式清潔腔室(例如,第二濕式清潔腔室122b),以執行清潔製程,移送至第二脫氣腔室(例如,第二脫氣腔室132b)以執行脫氣製程以乾燥第二種基板,移送至第二電漿腔室(例如,第二電漿腔室130b)以執行電漿蝕刻製程以從第二種基板移除不需要的材料,移送至輻射腔室(例如,輻射腔室134)以執行輻射製程以減弱小晶片與第二種基板之間的黏合劑接合,並移送至接合器腔室。在一些實施例中,輻射製程為UV輻射製程。在一些實施例中,輻射製程為加熱製程。
在812處,方法800包括以下步驟,將該等小晶片中的至少一些從第二種基板移送至接合器腔室中的第一種基板。在814處,方法800包括以下步驟,經由適當接合方法將該等小晶片中的至少一些接合至接合器腔室中的第一種基板。在一些實施例中,在將該等小晶片中的至少一些接合至接合器腔室中的第一種基板後,將第一種基板移送至第二接合器腔室。在一些實施例中,將第二種基板的第二者移送至第二接合器腔室。在一些實施例中,第二種基板中的第二者包括複數個第二小晶片,其尺寸不同於該等小晶片的尺寸。在一些實施例中,將該等第二小晶片中的至少一些移送並接合至第二接合器腔室中的第一種基板上。在816處,方法800包括以下步驟,將第一種基板與從最後AMM接合的該等小晶片載入到多腔室處理工具的第二EFEM(例如,第二EFEM)的載入埠。
在一些實施例中,可將第一種基板移送至第三接合器腔室,以將複數個第三小晶片接合至第一種基板,第一種基板的尺寸與該等小晶片及該等第二小晶片的尺寸不同。因此,多腔室處理工具用以根據需要容納N個接合器腔室,以將N個不同類型或尺寸的小晶片接合至給定基板上。例如,第4圖的多腔室處理工具400包括六個接合器腔室,以容納六種不同類型或尺寸的小晶片。一旦接合完成,第一種基板經由緩衝器並經由多腔室處理工具的移送機器人返回第一載入埠。一旦接合完成,第二種基板可保留在多腔室處理工具中以進行後續處理,或後續第一種基板,或者替代地,可經由緩衝器及移送機器人返回到第二載入埠。
在一些實施例中,該等小晶片沿著第一種基板上的第一層小晶片佈置。在一些實施例中,將具有第一層小晶片的第一種基板移送至多腔室處理工具的第一電漿腔室,以執行補充電漿蝕刻製程以移除不需要的材料。在一些實施例中,第一種基板隨後被移送至接合腔室或第二接合腔室。在接合腔室或第二接合腔室中,來自第二種基板的該等小晶片或來自第二種基板中一個的該等第二小晶片沿著第二層小晶片移送至第一層上。第二層小晶片可包括與第一層小晶片相同類型及尺寸的小晶片。或者,第二層小晶片可包括與第一層小晶片不同類型或尺寸的小晶片中的至少一個。
在一些實施例中,在多腔室處理工具中同時處理第一種基板及第二種基板。在一些實施例中,在多腔室處理工具中同時處理多個第一種基板及多個第二種基板,以有利地提高處理產出率。多腔室處理工具可包括第二EFEM(例如,第二EFEM 502)或第三EFEM,以提供額外的載入埠及掃描站,從而有利地提高處理能力。例如,第一種基板中的第一個或第二種基板中的第一個中的至少一種可經歷濕式清潔製程,而第一種基板中的第二種正在經歷脫氣製程,及第一種基板中的第三個及第二種基板中的第二個正在經歷接合製程。在另一實例中,第一種基板中的第一個及第一種基板中的第二個可經歷濕式清潔製程,而第一種基板中的第三個正在經歷脫氣製程,及第一種基板中的第四個及第一種基板中的第五個正在分別與第二種基板中的第一個及第二種基板中的第二個經歷接合製程。這些係如何在多腔室處理工具中處理多個第一種基板及第二種基板的非限制性實例。
在一些實施例中,多腔室處理工具可用以在將小晶片接合至第一種基板之前,使用多腔室處理工具的電漿腔室執行電漿切割或切單製程。在一些實施例中,多腔室處理工具可用以在將小晶片接合至第一種基板之前或之後執行額外的清潔或亞態電鍍製程。該等AMM通常可與EFEM連接,以將基板移送至與每個AMM相關聯的一或更多個處理腔室。因此,可使用適當數量的AMM及相關聯處理腔室來調節所需的處理基板的產出率。
第9圖繪示了根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具的示意性俯視圖。多腔室處理工具900類似於多腔室處理工具200,不同之處在於多腔室處理工具900包括第四AMM 110d及第二EFEM 502,第二EFEM 502在與EFEM 102相對的側面上耦接至第四AMM 110d。在一些實施例中,輻射腔室134耦接至第四AMM 110d,並且第二EFEM 502耦接至輻射腔室134。此種佈置有利地允許第一種基板112a及第二種基板112b從EFEM 102進入多腔室處理工具900並從第二EFEM 502退出,從而提高產出率。第二EFEM 502可併入本文揭示的任何工具中。
在一些實施例中,移送腔室116中一或更多者可包括預對準器910,其用以以所需定位旋轉並對準第一種基板112a或第二種基板112b。預對準器910可與緩衝器120分離。在一些實施例中,與具有接合器腔室140的AMM 110相關聯的移送腔室116可包括預對準器910。在一些實施例中,輻射腔室134可用以旋轉佈置在其中的一或更多種基板112。
第10圖繪示了根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具1000的示意性俯視圖。多腔室處理工具1000可類似於多腔室處理工具900,不同之處在於多腔室處理工具1000包括多個EFEM 102。在一些實施例中,本文揭示的任何多腔室處理工具可在工具的一端包括多個EFEM 102並在工具的另一端上包括第二EFEM,例如,如第10圖所示。EFEM 102中的多個EFEM有利地允許增加一或更多個載入埠的容量,從而增加產出率。EFEM 102中的多個EFEM有利地提供了額外的載入埠,以方便額外的晶粒類型。例如,一個EFEM 102可包括用於第一種基板112a的兩個載入埠及用於第二種基板112b的兩個載入埠,且EFEM 102的另一者則可包括用於第二種基板112b的四個載入埠。第二種基板112b可包括不同晶粒類型及尺寸。
在一些實施例中,移送腔室116可安置在每個EFEM 102與第一AMM 110a之間。在一些實施例中,移送腔室116可包括一或更多個架子1010,其用以保持並旋轉一或更多種基板112。在一些實施例中,移送腔室可包括安置在移送腔室116中的移送機器人126任一側的一或更多個架子1010中的一或更多者。移送機器人126可用以將基板112從一或更多個架子1010移送至第一AMM 110a。
儘管前述內容針對本發明的實施例,但可在不脫離本發明基本範圍的情況下設計本發明的其他和進一步實施例。
100:多腔室處理工具 102:設備前端模組 104:EFEM機器人 106:處理腔室 108:掃描站 110:AMM 110a:第一AMM 110b:第二AMM 110c:第三AMM 110d:第四AMM 110e:第五AMM 110f:第二組第三個 110g:第三組第一個 110h:第三組第二個 110i:第三組第三個 112a:第一種基板 112b:第二種基板 114:載入埠 114a:第一載入埠 114b:第二載入埠 116:移送腔室 118:計量腔室 120:緩衝器 122:濕式清潔腔室 122a:第一濕式清潔腔室 122b:第二濕式清潔腔室 126:移送機器人 130:電漿腔室 130a:第一電漿腔室 130b:第二電漿腔室 132:脫氣腔室 132a:第一脫氣腔室 132b:第二脫氣腔室 134:輻射腔室 140:接合器腔室 142:第一支撐件 144:第二支撐件 180:控制器 182:中央處理單元 184:記憶體 186:支援電路 200:多腔室處理工具 300:多腔室處理工具 400:多腔室處理工具 410:接合模組 500:多腔室處理工具 502:第二EFEM 514:載入埠 514a:第一載入埠 514b:第二載入埠 602:背襯帶 604:帶框 606:小晶片 608:凹口 610:半導體晶圓 710:框架 712:頂板 716:進出口 720:伸缩臂 722:架子 730:端效器 800:方法 802:方框 804:方框 806:方框 808:方框 810:方框 812:方框 814:方框 816:方框 900:多腔室處理工具 910:預對準器 1000:多腔室處理工具
上文簡要概述並在下文更詳細論述的本發明的實施例可以藉由參考附圖中繪示的本發明的說明性實施例來理解。然而,應當注意,附圖僅示出了本揭示案的典型實施例,因此不應被視為限制其範圍,因為本揭示可以允許其他同樣有效的實施例。
第1圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具的示意性俯視圖。
第2圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具的示意性俯視圖。
第3圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具的示意性俯視圖。
第4圖繪示根據本揭示案之至少一些實施例的以T型配置佈置的用於將小晶片接合至基板的多腔室處理工具的示意性俯視圖。
第5圖繪示根據本揭示案之至少一些實施例的以U型配置佈置的用於將小晶片接合至基板的多腔室處理工具的示意性俯視圖。
第6圖繪示根據本揭示案之至少一些實施例的第二種基板。
第7圖繪示根據本揭示案之至少一些實施例的簡化大氣模組主機的等軸視圖。
第8圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的方法的流程圖。
第9圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具的示意性俯視圖。
第10圖繪示根據本揭示案之至少一些實施例的用於將小晶片接合至基板的多腔室處理工具的示意性俯視圖。
為了便於理解,在可能的情況下,使用了相同的元件符號來表示圖中常見的相同元件。圖式並未按比例繪製,並且為了清晰起見可以簡化。一個實施例的元件及特徵可以有益地併入其他實施例中,而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:多腔室處理工具
102:設備前端模組
104:EFEM機器人
106:處理腔室
108:掃描站
110:AMM
110a:第一AMM
110b:第二AMM
110c:第三AMM
110d:第四AMM
110e:第五AMM
110f:第二組第三個
110g:第三組第一個
110h:第三組第二個
110i:第三組第三個
112a:第一種基板
112b:第二種基板
114:載入埠
114a:第一載入埠
114b:第二載入埠
116:移送腔室
118:計量腔室
120:緩衝器
122:濕式清潔腔室
122a:第一濕式清潔腔室
122b:第二濕式清潔腔室
126:移送機器人
130:電漿腔室
130a:第一電漿腔室
130b:第二電漿腔室
132:脫氣腔室
132a:第一脫氣腔室
132b:第二脫氣腔室
134:輻射腔室
140:接合器腔室
142:第一支撐件
144:第二支撐件
180:控制器
182:中央處理單元
184:記憶體
186:支援電路

Claims (20)

  1. 一種用於處理基板的多腔室處理工具,包含: 一第一設備前端模組(EFEM),具有用於接收一或更多種基板的一或更多個載入埠; 一第二EFEM,具有用於在該多腔室處理工具中與該第一EFEM相對的一側接收一或更多種基板的一或更多個載入埠;及 複數個大氣模組主機(AMM),彼此耦接並具有耦接至該第一EFEM的一第一AMM及耦接至該第二EFEM的一最末AMM,其中該等AMM中的每一個包括一移送腔室及耦接至該移送腔室的一或更多個處理腔室,其中該移送腔室包括用以保持複數個該一或更多種基板的一緩衝器,並且其中該移送腔室包括用以在該緩衝器、該一或更多個處理腔室、及一安置在該等AMM之一相鄰AMM中的緩衝器之間移送該一或更多種基板的一移送機器人。
  2. 如請求項1所述的多腔室處理工具,其中該第一EFEM的該一或更多個載入埠包括一或更多個用於接收一第一種基板的第一載入埠及一或更多個用於接收具有複數個小晶片的一第二種基板的第二載入埠,並且其中該等AMM中的每一個的該一或更多個處理腔室包括一濕式清潔腔室、一電漿腔室、一脫氣腔室、一輻射腔室或一接合器腔室中的至少一個,使得該多腔室處理工具包括至少一個濕式清潔腔室、至少一個電漿腔室,至少一個脫氣腔室、至少一個輻射腔室及至少一個接合器腔室。
  3. 如請求項2所述的多腔室處理工具,其中一第一AMM的該一或更多個處理腔室包括一電漿腔室或一脫氣腔室中的至少一個,並包括一濕式清潔腔室,並且該等AMM中的一最末AMM包括一或更多個接合器腔室,該等接合器腔室用以從該第二種基板移除該等小晶片並將該等小晶片接合至該第一種基板。
  4. 如請求項2所述的多腔室處理工具,其中該至少一個濕式清潔腔室包括一第一濕式清潔腔室,用於清潔該第一種基板,及一第二濕式清潔腔室,用於清潔該第二種基板,其中該至少一個電漿腔室包括用於處理該第一種基板的一第一電漿腔室及用於處理該第二種基板的一第二電漿腔室,並且其中該至少一個脫氣腔室包括用於處理該第一種基板的一第一脫氣腔室及用於處理該第二種基板的一第二脫氣腔室。
  5. 如請求項1至4中任一項所述的多腔室處理工具,其中該移送腔室為一非真空腔室。
  6. 如請求項1至4中任一項所述的多腔室處理工具,其中該等AMM包括具有一或更多個接合器腔室的一或更多個AMM,該等接合器腔室安置在該第一AMM與一最後AMM之間。
  7. 如請求項1至4中任一項所述的多腔室處理工具,其中複數個AMM包括耦接至該第一EFEM的一第一AMM,在一端耦接至該第一AMM並在一相對端耦接至一接合模組的一第二AMM,在該接合模組的相對側耦接至該接合模組的一第三AMM及一第四AMM,及在與該接合模組相對的一端耦接至該第四AMM的一第五AMM,其中該接合模組包含一緩衝器及一移送機器人。
  8. 如請求項1至4中任一項所述的多腔室處理工具,其中該第二EFEM包含一EFEM機器人,並且其中該等AMM以一線性或U型配置佈置。
  9. 如請求項1至4中任一項所述的多腔室處理工具,其中該第二EFEM包含具有一基板ID讀取器的一掃描站。
  10. 一種用於處理一基板的多腔室處理工具,包含: 一第一設備前端模組(EFEM),具有一或更多個用於接收一第一種基板的第一載入埠,一或更多個用於接收具有複數個小晶片之一第二種基板的第二載入埠,及一EFEM機器人,用於移送該第一種基板及該第二種基板; 一第二EFEM,具有一或更多個用於接收該第一種基板的一或更多個第二載入埠,一或更多個用於接收具有複數個小晶片之一第二種基板的第二載入埠,及一EFEM機器人,用於移送該第一種基板及該第二種基板;及 複數個大氣模組主機(AMM),彼此耦接並具有耦接至該第一EFEM的一第一AMM及耦接至該第二EFEM的一最末AMM,其中該等AMM中的每一個包括一移送腔室及一或更多個處理腔室,該等處理腔室包含一濕式清潔腔室、一電漿腔室、一脫氣腔室、一脫氣腔室、或一接合器腔室中的至少一者,該等腔室耦接至該移送腔室,其中該移送腔室包括一用於保持該第一種基板之一或更多個及該第二種基板之一或更多個的緩衝器,並且其中該移送腔室包括一移送機器人,該移送機器人用以在該緩衝器、該一或更多個處理腔室、及一緩衝器之間移送該第一種基板及該第二種基板,該緩衝器安置在該等AMM的一相鄰AMM中;及 其中該等AMM中之一第一AMM的該一或更多個處理腔室包括一電漿腔室或一脫氣腔室中的至少一個並包括一濕式清潔腔室,該等AMM中耦接至該第一AMM的一第二AMM包含一電漿腔室或一脫氣腔室中的至少一個,並且該等AMM中耦接至該第二AMM的一第三AMM包含一或更多個接合器腔室,該等接合器腔室用以從該第二種基板移除該等小晶片並將該等小晶片接合至該第一種基板。
  11. 如請求項10所述的多腔室處理工具,其中該第三AMM包括兩個接合器腔室,其中該兩個接合器腔室中的一第一接合器腔室用以移除並接合具有一第一尺寸的小晶片,並且該兩個接合器腔室中的一第二接合器腔室用以移除並接合具有一第二尺寸的小晶片。
  12. 如請求項10所述的多腔室處理工具,其中該緩衝器用以旋轉以對準該第二種基板。
  13. 如請求項10至12中任一項所述的多腔室處理工具,其中該EFEM機器人及該移送機器人包括用於搬運該第一種基板的第一端效器及用於搬運該第二種基板的第二端效器。
  14. 如請求項10至12中任一項所述的多腔室處理工具,其中該移送機器人用以在該移送室內旋轉及線性移動。
  15. 一種將複數個小晶片接合至一基板上的方法,包含以下步驟: 將一第一種基板載入具有複數個大氣模組主機(AMM)的一多腔室處理工具的一設備前端模組(EFEM)的一第一載入埠上; 使用一EFEM機器人將該第一種基板移送至一第一緩衝器,該第一緩衝器安置在耦接至該EFEM的一第一AMM中; 將該第一種基板從該第一緩衝器連續地移送至一第一濕式清潔腔室以執行一清潔製程,移送至一第一脫氣腔室以執行一脫氣製程以乾燥該第一種基板,移送至一第一電漿腔室以執行一電漿蝕刻製程以從該第一種基板移除不需要的材料,及移送至一接合器腔室; 使用該EFEM機器人移送具有複數個小晶片的一第二種基板至該第一緩衝器; 將該第二種基板從該第一緩衝器連續地移送至一第二濕式清潔腔室以執行一清潔製程,移送至该第二脫氣腔室以執行一脫氣製程以乾燥該第二種基板,移送至一第二電漿腔室以執行一電漿蝕刻製程以從該第二種基板移除不需要的材料,及移送至一輻射腔室以執行一輻射製程以減弱該等小晶片與第二種基板之間的接合,及移送至該接合器腔室; 將該等小晶片中之至少一些在該接合器腔室中從該第二種基板移送至該第一種基板; 將該等小晶片中之至少一些接合至該接合器腔室中的該第一種基板;及 將具有該等接合小晶片的該第一種基板從一最末AMM載入該多腔室處理工具的一第二EFEM的一載入埠。
  16. 如請求項15所述的方法,進一步包含以下步驟: 使用該EFEM機器人在將該第一種基板及該第二種基板移送至該第一緩衝器之前,移送至該第一EFEM中的一掃描站,以記錄識別資訊以基於該記錄的識別資訊決定製程步驟。
  17. 如請求項15所述的方法,進一步包含以下步驟, 將該第一種基板移送至一第二接合器腔室; 將該第二種基板中的一第二基板移送至該第二接合器腔室,其中該第二種基板中的該第二基板包含具有一不同於該等小晶片之尺寸的複數個第二小晶片;及 將該等第二小晶片中之至少一些移送至該第一種基板。
  18. 如請求項15所述的方法,其中該等小晶片沿一第一層小晶片佈置在該第一種基板上,並進一步包含以下步驟: 將具有該第一層小晶片之該第一種基板移送至該第一電漿腔室以執行一補充電漿蝕刻製程,以移除不需要的材料; 將該第一種基板移送至該接合腔室或一第二接合腔室;及 將來自該第二種基板之該等小晶片及來自該第二種基板之一第二基板的複數個第二小晶片,移送至該接合腔室或第二接合腔室中的該第一層小晶片上。
  19. 如請求項15至18中任一項所述的方法,其中該第一種基板及該第二種基板並行處理。
  20. 如請求項15至18中任一項所述的方法,其中多個第一種基板及多個第二種基板在該多腔室處理工具中並行處理。
TW111141028A 2021-10-28 2022-10-28 用於支持多個半導體處理模組或腔室的模組化主機佈局 TW202335172A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/513,631 2021-10-28
US17/513,631 US11935771B2 (en) 2021-02-17 2021-10-28 Modular mainframe layout for supporting multiple semiconductor process modules or chambers

Publications (1)

Publication Number Publication Date
TW202335172A true TW202335172A (zh) 2023-09-01

Family

ID=86158462

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111141028A TW202335172A (zh) 2021-10-28 2022-10-28 用於支持多個半導體處理模組或腔室的模組化主機佈局

Country Status (3)

Country Link
CN (1) CN116848629A (zh)
TW (1) TW202335172A (zh)
WO (1) WO2023076249A1 (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
KR20160119380A (ko) * 2015-04-03 2016-10-13 삼성전자주식회사 기판 제조 장치, 기판 제조 방법, 및 그를 포함하는 패브리케이션 라인
KR101877272B1 (ko) * 2015-10-02 2018-07-12 에이피시스템 주식회사 진공 라미네이팅을 이용한 본딩 시스템
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
CN111668092A (zh) * 2020-04-24 2020-09-15 北京华卓精科科技股份有限公司 晶圆键合的方法
US11935771B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers

Also Published As

Publication number Publication date
CN116848629A (zh) 2023-10-03
WO2023076249A1 (en) 2023-05-04

Similar Documents

Publication Publication Date Title
TWI685909B (zh) 用以移動晶圓之設備前端模組及方法
TWI408766B (zh) Vacuum processing device
JPH05275511A (ja) 被処理体の移載システム及び処理装置
JPH04190840A (ja) 真空処理装置
JP4342745B2 (ja) 基板処理方法および半導体装置の製造方法
JP5923288B2 (ja) 真空処理装置及び真空処理装置の運転方法
TW202247318A (zh) 用於支持多個半導體處理模組或腔室的模組化主機佈局
TW202232624A (zh) 處理系統及搬運方法
TW202335172A (zh) 用於支持多個半導體處理模組或腔室的模組化主機佈局
JPH1092900A (ja) 真空処理装置
US11935770B2 (en) Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11984335B2 (en) FOUP or cassette storage for hybrid substrate bonding system
JP4838293B2 (ja) 基板処理方法、半導体装置の製造方法および基板処理装置
JPH03244121A (ja) 縦型半導体製造装置
JP2873761B2 (ja) 半導体製造装置
JP4359109B2 (ja) 基板処理装置および基板処理方法
US20240170443A1 (en) Integrated process flows for hybrid bonding
JP2004080053A (ja) 半導体製造装置
US20230040192A1 (en) Wet clean spray process chamber for substrates
JP3665716B2 (ja) 処理システム
JP3413567B2 (ja) 基板搬送処理装置及び基板搬送処理方法
JP3424750B2 (ja) 真空処理装置及び基板の真空処理方法
JPH0466119A (ja) 真空処理方法及び装置
JP3443421B2 (ja) 真空処理装置及び真空処理方法
JP2001358192A (ja) 半導体製造設備