TW202325886A - 基板處理裝置及其製程氣體供應單元 - Google Patents

基板處理裝置及其製程氣體供應單元 Download PDF

Info

Publication number
TW202325886A
TW202325886A TW111126436A TW111126436A TW202325886A TW 202325886 A TW202325886 A TW 202325886A TW 111126436 A TW111126436 A TW 111126436A TW 111126436 A TW111126436 A TW 111126436A TW 202325886 A TW202325886 A TW 202325886A
Authority
TW
Taiwan
Prior art keywords
process gas
gas supply
nozzle
substrate processing
housing
Prior art date
Application number
TW111126436A
Other languages
English (en)
Inventor
林仁誠
張龍守
梁廷允
李善廉
田承訓
李暻來
Original Assignee
韓商細美事有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 韓商細美事有限公司 filed Critical 韓商細美事有限公司
Publication of TW202325886A publication Critical patent/TW202325886A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/03Mounting, supporting, spacing or insulating electrodes
    • H01J2237/032Mounting or supporting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

本發明提供一種在基板上的各區塊可均勻提供製程氣體的製程氣體供應單元,以及具備此製程氣體供應單元的基板處理裝置。上述基板處理裝置是包含:外罩;第二電極設置在外殼罩內並支撐基板;設置在外罩的內部或外部,並面向第二電極的第一電極;向外罩內部提供製程氣體的製程氣體供應單元;並包含,利用提供製程氣體時,就連接到第一電極的第一高頻電源,以及就連接到第二電極的第二高頻電源,在外罩內部產生電漿的電漿形成單元,製程氣體供應單元是設在外罩的內側壁上,而噴射製程氣體的噴嘴;以及設在外罩的外側壁上而藉由貫通外罩的內側壁而形成的孔,連接到噴嘴,並使噴嘴口旋轉之旋轉控制部。

Description

基板處理裝置及其製程氣體供應單元
本發明是有關基板處理裝置及其製程氣體供應單元。更詳細的說,使用於製造半導體元件之基板處理裝置及其製程氣體供應單元。
半導體元件之生產製程,可在半導體元件製造設備內連續進行,並分為前製程與後製程。半導體元件生產設備為生產半導體元件,可設置在定義為製造廠(Fabrication, FAB)的空間內 。
前製程是指,在晶圓 (Wafer) 形成電路圖案,以完成晶片 (Chip) 的過程。前製程會包含,在晶圓上形成薄膜之沉積製程 (Deposition Process)、利用光罩 (Photo Mask) 在薄膜上轉刻光阻劑 (Photo Resist) 之微影製程 (Photo Lithography Process),在晶圓上為建立需要的電路圖案,利用化學物或是反應性氣體,將不必要的部位,以選擇性清除之蝕刻製程 (Etching Process),並去除蝕刻後,將殘留的光阻劑清除之灰化製程 (Ashing Process),與電路圖案連接的部位注入離子,使其持有電子元件特性的離子注入製程 (Ion Implantation Process),在晶圓上去除汙染源之清洗製程 (Cleaning Process) 等。
後製程是將前製完成的製品性能進行評鑑的製程。由後製程檢查晶圓上的各個晶片是否會啟動而選出良品和不良的第一次檢查製程、切割 (Dicing)、黏晶 (Die Bonding)、打線結合 (Wire Bonding)、模塑(Molding)、標記 (Marking) 等製程後,將各個晶片切段或分割,使其具備製品形狀之封裝製程 (Package Process),經過電氣特性檢查、燒機 (Burn-In) 檢查等,以確定製品特性和安全性之最終檢查製程等。
解決課題:
基板(例如,晶圓)上要建立希望的圖案時,可在配置基板的真空室內部供應製程氣體,並利用設在真空室的電極來形成電漿以處理基板。此時,若在基板上的各區塊均勻供應製程氣體,就能提高處理基板相關的效率。
本發明要解決之技術性課題為,均勻供應製程氣體在基板上的各區塊而提供之製程氣體供應裝置以及其基板處理裝置。
本發明之技術性課題不會侷限在上述之技術,沒有提到的其他技術性課題,可由以下之記載內容,該業者得以明確理解。
解決方式:
為達成上述課題,本發明的基板處理裝置的一實施態樣是具有外罩;配置在外罩的內部,並支撐基板的第二電極;配置在外罩的內部或是外部,與上述第二電極面對的第一電極;向外罩的內部,提供製程氣體的製程氣體供應單元;以及包含,提供上述製程氣體時,利用連接於上述第一電極的第一高頻電源以及連接於上述第二電極的第二高頻電源,在外罩的內部,形成/產生電漿的電漿形成單元,上述製程氣體供應單元包含設在外罩的內側壁,而噴出上述製程氣體的噴嘴;以及設置在外罩的外側壁而貫通外罩的內側壁而形成的孔,透過此孔而連接於上述噴嘴,使上述噴嘴旋轉的旋轉控制部。
上述旋轉控制部,可使上述噴嘴,沿著外罩的內側壁周圍,自動旋轉。
上述旋轉控制部包含,機體;設置在機體之內部,使上述製程氣體由外部進入的製程氣體注入口;以及更可包含,結合於上述機體,與驅動區相連動而向上述機體提供旋轉力之軸體。
上述旋轉控制部還可以包含,使機體和軸體之間維持氣密性的密封配件。
上述密封配件有可能是磁體密封 (Magnetic Seal)。
上述製程氣體注入口,以外罩的高度方向作為長度方向而形成,或以外罩的高度方向的相反方向作為長度方向而形成。
上述製程氣體供應單元更可以包含,供應上述製程氣體的製程氣體供應源;以及將上述製程氣體移動至上述噴嘴的製程氣體供應管線。
上述製程氣體供應管線使上述製程氣體供應源和上述旋轉控制部相連接,並透過上述旋轉控制部,將上述製程氣體移動至噴嘴。
上述旋轉控制部,可控制上述噴嘴之旋轉速度。
複數個上述噴嘴在沿著外罩的內側壁周圍設置,旋轉控制部可以與複數個噴嘴的其中至少一個噴嘴相連接。
上述基板處理裝置是在外罩內,配置於上述基板之上部,更可包含,在其表面上有複數個氣體噴射孔之沖洗頭單元,而上述製程氣體供應單元經由貫通外罩上部而形成的孔,連接於沖洗頭單元。
上述製程氣體供應單元是利用噴嘴以及沖洗頭單元的其中一個,將製程氣體提供於外罩的內部;或利用上述噴嘴以及沖洗頭單元的其中一個,將上述製程氣體提供至外罩的內部後,接著利用上述噴嘴以及沖洗頭單元的另一個,可將上述製程氣體提供至外罩的內部。
上述基板處理裝置可以是真空室。
並且,為達成上述技術性課題,本發明之基板處理裝置之另一實施態樣包含:外罩;配置在外罩的內部,並支撐基板的第二電極;配置於外罩的內部或是外部,而面向上述第二電極的第一電極;向外罩的內部,提供製程氣體的製程氣體供應單元;以及提供上述製程氣體後,與上述第一電極連接之第一高頻電源,以及利用與上述第二電極連接之第二高頻電源,在外罩的內部產生電漿之電漿形成單元;製程氣體供應單元是設在外罩的內側壁,而噴射上述製程氣體的噴嘴;以及設在外罩的外側壁而經由貫通外罩的內側壁而形成的孔,連接於上述噴嘴,並旋轉上述噴嘴的旋轉控制部,上述旋轉控制部包含,機體;設在上述機體之內部,將上述製程氣體由外部流入之製程氣體注入口;與機體結合而連動於驅動部,並提供機體旋轉力之軸體;以及更包含一個密封配件,使機體和軸體之間維持氣密性,上述旋轉控制部使上述噴嘴,沿著外罩的內側壁周圍,自動旋轉,而上述密封配件為磁封 (Magnetic Seal)。
同時,為達成上述技術性課題,本發明之製程氣體供應單元之一實施態樣是真空室,提供製程氣體於,以電漿處理基板的基板處理裝置內部,供應上述製程氣體的製程氣體供應源;設置在上述基板處理裝置之內側壁,將上述製程氣體,向上述基板處理裝置之內部噴射的噴嘴;將上述製程氣體移動至上述噴嘴的製程氣體供應管線;以及設置在上述基板處理裝置之外側壁,由上述基板處理裝置內側壁貫通而形成的孔,連接於上述噴嘴,並旋轉上述噴嘴的旋轉控制部。
其他實施例的具體細節,包含在詳細說明和圖面上。
以下是參照附加圖面,詳細說明本發明的實施例。圖面上之相同組成要素,則使用相同的參考符號,並省略其重複說明。
本發明是在利用電漿處理基板時,為均勻提供製程氣體在基板上各區塊而需要的製程氣體供應單元與具備該製程氣體供應單元的基板處理裝置。本發明通過均勻提供製程氣體,得到基板處理效率提高的效果。以下參照附圖等詳細說明本發明。
圖1是依本發明一實施例的基板處理裝置的內部構造,以例示性圖示的斷面圖。
根據圖1,基板處理裝置 (100) 可包含外罩 (110),基板支撐單元 (120),清洗用氣體供應單元 (130)、電漿形成單元 (140)、製程氣體供應單元 (150),內墊單元 (160),檔板單元 (170) 以及天線單元 (180) 所組成。
基板處理裝置 (100) 是利用電漿處理基板 (W)(像是晶圓 (Wafer) ) 的裝置。這種基板處理裝置 (100) 可在真空環境下,處理基板 (W) 的蝕刻或清洗,亦可將基板 (W) 做沉積處理。基板處理裝置 (100) 可以安排在蝕刻製程室 (Etching Process Chamber) 或是清洗製程室 (Cleaning Process Chamber)或沉積製程室(Deposition Process Chamber)。
外罩 (110) 是利用電漿處理基板 (W) 之製程,也就是提供電漿製程 (Plasma Process) 進行之空間。這種外罩 (110) 在其下部,可具備排氣孔 (111)。
排氣孔 (111) 可連接在安裝幫浦 (112) 的排氣管線 (113)。排氣孔 (111) 透過排氣管線 (113)可將電漿製程中產生的反應後副產物以及在外罩 (110) 內部殘留的氣體,排放至外罩 (110) 的外部。這時,外罩 (110) 的內部空間,可減壓成為一定壓力。
外罩 (110) 則在其側壁上,可形成開口區(114)。開口區 (114) 的功能為基板 (W) 向外罩 (110) 的內部出入的通路,開口區 (114) 可由門的組裝配件 (115)使其開關。
門的組裝配件 (115) 可由外側門 (115a) 與門驅動器 (115b)組成。外側門 (115a) 是提供於外罩 (110) 的外牆。這種外側門 (115a) 可經由門驅動器 (115b),向基板處理裝置 (100) 的高度方向,也就是第三方向 (30) 移動。門驅動器 (115b) 可藉由馬達、油壓汽缸或空壓汽缸中,任選其一來啟動。
基板支撐單元 (120) 是設在外罩 (110) 的內部下側區塊。此基板支撐單元 (120) 可利用靜電力來支撐基板 (W)。但是本實施例並不限定於此。基板支撐單元 (120) 亦可透過機械夾緊 (Mechanical Clamping)、真空 (Vacuum) 等各種方式來支撐基板 (W)。
基板支撐單元 (120) 是利用靜電力來支撐基板 (W) 時,亦可由基座 (121) 和靜電吸盤 (ESC;Electro Static Chuck,122)組成。
靜電吸盤 (122) 是利用靜電力,支撐其安置在上部之基板 (W) 的基板支撐配件。這種靜電吸盤 (122) 可使用陶瓷材質,並可結合於基座 (121) 而固定在基座 (121) 上。
在圖1中,雖然沒有顯示,靜電吸盤 (122) 亦可利用驅動配件,由外罩 (110) 的內部可移動至第三方向 (30) 的方式來設置。像這樣,靜電吸盤 (122) 以基板處理裝置 (100) 的高度方向可移動的方式時,就可更有效地使基板 (W) 定位於更均勻分佈電漿的區塊。
環狀組件 (123) 是要圍繞靜電吸盤 (122) 的邊緣。這樣的環狀組件 (123) 是以環狀的形態,而可覆蓋基板 (W) 的周圍邊緣區。環狀組件 (123) 亦可包含聚焦環 (Focus Ring;123a) 以及邊環 (Edge Ring;123b) 。
聚焦環 (Focus Ring;123a) 是在絕緣體環 (123b) 的內側形成,可直接包圍靜電吸盤 (122)。聚焦環 (Focus Ring;123a) 可以是矽膠材質,在外罩 (110) 的內部進行電漿製程時,可將離子集中於基板 (W) 上的功能。
邊環 (Edge Ring) (123b) 可形成在聚焦環 (123a) 的外側,而包住聚焦環 (123a) 的方式。邊環 (123b) 是絕緣體的環而可採用石英 (Quartz) 材質,以免由電漿造成靜電吸盤 (122) 的側面損傷時,其具有防止損傷的功能。
加熱配件 (124) 以及冷卻配件 (125) 是在外罩 (110) 內部進行基板處理製程時,使基板 (W) 維持在製程溫度。加熱配件 (124) 為提升基板 (W) 溫度,可採用熱線,並可設在基板支撐單元 (120) 的內部,例如靜電吸盤 (122) 內部。冷卻配件 (125) 為使基板 (W) 的溫度下降,可由流動冷媒的冷卻管線來提供,可以設在基板支撐單元 (120) 的內部,像是基座 (121) 內。
另外,冷卻配件 (125) 可利用冷卻裝置 (Chiller;126) 取得冷媒。冷卻裝置 (126) 可另設在外罩 (110) 的外部。
清洗用氣體供應單元 (130) 為去除殘留在靜電吸盤 (122) 或環狀組件 (123) 的異物質而提供第一氣體。清洗用氣體供應單元 (130) 為此而可包含清洗用氣體供應源 (131) 以及清洗用氣體供應管線 (132)。
清洗用氣體供應源 (131) 可將氮氣 (N 2Gas) 作為清洗用氣體。清洗用氣體供應源 (131) 只要可有效去除靜電吸盤 (122) 或環狀組件 (123) 上殘留的雜質,除了氮氣以外,亦可提供其他氣體作為清洗用氣體。
清洗用氣體供應管線 (132) 是輸送清洗用氣體供應源 (131) 的清洗用氣體。這樣的清洗用氣體供應管線 (132) 可連接於靜電吸盤 (122) 和聚焦環 (123a) 之間的空間,清洗用氣體可由上述空間移動後,清除靜電吸盤 (122) 的邊緣部分或在環狀組件 (123) 的上部等殘留的雜質。
電漿形成單元 (140) 是藉由殘留在放電空間之氣體形成電漿。在此,放電空間是指,外罩 (110) 的內部空間中,屬於基板支撐單元 (120) 的上部位置。
電漿形成單元 (140) 是利用電感耦合電漿源,可在外罩 (110) 內部的放電空間形成電漿。也就是電漿形成單元 (140) 是利用感應耦合電漿(Inductively Coupled Plasma, ICP  ) 源,在外罩 (110) 內部的放電空間形成電漿。這種情形時,電漿形成單元 (140) 可以像是以天線單元 (180) 作為第一電極,靜電吸盤 (122) 作為第二電極來使用。
電漿形成單元 (140) 可以是包含第一高頻電源 (141)、第一傳輸線路 (142),第一電極、第二高頻電源 (143)、第二傳輸線路 (144) 以及第二電極所組成。
第一高頻電源 (141) 是在第一電極應用射頻功率(Radio frequency, RF)。例如以天線單元 (180) 作為第一電極時,第一高頻電源 (141) 可以在天線單元 (180) 應用射頻功率(RF)。。
第一傳輸線路 (142) 是連接於第一電極和GND(接地端)。第一高頻電源 (141) 可以設在這樣的第一傳輸線路 (142) 上。
第一高頻電源 (141)可用於,控制基板處理裝置 (100) 中的電漿特性。例如,第一高頻電源(141)可用於調節離子轟擊能量 (Ion Bombardment Energy)。
第一高頻電源 (141) 是在基板處理裝置 (100) 內安排單數個,但也可以安排複數個。第一高頻電源 (141) 在基板處理裝置 (100) 內有複數個時,第一傳輸線路 (142) 可以用並排方式配置。
第一高頻電源 (141) 在基板處理裝置 (100) 內有複數個時,雖在圖1沒有顯示,電漿形成單元 (140) 更可包含與複數個第一高頻電源以電力連接之第一匹配網絡。在此,第一匹配網絡在每個第一高頻電源輸入不同大小的頻率電力時,可將這些頻率電力整合後,將它們認可到第一電極。
另外在圖雖沒有顯示,連接第一高頻電源 (141) 和第一電極之第一傳輸線路 (142) 上,為阻抗整合之目的,可安排第一阻抗整合電路。第一阻抗整合電路可作用為無損無源電路,使電能從第一高頻電源(141)最大限度傳輸到第一電極。
第二高頻電源 (143) 是在第二電極上應用射頻功率(RF)。像是以靜電吸盤 (122) 作為第二電極時,第二高頻電源 (143) 就可以在靜電吸盤 (122) 應用射頻功率(RF)。
第二傳輸線路 (144) 是連接於第二電極和GND(接地端)。第二高頻電源 (143) 可以設置在這樣的第二傳輸線路 (144) 上。
第二高頻電源 (143) 可在基板處理裝置 (100) 內扮演產生電漿的電漿源功能。並且,第二高頻電源 (143) 可與第一高頻電源 (141) 一起扮演,調控電漿特性之功能。
第二高頻電源 (143) 在基板處理裝置 (100) 內,可安排單數個,亦可安排複數個。第二高頻電源 (143) 在基板處理裝置 (100) 內,安排複數個時,可以在第二傳輸線路 (144) 上,以並排配置。
第二高頻電源 (143) 在基板處理裝置 (100) 內,安排複數個時,NJO 在圖1雖沒有顯示,電漿形成單元 (140) 更可包含,將複數個第二高頻電源以電力連接之第二匹配網路。在此,第二匹配網路對於每個第二高頻電源在輸入不同幅度的頻率電功率時,可將這些頻率的電力進行整合而應用至第二電極。
另外,雖在圖1沒有顯示,將第二高頻電源 (143) 和第二電極連接之第二傳輸線路 (144) 上,為整合阻抗之目的,可安排第二阻抗整合電路。第二阻抗整合電路作用為無損無源電路,使電能可以從第二高頻電源 (143) 最大程度地傳輸到第二電極。
第二高頻電源 (143) 設在第二傳輸線路 (144) 上時,電漿形成單元 (140) 就可以在基板處理裝置 (100) 適用多重頻率 (Multi Frequency),這樣就可以提升基板處理裝置 (100) 的基板處理效率。但是,本實施例並不受侷限與此.電漿形成單元 (140) 亦可以是不包含第二高頻電源 (143) 的結構。也就是,亦可沒有將第二高頻電源 (143) 設置在第二傳輸線路 (144) 上。
製程氣體供應單元 (150) 是向外罩 (110) 的內部空間提供製程氣體。這樣的製程氣體供應單元 (150) 可設在外罩 (110) 的側面。有關製程氣體供應單元 (150) 的詳細說明,之後再敘述。
內墊單元 (Liner Unit or Wall Liner) (160) 是製程氣體在激發過程中產生的電弧放電,或基板處理製程中產生的雜質(不純物)中為保護外罩 (110) 的內部而用。因此內墊單元 (160) 可以用覆蓋外罩 (110) 的內側壁方式形成。
內墊單元 (160) 在其上部,可包含支撐環 (161)。支撐環 (161) 是在 內墊單元 (160) 的上部,以外側方向(也就是第一方向 (10))突出形成,而使 內墊單元 (160) 可以固定在外罩 (110) 上。
檔板單元 (170) 是負責排放電漿製程的副產物、未反應之氣體等。這樣的檔板單元 (170),可設在外罩 (110) 的內側壁和基板支撐單元 (120) 之間。
檔板單元 (170) 可以用環狀的型態提供,並可具備以上下方向(也就是,第三方向 (30))貫通的複數個貫通孔。檔板單元 (170) 可依照貫通孔的數量與形狀來控制製程氣體的流動。
天線單元 (180) 是在外罩 (110) 的內部形成磁場與電場而透過製程氣體供應單元 (150),將流入外罩 (110) 內部之製程氣體,激發成為電漿的功能。天線單元 (180) 為此,它可以包含使用線圈形成閉環的天線 (181),可利用由第一高頻電源 (141) 供應之 RF電力。
天線單元 (180) 可設在外罩 (110) 的上部面。此時天線 (181) 可以設置成以外罩 (110) 的寬度方向(第一方向 (10))為長度方向,並使其大小可足夠對應於外罩 (110) 的直徑。
天線單元 (180) 可以是平板型結構 (Planar Type) 形成。但是本實施例並不受侷限與此。天線單元 (180) 也可以是圓柱形結構 (Cylindrical Type)。此時,天線單元 (180) 可以用圍繞外罩 (110) 的外側壁的方式設置。
另外,外罩 (110) 的上部面與天線單元 (180) 之間,亦可設置視窗模組 (190)。此時,外罩 (110) 的上面可以是開放的,而使視窗模組 (190) 覆蓋外罩 (110) 的上部面的方式設置。也就是視窗模組 (190) 可作為,密閉外罩 (110) 的內部空間之外罩 (110) 的上部蓋子功能。
視窗模組 (190) 可以由絕緣物質 (例如,鋁 (Al2O3)) 為素材而成為介電質窗 (Dielectric Window)。視窗模組 (190) 是在外罩 (110) 的內部進行電漿製程時,為抑制產生的雜質 (Particle) 亦可在表面塗上一層薄膜。
製程氣體供應單元 (150) 是有如前面所述,設在外罩 (110) 的側面,而經由貫通外罩 (110) 側壁而形成的孔 (Hole),向外罩 (110) 的內部空間提供製程氣體。製程氣體供應單元 (150) 為此而更可包含製程氣體供應源 (151) 以及製程氣體供應管線 (152)。
製程氣體供應源 (151) 可以將處理基板 (W) 時使用的氣體作為製程氣體來提供。製程氣體供應源 (151) 可以將蝕刻氣體或清洗用氣體作為製程氣體來提供,也可以用沉積氣體作為製程氣體來提供。
在基板處理裝置 (100) 中,可以設置至少一個製程氣體供應源 (151)。基板處理裝置 (100) 中,若設置複數個處理氣體供應源 (151) 時,可短時間內取得,大量氣體的效果。另外,在基板處理裝置 (100)備有複數個處理氣體供應源 (151) 時,複數個處理氣體供應源 (151) 亦可提供不同的氣體。例如,一部份製程氣體供應源 (151) 提供蝕刻氣體,另一些的製程氣體供應源 (151) 提供洗淨用氣體,再另外的一些製程氣體供應源 (151) 則可提供沉積氣體。
製程氣體供應管線 (152) 是將製程氣體供應源 (151) 提供之製程氣體,輸送到外罩 (110) 的內部。為此,製程氣體供應管線 (152) 可連接於製程氣體供應源 (151) 以及貫通外罩 (110) 側壁而形成的孔。
基板處理裝置 (100) 可利用電漿形成單元 (140) 以及製程氣體供應單元 (150) 來處理基板 (W)。也就是,基板處理裝置 (100) 在外罩 (110) 的內部而基板 支撐單元 (120) 上,已配置好基板 (W) 時,可利用製程氣體供應單元 (150),向外罩 (110) 的內部提供製程氣體,並利用電漿形成單元 (140) 在外罩 (110) 的內部,產生電漿而處理基板 (W)。
但是如圖2所示,若有孔 (220) 貫通於外罩 (110) 的側壁 (210) 而形成,並由此孔 (220),與製程氣體供應源 (151) 連接的噴嘴 (230) 向外罩 (110) 內部提供製程氣體 (240) 時,與上述噴嘴 (230) 距離較近之基板 (W) 的第一區域 (250a),會提供較多量的製程氣體 (240),相反的位於與上述噴嘴 (230) 距離較遠的基板 (W) 之第二區域 (250b),會提供到較少量的製程氣體 (240)。也就是製程氣體 (240) 無法均勻提供在基板 (W) 上的各區塊,而使基板處理效率低下。圖2是在說明以基板處理裝置的側面提供製程氣體時,所產生的問題之例示圖。
在本發明中,連接在製程氣體供應單元 (150) 的噴嘴 (230),沿著外罩 (110) 的內側壁周圍在旋轉為特徵。本發明可藉此,在基板 (W) 上的各區塊提供均勻的製程氣體 (240),而達到提升基板處理效率。以下做詳細說明。
圖3是依本發明的一實施例,示意性地表示,設在基板處理裝置側壁上的製程氣體供應單元內部結構的第一示例圖。
依圖3,製程氣體供應單元 (150) 可包含,氣體供應源 (151)、製程氣體供應管線 (152)、噴嘴 (230) 以及旋轉控制部 (300) 所組成。
關於製程氣體供應源 (151) 以及製程氣體供應管線 (152),以圖1為參照已有做過說明,因此在這裡就省略詳述。
噴嘴 (230) 是沿著製程氣體供應管線 (152) 提供的製程氣體,再提供到外罩 (110) 內的基板 (W) 所在位置的區塊。這樣的噴射嘴 (230) 可裝貼在外罩 (110) 的內側壁,隨著旋轉控制部 (300) 的調控,沿著外罩 (110) 的內側壁周圍(也就是,對外罩 (110) 的高度,垂直之方向)旋轉。
噴嘴 (230) 可在外罩 (110) 的內側壁設置單數個。但是本實施例並不將其限定。噴嘴 (230) 也可以在外罩 (110) 的內側壁設置複數個。有關於此,在後面做詳述。
旋轉控制部 (300) 是使噴嘴 (230) 沿著外罩 (110) 的內側壁周圍旋轉。噴嘴 (230) 隨著旋轉控制部 (300) 的這樣功能,可使基板 (W) 上的各區塊,達到均勻提供製程氣體的效果。
旋轉控制部 (300) 可使自動旋轉噴嘴 (230)。但本實施例並不限定於此。旋轉控制部 (300) 亦可將噴嘴 (230) 以手動旋轉。
旋轉控制部 (300) 以自動旋轉噴嘴 (230) 時,可包含像是機體 (310)、製程氣體注入口 (320)、軸體 (330)、驅動部 (340) 與密封配件 (350) 所組成。
機體 (310) 是組成旋轉控制部 (300) 的主體。這機體 (310) 是設在外罩 (110) 的外側壁,可連接於貫通外罩 (110) 的側壁而形成之孔 (220)。
製程氣體注入口 (320) 是設在機體 (310) 的內部。製程氣體透過這製程氣體注入口 (320),流入機體 (310) 的內部,並透過連接在機體 (310) 的外罩 (110) 側壁孔 (220) 以及噴嘴 (230),流入外罩 (110) 的內部。
製程氣體注入口 (320) 亦可從機體 (310) 內的下部面,往上面的方向(正的第三方向 (+30))延長的形成。但是,本實施例並不將其限定。製程氣體注入口 (320),如圖4顯示,亦可在機體 (310) 內的上部面,以往下的方向(負的第三方向 (-30))延長而形成。圖4是依本發明的一實施例,設在基板處理裝置側壁之製程氣體供應單元的內部結構,以概略性顯示之第二例示圖。
製程氣體注入口 (320) 亦可在機體 (310) 內部設置單數個。但是本實施例並不將其限定。製程氣體注入口 (320) 在機體 (310) 內部,亦可設複數個。
製程氣體注入口 (320) 在機體 (310) 內部設置複數個時,複數個製程氣體注入口 (320) 都是從機體 (310) 內的下部面,往上方的方向延長形成,或是機體 (310) 內的上部面,往下方的方向延長形成。但是,本實施例並不將其限定。 複數個的製程氣體注入口 (320) 中,一部份的製程氣體注入口 (320) 可從機體 (310) 內的下部面,往上方的方向延長形成,另一部份的製程氣體注入口 (320) 亦可從機體 (310) 內的上部面,往下方的方向延長形成。
另外,製程氣體供應管線 (152) 的一部份是插入在機體 (310) 內部,透過這樣形成的結構,可連接於製程氣體注入口 (320)。
再以圖3為參考做說明。
軸體 (330) 會使機體 (310) 沿著外罩 (110) 外側壁的周圍去旋轉。機體 (310) 透過軸體 (330) 的這些功能,會沿著外罩 (110) 的外側壁周圍旋轉,與機體 (310) 以連動方式構成的噴嘴 (230) 會隨著機體 (310) 的旋轉,也會沿著外罩 (110) 的內側壁周圍旋轉。
軸體 (330) 可插入在機體 (310) 的一端形成的孔內與機體 (310) 結合。這樣的軸體 (330) 會隨著驅動部 (340) 的啟動,給機體 (310) 提供旋轉力,使機體 (310) 沿著外罩 (110) 的外側壁周圍旋轉。例如,軸體 (330) 就像是利用馬達旋轉輪胎的原理,可使機體 (310) 沿著外罩 (110) 的外側壁周圍旋轉。
軸體 (330) 可經由鋸齒結構的旋轉傳輸方法,給機體 (310) 提供旋轉力。但是本實施例並不將其限定於此。軸體 (330) 固定在機體 (310) 內,與驅動部 (340) 連動的機械手臂(或是包含驅動部 (340) 的機械手臂)在啟動時,產生推動或拉動機體 (310) 的力量,而使機體 (310) 旋轉也是可行的。
驅動部 (340) 是向軸體 (330) 提供動力。驅動部 (340) 也可以像是,包含步進馬達 (Step Motor) 的方式進行。
密封配件 (350) 是將插入在機體 (310) 孔內的軸體 (330) 和機體 (310) 的孔之間產生的縫隙而密封。基板處理裝置 (100) 可以用成為真空室 (Vacuum Chamber),外罩 (110) 的內部在真空狀態下,處理基板 (W)。但是,若軸體 (330) 插入於機體 (310) 的孔內,而與機體 (310) 結合時,有可能在軸體 (330) 和機體 (310) 之間產生縫隙,這個縫隙可能會造成外罩 (110) 的內部,不是真空狀態。
在本發明中,為解決這些問題,在軸體 (330) 和機體 (310) 之間,具體的說,在機體 (310) 的孔內插入的軸體 (330) 和機體 (310) 的孔之間,可形成一個密封配件 (350)。有了這樣的密封配件 (350),軸體 (330) 和機體 (310) 之間就能維持氣密,在處理基板 (W) 的時段,就能維持外罩 (110) 的內部為真空狀態。
另外,密封配件 (350),也可以不是在軸體 (330) 和機體 (310) 之間,而如圖5所示,設在機體 (310) 的外側表面和軸體 (330) 的接觸部分上亦可。圖5是依照本發明的一實施例,概略顯示設在基板處理裝置側壁之製程氣體供應單元內部結構之第三例示圖。
另外,密封配件 (350) 在本實施例中,可以採用O型環 (O-Ring) 等,但為避免在基板處理裝置 (100) 內產生微塵 (Particle) 等問題,比較理想是採用磁封 (Magnetic Seal)。
雖在圖3至圖5沒有顯示,旋轉控制部 (300) 更可以為控制噴嘴 (230) 的旋轉速度,而包含轉速調控模組。此時,轉速調控模組可以是連接在驅動部 (340) 的方式組成。
製程氣體可以在處理基板 (W) 之前,提供到外罩 (110) 內。而製程氣體在處理基板 (W) 的途中,也可以提供到外罩 (110) 內。若在處理基板 (W) 之前,製程氣體可以用緩慢的速度提供到外罩 (110) 內。相反的,在處理基板 (W) 的製程中,製程氣體就可以用很快的速度提供到外罩 (110) 內。
因此,轉速調控模組可依照處理基板 (W) 的進行狀況來控制噴嘴 (230) 的旋轉速度。也就是,在處理基板 (W) 之前,控制轉速模組將噴嘴 (230) 轉成緩慢的速度,正在處理基板 (W) 的途製程中,就可將噴嘴 (230) 轉成快速。
有如前面所述,噴嘴 (230) 可沿著外罩 (110) 的內側壁周圍設置複數個。此時,複數個噴嘴 (230) 為製程氣體的均勻分配,可以用相同間距配置。
製程氣體供應單元 (150) 若包含複數個噴嘴 (230) 時,旋轉控制部 (300) 就可在外罩 (110) 的外側壁設置複數個而與各個噴嘴 (230) 相結合。但是本實施例並不將其限定。旋轉控制部 (300) 可在外罩 (110) 的外側壁,設置單數個,此時旋轉控制部 (300) 亦可從複數個的噴嘴 (230) 中,任選一個噴嘴 (230) 來結合。
例如,如圖6所示,亦可在外罩 (110) 的內部設置4個噴嘴 (230a、230b、230c、230d),而旋轉控制部 (300) 與第一噴嘴 (230a) 相結合的結構。另外,旋轉控制部 (300) 透過連接模組,與所有複數個的噴嘴 (230) 結合亦可。圖6是依本發明的一實施例,設在基板處理裝置的側壁上的製程氣體供應單元的配置結構之概略性例示圖。
另外,外罩 (110) 的內部,若設置複數個噴嘴 (230) 時,製程氣體供應單元 (150) 為噴射均等量的製程氣體於各個噴嘴 (230),而可在製程氣體供應管線 (152),安裝製程氣體分配器,各個噴嘴 (230) 亦可連接於,由製程氣體分配器分出來的製程氣體供應管線 (152) 上。
另外,基板處理裝置 (100) 更可包含沖洗頭單元 (Shower Head Unit)。以下為此作說明。
圖7是依本發明的另一實施例的基板處理裝置的內部結構之概略性圖示之第一例示圖。
依據圖7,基板處理裝置 (100) 可包含外罩 (110)、支撐基板單元 (120)、清洗用氣體供應單元 (130)、電漿形成單元 (140)、製程氣體供應單元 (150)、內墊單元 (160)、檔板單元 (170)、天線單元 (180)、視窗模組 (190) 以及沖洗頭單元 (410) 所組成。
關於外罩 (110)、支撐基板單元 (120)、清洗用氣體供應單元 (130)、電漿形成單元 (140)、製程氣體供應單元 (150)、內墊單元 (160)、檔板單元 (170)、天線單元 (180) 以及視窗模組 (190),我們在前面已參照圖1做說明,在此就省略詳述。
沖洗頭單元 (410) 可包含複數個氣體噴射孔 (Gas Feeding Hole),設置在外罩 (110) 的內部。這樣的沖洗頭單元 (410) 可以設置成為與靜電吸盤 (122),以上下方向第三方向 (30) 相互面對。沖洗頭單元 (410) 可以設置成比靜電吸盤 (122) 還要大的直徑,或者與靜電吸盤 (122) 相同的直徑。沖洗頭單元 (410) 可以採用矽膠材質或以金屬材質。
沖洗頭單元 (410) 可以分割成為複數個模組。例如沖洗頭單元 (410) 可以分割成為第一模組,第二模組,第三模組等三個模組。第一模組可配置於與基板 (W) 的中心區 (Center Zone) 相對應之位置。第二模組可配置成為圍繞第一模組的外側,並對應於基板 (W) 的中心區 (Middle Zone) 的位置。第三模組配置成為圍繞著第二模組的外側,並對應於基板 (W) 的邊緣區 (Edge Zone) 之位置。
另外,複數個氣體噴射孔是貫通,沖洗頭單元 (410) 的機體表面而形成,在此機體上,以同等距離的間隔形成。
基板處理裝置 (100) 若包含沖洗頭單元 (410) 時,製程氣體供應單元 (150) 不僅可以透過貫通外罩 (110) 側壁而形成的孔 (220),向外罩 (110) 內部空間提供製程氣體,亦可經由貫通於設在外罩 (110) 上部的視窗模組 (190) 而形成的孔 (420),向外罩 (110) 的內部空間提供製程氣體。由以下說明中,我們將貫通外罩 (110) 側壁而形成的孔 (220) 定義為第一孔 (220),貫通視窗模組 (190) 而形成的孔 (420),定義為第二孔 (420)。
製程氣體藉由第二孔 (420) 流入外罩 (110) 的內部空間時,經由沖洗頭單元 (410) 上形成的複數個氣體噴射孔,可均於提供於基板 (W) 上的各區塊。因此基板處理裝置 (100) 若包含沖洗頭單元 (410) 時,製程氣體供應單元 (150) 可如下操作。
第一,製程氣體供應單元 (150) 可由第一孔 (220) 和第二孔 (420) 向外罩 (110) 的內部空間提供製程氣體。此時,製程氣體供應單元 (150) 可由第一孔 (220) 和第二孔 (420) 同時提供製程氣體,也可以依序利用第一孔 (220) 和第二孔 (420),提供製程氣體亦可。
第二,製程氣體供應單元 (150) 由第一孔 (220) 和第二孔 (420) 中,任選其中一個孔,可向外罩 (110) 的內部空間提供製程氣體。例如,若旋轉控制部 (300) 沒有正常啟動,製程氣體供應單元 (150) 則利用第二孔 (420) 向外罩 (110) 的內部空間提供製程氣體。
第三,製程氣體供應單元 (150) 由第一孔 (220) 和第二孔 (420) 中,任選其中一個孔,可向外罩 (110) 的內部空間提供製程氣體以後,接著利用另一個孔,向外罩 (110) 的內部空間提供製程氣體亦可。例如,在處理基板 (W) 之前,製程氣體供應單元 (150) 則利用第一孔 (220) 向外罩 (110) 的內部空間提供製程氣體,在處理基板 (W) 的途中,製程氣體供應單元 (150) 則利用第二孔 (420) 向外罩 (110) 的內部空間提供製程氣體亦可。
另外,上述說明的基板處理裝置 (100) 是電漿形成單元 (140) 以電感耦合電漿源(也就是,ICP 源)向外罩 (110) 內部的放電空間產生電漿的例示。但是本實施例,並不將其限定於此。構成基板處理裝置 (100) 的電漿形成單元 (140),可以是利用電容耦合電漿源,向外罩 (110) 內部的放電空間形成電漿的。也就是,電漿形成單元 (140) 是利用是以電容耦合電漿源 (Capacitively Coupled Plasma, CCP) 源,向外罩 (110) 內部的放電空間,形成電漿亦可。
圖8是依本發明的另一實施例之基板處理裝置的內部結構,以概略性圖示的第二例示圖。
據圖8,基板處理裝置 (100) 可包含外罩 (110)、支撐基板單元 (120)、洗淨氣體供應單元 (130)、電漿形成單元 (140)、製程氣體供應單元 (150)、內墊單元 (160) 以及檔板單元 (170)。關於圖8之基板處理裝置 (100),與圖1的基板處理裝置 (100) 做比較後,在此僅說明有相異之處。
電漿形成單元 (140) 是可利用電容耦合電漿源(也就是,CCP源)在外罩 (110) 內部的放電空間形成電漿。這種情況,電漿形成單元 (140) 可將在外罩 (110) 內側/外側的上部,與靜電吸盤 (122) 面對面設置的金屬配件(例如,陶瓷成分的配件)作為第一電極,將靜電吸盤 (122) 作為第二電極使用。
另外,參考圖3至圖6說明的製程氣體供應單元 (150) 也就是,設在外罩 (110) 的側壁,並包含旋轉控制部 (300) 之製程氣體供應單元 (150),如圖1為參考說明過的 ICP Type 的基板處理裝置 (100) 之情形一樣,以圖8為參考說明過的 CCP Type 的基板處理裝置 (100) 上,也理所當然的一樣都能適用。
另外,CCP Type 的基板處理裝置 (100) 也有如圖9所示,更可以包含沖洗頭單元 (410),此時,製程氣體供應單元 (150) 有如參照圖7所做的說明,也一樣可以適用的。圖9是依本發明另一實施例的基板處理裝置內部結構,概略性圖示的第三例示圖。
上面參照圖1至圖9,說明了依本發明的各個實施型態的具備製程氣體供應單元 (150) 的基板處理裝置 (100)。依本發明的基板處理裝置 (100) 的特徵在於,具有將氣體均勻注入真空室而需要的旋轉氣體分配環。上述基板處理裝置 (100) 可保持真空室的真空以及高溫條件,而通過氣體分配環的旋轉,取得提高處理效率的效果。
基板處理裝置 (100) 可在真空室 (Vacuum Chamber) 內部氣體均勻。此時,基板處理裝置 (100) 以使用磁密封 (Magnetic Seal) 的氣體注入單元 (Gas Ring) 的旋轉,在保持真空的狀態下,可使真空室內部,形成均勻的氣體密度,基板處理裝置 (100) 可藉此取得提高電漿均勻性和製程效率的效果。另外,上述氣體注入單元可由步進馬達 (Step Motor) 自動 (Auto) 旋轉到希望的位置,且可通過軸體的鋸齒加工來傳遞旋轉力。
在上面雖已參照圖面,說明了本發明的實施例,但本發明不限定於上述實施例,可以用各種不同的形式製造,對於本發明所屬領域的普通技術人員,在不改變本發明的技術思維或基本特徵的情況下,也可做出其他特定形式都是可行的。因此,應當理解為,上述實施例在所有方面都是示例性而不是限定的。
10-30:方向 100:基板處理裝置 110:外罩 120:支撐基板單元 130:洗淨氣體供應單元 140:電漿形成單元 150:製程氣體供應單元 151:製程氣體供應源 152:製程氣體供應管線 160:內墊單元 170:檔板單元 180:天線單元 190:視窗模組 210:側壁 220:第一孔 230:噴嘴 240:製程氣體 250a:基板的第一區塊 250b:基板的第二區塊 300:旋轉控制部 310:機體 320:製程氣體注入口 330:軸體 340:驅動部 350:密封配件 410:沖洗頭單元 420:第二孔
圖1是依本發明一實施例的基板處理裝置的內部構造,以例示性圖示的斷面圖。
圖2是以基板處理裝置的側面提供製程氣體時,其問題點相關說明的例示圖。
圖3是依本發明一實施例,沿著基板處理裝置的側壁設置的製程氣體供應單元的內部結構之概略性圖示之第一例示圖。
圖4是依本發明一實施例,設置在基板處理裝置的側壁的製程氣體供應單元的內部結構之概略性圖示之第二例示圖。
圖5是依本發明一實施例,設在基板處理裝置的側壁的製程氣體供應單元的內部結構之概略性圖示之第三例示圖。
圖6是依本發明一實施例,設在基板處理裝置的側壁的製程氣體供應單元的配置結構之概略性圖示之例示圖。
圖7是依本發明一實施例的基板處理裝置的內部結構,概略性圖示之第一例示圖。
圖8是依本發明一實施例的基板處理裝置的內部結構,概略性圖示之第二例示圖。
圖9是依本發明的另一實施例的基板處理裝置的內部結構,以概略性圖示之第三例示圖。
10-30:方向
110:外罩
151:製程氣體供應源
152:製程氣體供應管線
160:內墊單元
210:側壁
220:第一孔
230:噴嘴
300:旋轉控制部
310:機體
320:製程氣體注入口
330:軸體
340:驅動部
350:密封配件

Claims (20)

  1. 一種基板處理裝置,包含: 一外罩; 一第二電極,配置於該外罩的內部,並支撐一基板; 一第一電極,配置於該外罩的內部或是外部,且面向該第二電極; 一製程氣體供應單元,向該外罩的內部,提供一製程氣體;以及 一電漿形成單元,在該製程氣體被提供之後,該電漿形成單元連接該第一電極的一第一高頻電源以及該第二電極的一第二高頻電源,並在該外罩的內部形成一電漿; 其中,該製程氣體供應單元包含:一噴嘴,設在該外罩的一內側壁,並噴射該製程氣體;以及 一旋轉控制部,設在該外罩的一外側壁,且透過由貫通於該外罩的該內側壁而形成之一孔,而連接於該噴嘴,並使該噴嘴旋轉。
  2. 如請求項1所述的基板處理裝置,其中, 該旋轉控制部使該噴嘴沿著該外罩的該內側壁自動旋轉。
  3. 如請求項1所述的基板處理裝置,其中, 該旋轉控制部包含: 一機體; 一製程氣體注入口,設在該機體的內部,使該製程氣體由外部流入該機體;以及 一軸體,與該機體結合而連動於一驅動部,該機體給上述機體提供一旋轉力。
  4. 如請求項3所述的基板處理裝置,其中, 該旋轉控制部更包含: 一密封配件,保持該機體和該軸體之間的氣密。
  5. 如請求項4所述的基板處理裝置,其中, 該密封配件包含磁密封。
  6. 如請求項3所述的基板處理裝置,其中, 該製程氣體注入口是以該外罩的高度方向為長度方向形成,或是以該外罩的高度方向之相反方向,為長度方向而形成。
  7. 如請求項1所述的基板處理裝置,其中, 該製程氣體供應單元包含: 一製程氣體供應源,供應該製程氣體;以及 一製程氣體供應管線,將該製程氣體移動至該噴嘴。
  8. 如請求項7所述的基板處理裝置,其中, 該製程氣體供應管線連接該製程氣體供應源和該旋轉控制部,並由該旋轉控制部,將該製程氣體移動至該噴嘴。
  9. 如請求項1所述的基板處理裝置,其中, 該旋轉控制部調控該噴嘴的轉速。
  10. 如請求項1所述的基板處理裝置,其中, 該噴嘴的數量為複數個,且設置在該外罩的內側壁周圍; 該旋轉控制部與複數個該噴嘴中的至少一個連接。
  11. 如請求項1所述的基板處理裝置,更包含: 一沖洗頭單元,配置在該外罩內的該基板的上部,且該沖洗頭單元的表面包含複數個的氣體噴射孔, 其中,該製程氣體供應單元經由貫通於該外罩的上部之一孔,而與該沖洗頭單元連接。
  12. 如請求項11所述的基板處理裝置,其中, 該製程氣體供應單元是利用該噴嘴以及該沖洗頭單元中的其中一個,將該製程氣體提供於該外罩的內部;或是利用該噴嘴以及該沖洗頭單元的其中一個,將該製程氣體提供於該外罩的內部後,接著利用該噴嘴以及該沖洗頭單元的另一個將該製程氣體提供於該外罩的內部。
  13. 如請求項1所述的基板處理裝置,其中, 該基板處理裝置包含一真空室。
  14. 一種基板處理裝置,包含: 一外罩; 一第二電極,配置於該外罩的內部,並支撐一基板; 一第一電極,配置於該外罩的內部或是外部,且面向該第二電極; 一製程氣體供應單元,向該外罩的內部,提供一製程氣體;以及 一電漿形成單元,在該製程氣體被提供之後,該電漿形成單元連接該第一電極的一第一高頻電源以及該第二電極的一第二高頻電源,並在該外罩的內部形成一電漿; 其中,該製程氣體供應單元包含: 一噴嘴設在該外罩的內側壁,並噴射該製程氣體;以及 一旋轉控制部,設在該外罩的一外側壁,且透過由貫通於該外罩的該內側壁而形成之一孔,而連接於該噴嘴,並使該噴嘴旋轉, 其中,該旋轉控制部包含: 一機體; 一製程氣體注入口,設在該機體的內部,使該製程氣體由外部流入該機體; 一軸體,與該機體結合而連動於一驅動部,該機體給上述機體提供一旋轉力;以及 一密封配件,保持該機體和該軸體之間的氣密; 其中,該旋轉控制部會使該噴嘴沿著該外罩的內側壁周圍自動旋轉; 該密封配件包含磁密封。
  15. 一種製程氣體供應單元, 在真空室並對於利用一電漿來處理一基板之一基板處理裝置的內部提供製程氣體;該製程氣體供應單元包含: 一製程氣體供應源,提供該製程氣體; 一噴嘴,設於該基板處理裝置的內側壁,將該製程氣體噴射於該基板處理裝置的內部噴嘴; 一製程氣體供應管線,將該製程氣體移動至該噴嘴;以及 一旋轉控制部,設在該外罩的一外側壁,且透過由貫通於該外罩的該內側壁而形成之一孔,而連接於該噴嘴,並使該噴嘴旋轉。
  16. 如請求項15所述的製程氣體供應單元,其中, 該旋轉控制部會使該噴嘴沿著該基板處理裝置的內側壁周圍自動旋轉。
  17. 如請求項15所述的製程氣體供應單元,其中, 該旋轉控制部包含: 一機體; 一製程氣體注入口,設在該機體的內部,使該製程氣體由外部流入該機體; 一軸體,與該機體結合而連動於一驅動部,該機體給上述機體提供一旋轉力。
  18. 如請求項17所述的製程氣體供應單元,其中, 該旋轉控制部更包含: 一密封配件,保持該機體和該軸體之間的氣密。
  19. 如請求項18所述的製程氣體供應單元,其中, 該密封配件包含磁密封。
  20. 如請求項15所述的製程氣體供應單元,其中, 該製程氣體供應管線是連接於該製程氣體供應源和該旋轉控制部,並由該旋轉控制部,將該製程氣體移動至該噴嘴。
TW111126436A 2021-12-17 2022-07-14 基板處理裝置及其製程氣體供應單元 TW202325886A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2021-0182074 2021-12-17
KR1020210182074A KR20230092566A (ko) 2021-12-17 2021-12-17 공정 가스 공급 유닛 및 이를 포함하는 기판 처리 장치

Publications (1)

Publication Number Publication Date
TW202325886A true TW202325886A (zh) 2023-07-01

Family

ID=86744247

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111126436A TW202325886A (zh) 2021-12-17 2022-07-14 基板處理裝置及其製程氣體供應單元

Country Status (5)

Country Link
US (1) US20230197415A1 (zh)
JP (1) JP7476286B2 (zh)
KR (1) KR20230092566A (zh)
CN (1) CN116266527A (zh)
TW (1) TW202325886A (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4506557B2 (ja) 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
JP2012004196A (ja) 2010-06-15 2012-01-05 Tokyo Electron Ltd プラズマ処理装置及びその処理ガス供給構造
JP2013089818A (ja) 2011-10-19 2013-05-13 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9865466B2 (en) 2015-09-25 2018-01-09 Applied Materials, Inc. Silicide phase control by confinement

Also Published As

Publication number Publication date
CN116266527A (zh) 2023-06-20
JP2023090649A (ja) 2023-06-29
US20230197415A1 (en) 2023-06-22
KR20230092566A (ko) 2023-06-26
JP7476286B2 (ja) 2024-04-30

Similar Documents

Publication Publication Date Title
JP5279656B2 (ja) プラズマ処理装置
US11289308B2 (en) Apparatus and method for processing substrate and method of manufacturing semiconductor device using the method
KR102454525B1 (ko) 저-산소 분위기에서 vuv 광에 대한 제어된 노출에 의한 표면 전하 및 임베딩된 기판 전하의 감소
KR20160004408A (ko) 기판 처리 장치 및 기판 처리 방법
US20240063000A1 (en) Method of cleaning plasma processing apparatus and plasma processing apparatus
CN114864365A (zh) 基板制程设备
TW202325886A (zh) 基板處理裝置及其製程氣體供應單元
KR20200051505A (ko) 배치대 및 기판 처리 장치
JP6292470B2 (ja) ノズル式のプラズマエッチング装置
JP2020010001A (ja) クリーニング方法及び基板処理装置
TW202145290A (zh) 隔離環組件、等離子體處理裝置及處理方法
KR20210039759A (ko) 기판 처리 시스템
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法
TWI787958B (zh) 基板處理設備及基板處理方法
KR20220075966A (ko) 배플 유닛 및 이를 구비하는 기판 처리 장치
US20230317416A1 (en) Plasma showerhead with improved uniformity
JP4804968B2 (ja) プラズマ処理装置
KR100712225B1 (ko) 정전척
KR20230071649A (ko) 기판 처리 장치 및 방법
KR20230098977A (ko) 배플 유닛 및 이를 포함하는 기판 처리 장치
KR102299884B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2024076315A (ja) 冷却プレート及びこれを含むプラズマ処理チャンバ
JP2023140638A (ja) 裏面加工装置、プラズマ処理システム及び基板処理方法
KR102322247B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20220083923A (ko) 기판 처리 장치