TW202322243A - 提供對稱射頻返回路徑的製程模組腔室 - Google Patents

提供對稱射頻返回路徑的製程模組腔室 Download PDF

Info

Publication number
TW202322243A
TW202322243A TW111129672A TW111129672A TW202322243A TW 202322243 A TW202322243 A TW 202322243A TW 111129672 A TW111129672 A TW 111129672A TW 111129672 A TW111129672 A TW 111129672A TW 202322243 A TW202322243 A TW 202322243A
Authority
TW
Taiwan
Prior art keywords
indexer
mandrel
conductive
conductive interface
assembly
Prior art date
Application number
TW111129672A
Other languages
English (en)
Inventor
德黑蘭尼 薩姆 傑法里安
卡爾 費德瑞克 李瑟
大衛 弗倫奇
約翰 麥克 威爾茨
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202322243A publication Critical patent/TW202322243A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

包括具有頂板及底部之多站處理腔室的設備包圍站,每一站包含基座組件。於站之間置中的心軸配置成繞著中心軸線旋轉,並電連接至底部。致動器控制心軸在Z方向上的移動。連接至心軸的索引器隨心軸旋轉,並包括延伸部,其各自配置成與對應基板接合以進行基板轉移。可移動式地連接至頂板之導電介面提供RF返回路徑。耦接至接地介面之另一致動器控制導電介面在Z方向上的移動。當電漿處理期間每一該複數延伸部停置且心軸移至較低位置時,導電介面在Z方向上向下移動以與索引器接觸。

Description

提供對稱射頻返回路徑的製程模組腔室
本實施例係關於半導體晶圓處理設備工具,尤指每一站具有通過腔室中心之更對稱射頻(RF)接地返回路徑之多站腔室。
有很多種半導體製造領域中常用之膜沉積製程。一示例製程稱為電漿增強化學氣相沉積(PECVD),其係一種電漿沉積,用於將薄膜從氣態(即蒸氣)沉積成基板(例如晶圓)上之固態。PECVD系統將液態前驅物轉化成輸送至腔室之蒸氣前驅物。PECVD系統可包括以受控方式將液體前驅物汽化以產生蒸氣前驅物之汽化器。
另一示例膜沉積製程稱為原子層沉積(ALD),其亦利用電漿能量來促進沉積。ALD系統用於生產非常薄的膜,其為高度保形、平滑且具有優異物理特性。ALD使用依次引入(或脈衝)於加熱基板上之揮發性氣體、固體或蒸氣。第一前驅物以氣體被引入,其被吸收(或吸附)至基板中且反應腔室中的氣態前驅物被清除。第二前驅物以氣體被引入,其與被吸收的前驅物反應以形成單層所欲材料。透過調節此順序,ALD所產生的膜透過反覆切換兩種或更多反應氣體在基板上之依序流動而一次沉積一個單層。
用於處理PECVD及ALD製程之腔室需要高工程化的結構構造,使得沉積在基板上之所得膜盡可能地均勻,且可在晶圓之間重複製程。在此等腔室中,供應射頻(RF)功率以激發電漿形式的氣體,其導致材料膜之沉積。RF功率之傳輸通常應用於基板固持件(即基座)或噴淋頭。在任一配置中,施加至腔室之RF功率均需要返回路徑。一般,導電腔室壁提供此返回路徑。
此製程在一段時間內運行良好,但隨著對製造更小特徵部尺寸之需求持續推動,對腔室構造及工程幾何不斷提出更嚴格的要求。例如,可用於PECVD以及ALD之一些腔室設計包括多站設計。多站設計是使得沉積製程能夠同時在多個站中進行之設計。此等多站設計已增加與其他站之相鄰處理相關的複雜性。
此外,對於每一晶圓呈不對稱之多站製程模組可能有不對稱RF返回路徑的問題,尤其是在較高頻率下。例如,RF返回路徑對於相應晶圓並非軸對稱,因為導電路徑存在於較靠近腔室邊緣的晶圓而非朝腔室中心之旋轉機構。由於此不對稱性,對應晶圓上可能出現不均勻性。
本文所提供的背景敘述係為了概述本發明脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為相對於本發明的先前技術。
將於本文中提出本發明之實施例。
本實施例係關於用於處理半導體晶圓之製程腔室。尤其,本發明之實施例透過在腔室中提供中心RF返回來減小製程晶圓上之不均勻性,以提高多站製程腔室中RF返回路徑的對稱性。以下敘述本發明之幾個創造性實施例。
本發明之實施例提供配置用於電漿處理的設備。該設備包括多站處理腔室,其包含頂板及底部,多站處理腔室配置成包圍複數站,其各自包括基座組件以支撐用於處理的基板。該設備包括心軸,於於該複數站之間置中並配置成繞著中心軸線旋轉,其中心軸電連接至底部。該設備包括第一致動器,耦接至心軸並配置用於控制心軸於Z方向上之移動。 該設備包括索引器,連接至心軸並配置成隨心軸旋轉,其中索引器包括複數延伸部,其各自配置成與對應基板接合以轉移進出站。該設備包括導電介面,可移動式地連接至該頂板。該設備包括第二致動器,耦接至導電介面並配置用於控制導電介面於Z方向上之移動。導電界面配置成 : 當電漿處理期間每一該複數延伸部停置且心軸移動至較低位置時,該導電介面於Z方向上向下移動以與索引器接觸。
本發明之其他實施例提供配置用於電漿處理之設備。該設備包括多站處理腔室,其包含頂板及底部,多站處理腔室配置成包圍複數站,其各自包括基座組件以支撐用於處理之基板。該設備包括心軸,於該複數站之間置中並配置成繞著中心軸線旋轉。該設備包括第一致動器,耦接至心軸並配置用於控制心軸於Z方向上之移動。 該設備包括索引器,連接至心軸並配置成隨心軸繞著中心軸線旋轉,其中索引器包括複數延伸部,其各自配置成與對應基板接合以轉移進出站。該設備包括導電介面,可移動式地連接至頂板。該設備包括第二致動器,耦接至導電介面並配置用於控制該導電介面於Z方向上之移動。 導電界面具有橫跨索引器之直徑的下端部。此外,導電介面配置成 : 當電漿處理期間每一該複數延伸部停置且心軸移動至較低位置時,導電介面於Z方向上向下移動以與鄰近心軸及索引器之導電結構接觸。導電結構電耦接至該底部。
本發明之另其他實施例提供配置用於電漿處理之設備。該設備包括多站處理腔室,其包含頂板及底部,多站處理腔室配置成包圍複數站,其各自包括基座組件以支撐用於處理之基板。該設備包括心軸,於該複數站之間置中並配置成繞著中心軸線旋轉,其中心軸電連接至該底部。該設備包括致動器,耦接至心軸並配置用於控制心軸於Z方向上之移動。該設備包括索引器,連接至心軸並配置成隨心軸旋轉,其中索引器包括複數延伸部,其各自配置成與對應基板接合以轉移進出站。該設備包括導電介面,連接至索引器。心軸配置成在電漿處理期間於Z方向上向上移至較高位置以使導電介面與頂部接觸。當心軸處於較高位置時,每一該複數延伸部停置。
本發明之另其他實施例提供配置用於電漿處理之設備。該設備包括多站處理腔室,其包含頂板及底部,多站處理腔室配置成包圍複數站,其各自包括基座組件以支撐用於處理之基板。該設備包括心軸,於該複數站之間置中並配置成繞著中心軸線旋轉,其中心軸可移動式地電連接至頂板。該設備包括致動器,耦接至心軸並配置用於控制心軸於Z方向上之移動。該設備包括索引器,連接至心軸並配置成隨心軸旋轉,其中索引器包括複數延伸部,其各自配置成與對應基板接合以轉移進出站。該設備包括導電介面,連接至索引器。該設備包括導電結構,電連接至該底部。心軸配置成在電漿處理期間於Z方向上下向移動以使導電介面與導電結構接觸。當心軸處於較低位置時,每一該複數延伸部停置。
本發明之另其他實施例提供配置用於電漿處理之設備。該設備包括多站處理腔室,其包含頂板及底部,多站處理腔室配置成包圍複數站,其各自包括基座組件以支撐用於處理之基板。該設備包括心軸,於該複數站之間置中並配置成繞著中心軸線旋轉,其中心軸電連接至該底部。該設備包括致動器,耦接至心軸並配置用於控制心軸於Z方向上之移動。 該設備包括索引器,連接至心軸並配置成隨心軸旋轉,其中索引器包括複數延伸部,其各自配置成與對應基板接合以轉移進出站。該設備包括導電介面,連接至索引器,其中該導電介面之一端部延伸進入頂板之行進空間,其中導電介面隨心軸移動。該設備包括導電(例如,流體)密封波紋管組件,其在行進空間之開口周圍連接至頂板。當心軸停置或在Z方向上移動時,導電介面之端部透過軸承與導電密封波紋管組件接合,以與頂板構成持續接觸。當心軸在電漿處理期間移至較低位置時,每一該複數延伸部停置。
本發明之另其他實施例提供配置用於促進多站處理腔室內之RF返回路徑的設備。該設備包括上柱組件,其中上柱組件為導電。該設備包括下柱組件,可移動式地連接至上柱組件,其中下柱組件為導電。上柱組件及該下柱組件配置成在多站處理腔室之頂板與底部之間提供RF返回路徑。
本領域技術人員在閱讀整篇說明書及請求項後,即知悉此些及其他優點。
儘管為說明目的,以下詳細描述包含許多具體細節,但本領域普通技術人員將知悉,以下細節之許多變化及改變均在本發明之範圍內。據此,下文所述之本發明的態樣係在不失去本說明書所附請求項之概括性且不對其施加限制下加以闡述。
整體而言, 本發明之諸多實施例描述透過提供腔室之中心導電路徑以減少製程晶圓上之不均勻性來提高多站製程腔室中RF返回路徑之對稱性的系統及設備。在實施例中,揭示多站腔室,且在一實施方式中為四站模組,其佈設成旋轉機構位於中心位置之方形配置。四站模組配置成在包括上部及底部之大型開放方形腔室中處理四個基座上的四個晶圓。每一基座配置成支撐基板,並設置在下腔室部分中,下腔室部分包括外壁及內壁以定義用於四個腔室之每一基座的空間。在一些實施方式中,每一基座包括載環。在一些實施例中,載環被稱為電漿聚焦環。下腔室部分包括外壁及內壁以定義用於四個腔室之每一基座的空間。腔室進一步包括上腔室部分或頂板。上腔室部分配置成適配於下腔室部分上方。上腔室部分包括四個噴淋頭,且四個噴淋頭中之每一者配置成對準於相應站之相應基座上方。其中,在實施例中,當對每一站之噴淋頭或基座提供射頻(RF)功率時,透過對稱環繞每一站之每一製程開口的導電板來對RF功率提供RF返回。
在一些實施方式中,實施導電結構(例如,導電介面、導電板、一或更多導電棒等)以提供RF返回路徑。例如,導電板可設置於內壁上並附接至外壁。導電板具有中心開口(配置成容納旋轉機構)及用於站之製程開口(具有大於基座及/或載環之直徑)。習知上,腔室沒有中心導電路徑;然而,本發明之實施例透過在旋轉機構之心軸中心附近加設結構以對每一站提供對稱的傳導路徑,其可將腔室的頂板及底部電連接在一起並提供中心RF返回路徑。此中心傳導路徑可實施為穿過旋轉機構之心軸、或利用獨立導電棒或靠近心軸的一組棒、或利用提供返回腔室下部之任何適當結構。此對來自基板及電漿且通過噴淋頭到達頂板之RF訊號提供更對稱的RF返回路徑。本發明之實施例透過使用常見的鋁料尺寸及常見的機械加工特徵以允許腔室之可能公差疊加,為對稱RF電傳導提供更具成本效益的解決方式。在一實施例中,機械加工特徵允許非螺旋彈簧狀結構用於電連接腔室之頂板與下部的導電介面。螺旋電流路徑因可能產生或放大之磁場而可能並不理想,而本發明之實施例的階狀樑彎曲結構可能導致電流呈曲折(zig-zag),因而產生較少不利的磁場。
提供腔室中心接地結構之諸多實施例的優點包括對多站腔室(其對於站中之晶圓呈不對稱)中每一站提高RF返回路徑的對稱性。進一步實施例因提高多站腔室中站以及站之間之RF返回路徑的對稱性而減小製程晶圓中的不均勻性。
在對諸多實施例之上述概要性理解下,現將參考諸多圖式來描述實施例之示例細節。一或更多圖中類似編號的元件及/或組成件意指大體上具有相同配置及/或功能。進一步地,圖可能未按比例繪製,而是旨在說明並強調新穎概念。顯然,可在沒有此些具體細節中之一些或全部下實行本實施例。在其他實例中,不再詳細描述眾所周知之製程操作,以免不必要地混淆本實施例。
圖1示出用於處理晶圓101之基板處理系統100。該系統包括具有下腔室部分102b及上腔室部分102a的腔室102。中心柱配置成支撐基座140,其在一實施例中為通電電極。基座140透過匹配網路106電耦接至功率供應源104。功率供應源由控制模組110控制,例如控制器。控制模組110配置成透過執行製程輸入及控制108來操作基板處理系統100。製程輸入及控制108可包括製程配方,例如功率位準、時序參數、製程氣體、晶圓101之機械移動等,例如以在晶圓101上沉積或形成薄膜。
中心柱亦包括升降銷(未示出),其透過升降銷控制122來控制。 升降銷用於將晶圓101從基座140升起以允許末端執行器拾取晶圓並在被末端執行器放置之後用以降下晶圓101。基板處理系統100進一步包括氣體供應歧管112,其連接至製程氣體114,例如來自設施之氣體化學供應。取決於正執行的處理,控制模組110透過氣體供應歧管112控制製程氣體114之輸送。被選定的氣體接著流入噴淋頭150並分佈在定義於噴淋頭150面(其面向晶圓101)與晶圓101(留置於基座140上方)之間的空間容積中。在ALD製程中,氣體可為被選來用於吸收或與被吸收反應物反應的反應物。
此外,控制模組110可配置成用於對電傳導介面控制130及旋轉機構控制135提供指令。特別地,電傳導介面控制130提供導電介面的移動,舉例來說在Z方向(例如,垂直),例如以提供與旋轉機構之心軸及索引器或導電結構的接觸,用於提供RF返回路徑之目的。旋轉機構控制135提供旋轉機構之移動,例如在Z方向上的移動、延伸部與基板接合之移動、基板在延伸部端部處之旋轉等。
進一步地,氣體可預混合或不預混合。可採用適當的閥及質量流量控制機構來確保製程之沉積與電漿處理階段期間輸送正確的氣體。製程氣體透過出口排出腔室。真空泵(例如一階或二階機械乾式泵及/或渦輪分子泵)透過閉環控制之限流裝置,例如節流閥或鐘擺閥,將製程氣體抽出並維持反應器內之適當低壓。
在一實施例中,亦示出環繞基座140之外部區域的載環200。載環200配置成位於載環支撐區域上方,該載環支撐區域為從基座140中心處之晶圓支撐區域向下的台階。載環包括其盤結構之外邊緣側(例如外半徑)以及其盤結構之晶圓邊緣側(例如內半徑),其最接近晶圓101所在位置。載環之晶圓邊緣側包括複數接觸支撐結構,其配置成當載環200被支架叉180抬起時將晶圓101上抬。載環200因而與晶圓101一起被抬起且可被旋轉至例如多站系統中之另一站。
圖2示出多站處理工具的頂視圖,其中提供四個處理站。此頂視圖為下腔室部分102b(例如,包括頂板102c之頂腔室部分102a為了說明而被移除),其中四個站透過支架叉226進行存取。每一支架叉或叉件包含第一及第二臂,該第一及第二臂之每一者設於基座140每一側之一部分的周圍。在此視圖中,支架叉226係以虛線繪製,以表達其係在載環200下方。使用接合及旋轉機構220之支架叉226配置成升起並同時從站抬起載環200(例如,從載環200之下表面),並接著在將載環200下降(其中載環之至少一者支撐晶圓101)至下一位置之前,旋轉至少一或更多站,使得進一步的電漿處理、處理、及/或膜沉積可在相應晶圓101上進行。
圖3示出具有入站裝載室302及出站裝載室304之多站處理工具300實施例的示意圖。大氣壓力下之機器人306配置成從晶舟(透過傳送盒308裝載)經由大氣埠310而將基板移入入站裝載室302。入站裝載室302耦接至真空源(未示出),使得在關閉大氣埠310時,入站裝載室302可被抽真空。入站裝載室302亦包含與處理腔室102b相接合之腔室轉移埠316。因此,當打開腔室轉移埠316時,另一機器人(未示出)可將基板從入站裝載室302移至第一製程站之基座140進行處理。
在圖3所示之實施例中,所繪處理腔室102b包括從1編號至4的四個製程站。在一些實施例中,處理腔室102b可配置成維持低壓環境,使得基板可在不經受真空破除及/或空氣曝露下,利用載環200而在製程站之間轉移。圖3中所繪之每一製程站包含製程站基板固持件(示於站1之318處)、及製程氣體輸送管線入口。
圖3亦繪出用於在處理腔室102b內轉移基板之支架叉226。例如,腔室包括四個支架叉,且載環設置於多站製程腔室之每一站的相應基座周圍。支架叉226進行旋轉,並促使基板從一站轉移至另一站。該轉移藉由促使支架叉226從外部下表面抬升載環200而進行,其將基板抬起,並將基板及載環一起轉至下一站。在此配置中,支架叉可同時抬起四個載環中之每一者(以及設置在其上之任何基板), 並將所有載環及基板轉至下一站(例如,用於額外或不同處理)。在一些實施例中,載環可被稱為電漿聚焦環,其作用為聚焦或優化基板表面上之電漿處理,包括基板的邊緣。例如,電漿聚焦環用於延伸基板的外表面,使得邊緣處之不均勻性延伸至電漿聚焦環之外表面邊緣(亦即,而不是基板邊緣)。 在一配置中,支架叉226係由陶瓷材料製成以抵抗處理期間的高熱量。
當理解,本發明之實施例可使用任何合適方式在每一站處進行晶圓轉移、輸送及旋轉、或進或出站。一些實施例包括使用載環,而其他實施例涉及使用直接與基板接合之輸送系統(即,不使用環)。例如,在一些實施例中,亦可採用「無環」基板轉移。在此等實施例中,「載環」或「電漿聚焦環」保持固定在一站上,或可不存在環。透過用銷將基板抬離基座、將承載座(paddle)插入晶圓下方,接著將基板降至銷上,因而確保承載座與基板直接接觸,從而移動基板。此時,使用承載座將基板索引(index)至另一站。一旦基板位於新站處,即用銷將基板抬離承載座、承載座旋轉或移出、以及銷降低以確保基板與承載座直接接觸。此刻,基板處理可在新站處對被索引(即被移動)基板進行。當系統具有多個站時,每一基板(即存在於站處之彼等基板)可以用於無環基板轉移之類似方式一起(例如同時)轉移。
本發明之實施例在多站處理腔室之頂部(例如,頂板)與底部之間提供對稱RF返回路徑。當理解,例如RF返回路徑、RF返回及類似者之術語係指RF返回電流所使用的路徑。例如,任何RF返回電流與RF功率供應源提供用於波傳播之RF訊號電流同時存在。此外,當理解,RF返回路徑可沿著例如多站處理腔室之兩個或更多組成件(例如,導體)之間的任何低RF阻抗路徑,即使其可能不沿著直流電(DC)協議連線(capable connection)。亦即,儘管組成件之間可能沒有完全接觸或任何接觸,但存在提供RF返回路徑之RF連接。例如,兩個靠近間隔之導體之間可具有介電質或真空,以提供配置為RF返回路徑之低阻抗電容器,而無需任何DC連接。一般而言,導電導體之存在足以提供RF返回路徑之RF返回電流所需的邊界條件。如此,雖然傳統處理腔室包括不對稱導電邊界條件,但本發明之實施例改善引導RF場之邊界條件的對稱性,因而改進RF功率放電的對稱性。
圖4A為根據本發明一實施例之多站處理腔室400A的橫截面,其配置成包括腔室之中心電傳導路徑,以提高一或更多站之RF返回路徑的對稱性,因而減少基板上之不均勻性。特別地,根據本發明之一實施例,多站處理腔室400A包括導電介面,其電耦接至腔室之頂板,且亦配置成接觸腔室中心之旋轉機構,以對每一站提供對稱的RF返回路徑。
如所示,多站處理腔室400A包括含有頂板102c之上部102a及底部102b。多站處理腔室400A配置成包圍複數站,每一站包括基座組件之基座140(例如,靜電吸盤),以支撐用於處理之基板。為達清楚且簡潔目的,在橫截面中僅示出一個站。如前所述,上部102a包括噴淋頭150,其設置於站之基座140上方並與其對齊,其中噴淋頭150電連接至頂板102c。
旋轉機構410包括心軸410及索引器410b,其可為若干索引機構(例如,支架叉、臂等)中之任一者。心軸410a在複數站之間置中並配置成繞著中心軸線470旋轉。心軸410a電連接至底部102b(例如,鐵磁流體密封波紋管組件(未示出))。致動器465耦接至心軸410a並配置成用於控制心軸之移動。特別地,心軸410a可旋轉,以及/或可在Z方向上移動。在一實施例中,致動器465可被圖1之控制模組110控制。
旋轉機構亦包括連接至心軸410a之索引器410b,其亦可被致動器465控制。索引器410b配置成隨心軸410a旋轉。又,索引器410b配置成隨著心軸410a之移動而在Z方向上移動。儘管未示出,但索引器410b包括複數延伸部,每一者均配置成與對應基板接合,用於轉移進出站,如前所述。例如,索引器410b及延伸部配置成與基板及/或環繞基板之載環接合,並將基板及/或載環抬起並轉至下一站。又,延伸部可配置成在不旋轉索引器410b下旋轉基板。為達說明目的,延伸部在一實施方式中可為支架叉,或者在其他實施方式中可為臂,其配置成用於與基板接合之水平移動,以及基板相對於延伸部之旋轉。
如所示,導電結構包括電連接至頂板102c的軸420。例如,電連接可透過線支承以提供線路連接、或導電(例如,流體、鐵磁流體等)密封波紋管組件等來達成。導電結構包括連接器421及導電介面425。連接器421提供軸420與導電介面425之間的物理接合。尤其,導電介面425透過軸420之移動可移動式地連接至頂板102c。例如,致動器467可連接至軸420,軸420耦接至導電介面425。致動器467可配置成用於控制導電介面425在Z方向上的移動。以該方式, 導電介面425能夠在Z方向上向下移動以與索引器410b接觸。尤其,可在多站製程腔室進行電漿製程(例如,使用電漿沉積層)時形成接觸,以提供通過腔室400A中心之對稱RF返回路徑。在電漿處理期間,索引器410b之複數延伸部中的每一者皆停置,而心軸410a在電漿處理期間移動至較低位置。例如,索引器及索引器之延伸部可移動至低於基座140之至少一部分的位置。亦即,索引器410b及心軸410a以此等方式定位,以減少對多站製程腔室400A中每一基板之電漿處理的干擾。
在一實施例中,接觸介面(未示出)設於索引器410b上以促進導電介面425與索引器之間的電接觸。例如,因為導電介面425與索引器410b之表面可能並非完全光滑且平坦,因此導電介面與索引器之間的接觸可能不理想。接觸介面可為可撓的(pliable)且能夠順應導電介面425與索引器410b之表面以提供較佳電連接之材料。
如所示,軸420延伸超出腔室上部102a之頂板102c,並耦接至致動器467。在另一實施例中,軸被封閉在頂板102c中之容槽中,並進一步透過頂板102c連接至致動器。例如,在任一配置中該軸在Z方向上的移動允許導電介面425在基板轉移期間不干擾旋轉機構410。尤其,容槽(pocket)配置成 : 當心軸移動至較上位置時,容槽容納導電介面或導電介面之至少一部分(例如,包括連接器421之部分),使得索引器410b之複數延伸部可與站處之一或更多基板接合,用於站之間的基板轉移及輸送,及/或用於相對於延伸部之基板旋轉。
在一實施例中,導電介面425包括一或更多實心管或棒及類似者,並在導電介面之端部之間提供直接導電路徑。例如,導電介面425可為一或更多圓柱形管。在另一實施例中,導電介面425為迴旋圓柱形管。例如,導電介面425包括透過複數垂直鏈結連接之水平定向的複數間隙樑,並在多站製程腔室400A之頂板102c與下部102b之間提供非螺旋導電路徑。圖6更完整地示出迴旋圓柱管。
示出RF功率之返回路徑。尤其,RF功率透過一或更多功率源提供至基座組件之基座140(例如,靜電吸盤)。RF功率透過路徑490行進穿過基座140並朝向部分位於基座140與噴淋頭150之間的電漿圍束區域,其中反應氣體透過噴淋頭或定義於電極組件中的開口(即,來自腔室之底部或頂部)來供應。RF功率例如透過電容耦合式電漿(CCP)放電來產生反應氣體的電漿。來自電漿圍束區域之RF功率流過定義通過噴淋頭150並向上通過頂板102c之導電路徑。代替於僅行進至腔室400A的側壁,RF功率流至腔室400A之側壁以及導電結構,且更明確地通過軸420、連接器421及導電介面425。進一步地,RF功率流經旋轉機構410,且更明確地通過索引器410b及心軸410,且最後流至多站製程腔室400A之下部102b。
圖4B為根據本發明一實施例之旋轉機構410的透視圖,其示出通過索引器之可能的RF返回路徑。尤其,索引器410b可包括上索引器部件及下索引器部件。索引器可與心軸410a及/或與通道491(心軸410a在其內於Z方向上移動)之外壁490接觸。RF功率可透過通道491之外壁490及/或透過心軸410a返回至多站製程腔室400A的下部102b。
圖5A為根據本發明一實施例之多站處理腔室500A的橫截面,其配置成包括腔室之中心電傳導路徑,以提高一或更多站之RF返回路徑的對稱性,因而減少基板上之不均勻性。尤其,根據本發明之一實施例,多站處理腔室500A包括導電介面,其電耦接至腔室之頂板,且亦配置成接觸腔室中心之旋轉機構,以對每一站提供對稱的RF返回路徑。多站處理腔室500A類似於圖4A之多站處理腔室400A,除了導電介面525不同,且尤其具有比圖4A之導電介面425更大的佔用空間,因而提供除了通過旋轉機構410以外之不同RF返回路徑。如此,在該兩圖之間,具有相似參考編號之組成件具有相同特徵及功能,且關於圖4A以及其他圖所提供之敘述可等同地應用於圖5A之多站處理腔室500A。
總的來說,多站處理腔室500A包括含有頂板102c之上部102a及底部102b,並配置成包圍站,每一站包括基座組件之基座140。上部102a包括噴淋頭150,其對準於基座140上方,並電連接至頂板102c。置中之旋轉機構410包括心軸410a及索引器410b,並配置成在站之間轉移及/或旋轉基板,以及相對於索引器之延伸部旋轉基板。心軸410a繞著中心軸線470旋轉,並沿著中心軸線在垂直方向上移動。心軸電連接至腔室500A的底部102b(例如,導電密封波紋管組件,例如導電流體或鐵磁流體密封波紋管組件—未示出),並被致動器465可控地移動。如此,索引器410b配置成隨心軸410a之移動而在Z方向上移動、用於隨心軸繞著中心軸線470轉動而旋轉、以及用於延伸部之水平移動以與基板接合,用於在站之間轉移、及/或在不旋轉索引器410b下相對於延伸部之端部旋轉基板。
如所示,導電結構包括電連接至頂板102c的軸520。例如,電連接可透過線支承以提供線路連接、或導電(例如,流體、鐵磁流體、及類似者)密封波紋管組件等來達成。導電結構包括連接器521及導電介面525。連接器521提供軸520與導電介面525之間的物理接合。尤其,導電介面525透過軸520之移動可移動式地連接至頂板102c。例如,致動器567可連接至軸520,軸520耦接至導電介面525。致動器567可配置成用於控制導電介面525在Z方向上的移動。
在一實施例中,導電介面525具有下端部526,其橫跨索引器410b之直徑。亦即,下端部526可完全環繞索引器410b之至少一部分而無物理接合。 例如,導電介面525可被下降至超過索引器410b之頂表面以與另一導電結構建立具有RF路徑。亦即,導電介面配置成例如在電漿處理期間於Z方向上向下移動以與鄰近心軸410a及索引器410b之導電結構構成RF連接。例如,導電介面525能夠透過軸520之移動移至與電耦接至底部102b之另一導電結構(例如,導電板、一或更多導電棒等)構成RF連接的位置。尤其,RF連接可在多站製程腔室進行電漿製程(例如,使用電漿來沉積層)時形成, 以提供通過腔室400A中心之對稱RF返回路徑。在電漿處理期間,索引器410b之複數延伸部中的每一者皆停置,而心軸在電漿處理期間移動至較低位置。例如,索引器及索引器410b之延伸部可移動至低於基座140之至少一部分的位置。亦即,索引器410b及心軸410a以此等方式定位,以減少對多站製程腔室500A中每一基板之電漿處理的干擾。
示出RF功率之返回路徑。尤其,RF功率透過一或更多功率源提供至基座組件之基座140(例如,靜電吸盤)。RF功率透過路徑590行進穿過基座140並朝向部分位於基座140與噴淋頭150之間的電漿圍束區域,其中反應氣體透過噴淋頭或定義於電極組件中的開口來供應。RF功率例如透過電容耦合式電漿(CCP)放電來產生反應氣體的電漿。來自電漿圍束區域之RF功率流過定義通過噴淋頭150並向上通過頂板102c之導電路徑。代替於僅行進至腔室500A的側壁,RF功率流至腔室500A之側壁以及導電結構,且更明確地通過軸520、連接器521及導電介面525。進一步地,RF功率流經RF連接至導電介面525(例如下端部526)之另一導電結構(例如,導電板、一或更多導電棒等),且最後流至多站製程腔室500A之下部102b。
圖5B為根據本發明一實施例之導電結構(例如,RF襯底)的圖示,其示出圖5A之導電介面525的接觸點對每一站提供對稱的RF返回路徑。尤其,圖5B示出下腔室部或主體102b之頂視圖,其說明導電結構504(例如,形成為導電板)的設置。例如,導電結構504設置在內壁上並附接至外壁。導電結構504具有用於每一站之中心開口及製程開口。中心開口配置成在中心位置容納旋轉機構。製程開口具有大於每一站載環直徑之直徑,且在導電結構所定義之每一製程開口邊緣與載環外邊緣之間定義對稱間隙。
例如,導電結構504將包括具有直徑D1之製程開口,基座140將設置於其中。在一實施例中,包括載環200之基座將具有直徑D2。據此,將提供由直徑D2與D1間之差異所定義的間隙506,從而定義基座與導電結構504之間的對稱間隔。此外,在腔室102b內側壁與導電結構504外邊緣之間定義另一間隙508。 此間隙可根據公差變化,且在一些實施例中可減小至導電結構504接觸下腔室主體102b內壁的點。
又,接觸點501示於導電介面525與導電結構504接觸之處。例如,接觸點501示為實線。導電介面525之輪廓示為虛線圓圈。
圖5C為根據本發明一實施例之圖5B導電結構504的透視圖。在此示例中,製程開口被定義為具有直徑D1,如上所述。直徑D1大於基座140的直徑。中心開口504a用於容納旋轉機構220。如上所述,在示例性實施方式中,旋轉機構220亦將包括支架叉226。在其他實施例中,代替支架叉226,可使用其他抬升機構,其亦可設有旋轉機構220。在諸多實施例中,導電結構504可由一或更多模組或部件504b定義,或者可定義為不具所示分隔線之單一單元。
圖6為根據本發明一實施例配置成迴旋可撓性圓柱體之導電介面600的透視圖。在其他實施例中,導電介面可為實心,例如包括一或更多實心管、棒及類似者。如所示,導電介面600為迴旋圓柱形管。例如,導電介面425包括複數間隙樑620,其各自水平定向並在垂直方向上疊置。尤其,間隙樑透過複數垂直鏈結610連接,使得任兩個間隙樑透過一或更多垂直鏈結連接。
在一實施例中,導電介面600配置成迴旋可撓性管,其中該結構提供物理相容性(compliance),使得在頂板102c與用導電結構(例如,心軸、索引器、導電板、一或更多導電棒等)製成之任何接觸點之間存在正向連接(positive connection)。亦即,在導電介面600之端部之間可能有一些施加的壓力量(例如,透過連接對應腔室之上部102a與下部102b),以確保頂板102c與所實施之任何導電結構之間有良好連接。例如,導電介面可呈現機械相容特徵。
當導電介面與索引器或任何其他導電結構接觸時,如圖6所示之導電介面600在對應多站製程腔室之頂板與的底部102c之間提供非螺旋導電路徑。由於RF返回電流路徑在導電介面600之端部之間並非呈徑直,因此導電介面產生較少不利磁場,其較不易干擾電漿處理。
在一實施例中,導電介面600可穿過索引器410b以直接接觸心軸410a。在其他實施例中,導電介面600與索引器410b之表面接觸。在另其他實施例中,導電介面600與另一導電結構接觸,例如導電板、一或更多導電棒等。
圖7為根據本發明一實施例之多站處理腔室700的橫截面,其配置成包括腔室之中心RF返回路徑,以提高一或更多站之RF返回路徑的對稱性,因而減少基板上之不均勻性。尤其,根據本發明之一實施例,多站處理腔室700包括導電介面,其連接至腔室中心中之旋轉機構,並配置成接觸腔室之頂板以對每一站提供對稱RF返回路徑。多站處理腔室700之部分類似於圖4A之多站處理腔室400A。如此,在該兩圖之間,具有相似參考編號之組成件具有相同特徵及功能,且關於圖4A以及其他圖所提供之敘述可等同地應用於圖7之多站處理腔室700。
總的來說,多站處理腔室700包括含有頂板102c之上部102a及底部102b,並配置成包圍站,每一站包括基座組件之基座140。上部102a包括噴淋頭150,其對準於基座140上方,並電連接至頂板102c。置中之旋轉機構410包括心軸410a及索引器410b,並配置成在站之間轉移及/或旋轉基板,以及相對於索引器之延伸部旋轉基板。心軸電連接至腔室700的底部102b(例如,使用導電密封波紋管組件,例如導電流體、鐵磁流體及類似者密封波紋管組件—未示出),並被致動器465可控地移動。如此,索引器410b配置成隨著心軸410a之移動而在Z方向上移動、用於隨心軸繞著中心軸線470轉動而旋轉、以及用於延伸部之水平移動以與基板接合,用於在站之間轉移、及/或在不旋轉索引器410b下相對於延伸部之端部旋轉基板。
如所示,導電介面725連接至索引器。如此,由於旋轉機構410電連接至腔室之下部102b,故導電介面725亦電連接至腔室的下部。如前所述,心軸410a配置成利用致動器465在Z方向上向上移動。例如,心軸410a可在例如電漿處理期間移動至較高位置,使得導電介面725與頂板102c構成RF連接。在一實施方式中,導電介面725直接與頂板102c構成RF連接。 在另一實施方式中,導電介面725與電連接至頂板102c之接收介面720構成RF連接。在此例中,僅需心軸410a在Z方向上之移動以使導電介面725與頂板102c及/或頂板中之接收介面720呈RF連接。如此,索引器410b與連接至索引器之心軸410a配置成例如在電漿處理期間沿Z方向向上移動至較高位置,使得導電介面725與頂板102c及/或接收介面720構成RF連接。
尤其,RF連接可在多站製程腔室700進行電漿製程(例如,使用電漿來沉積層)時形成,以提供通過腔室400A中心之對稱RF返回路徑。在電漿處理期間,當心軸在電漿處理期間移動至較高位置時,索引器410b之複數延伸部中的每一者皆停置。例如,索引器及索引器410b之延伸部可移動至高於噴淋頭150之至少一部分的位置。亦即,索引器410b及心軸410a以此等方式定位,以減少對多站製程腔室700中每一基板之電漿處理的干擾。
示出RF功率之返回路徑。尤其,RF功率透過一或更多功率源提供至基座組件之基座140(例如,靜電吸盤)。RF功率透過路徑790行進穿過基座140並朝向部分位於基座140與噴淋頭150之間的電漿圍束區域,其中反應氣體透過噴淋頭或定義於頂部電極組件中之開口來供應。RF功率例如透過電容耦合式電漿(CCP)放電來產生反應氣體的電漿。來自電漿圍束區域之RF功率流過定義通過噴淋頭150並向上通過頂板102c之導電路徑。代替於僅行進至腔室500A的側壁,RF功率流至腔室700之側壁以及通過腔室中心,且更明確地通過上部102b及/或上部102b之接收介面720。進一步地,RF功率流過與上部102b及/或接收介面720 RF連接之導電介面725。RF功率接著流過旋轉機構410,更明確地通過索引器410b及心軸410a,且最後流至多站製程腔室700之下部102b。
圖8為根據本發明一實施例之多站處理腔室800的橫截面,其配置成包括腔室之中心導電路徑,以提高一或更多站之RF返回路徑的對稱性,因而減少基板上之不均勻性。尤其,根據本發明之一實施例,多站處理腔室800包括導電介面,其連接至旋轉機構(其電耦接至腔室之頂部)並配置成接觸腔室中心中的導電結構,以對每一站提供對稱RF返回路徑。多站處理腔室800之部分類似於圖4A之多站處理腔室400A。如此,在該兩圖之間,具有相似參考編號之組成件具有相同特徵及功能,且關於圖4A以及其他圖所提供之敘述可等同地應用於圖8之多站處理腔室800。
如所示,多站處理腔室800包括含有頂板102c之上部102a及底部102b。多站處理腔室800配置成包圍複數站,每一站包括基座組件之基座140(例如,靜電吸盤),以支撐用於處理之基板。為達清楚且簡潔目的,在橫截面中僅示出一個站。如前所述,上部102a包括噴淋頭150,其設置於站之基座140上方並與其對齊,其中噴淋頭150電連接至頂板102c。
旋轉機構810包括心軸810a及索引器810b,其可為若干索引機構(例如,支架叉、臂等)中之任一者。心軸810a在複數站之間置中並配置成繞著中心軸線870旋轉。旋轉機構810在腔室之頂板102c內操作,而非位於先前圖(包括圖4A)中所示之下部102b中。如此,心軸810a電連接至頂板102c(例如,透過導電密封波紋管組件,例如導電流體或鐵磁流體密封波紋管組件—未示出)。致動器865耦接至心軸810a並配置成用於控制心軸之移動。尤其,心軸810a可繞著中心軸線870旋轉,以及/或可在Z方向上移動。在一實施例中,致動器865可被圖1之控制模組110控制。
旋轉機構810亦包括連接至心軸810a之索引器810b,其亦可被致動器致動器865控制。索引器810b配置成隨心軸810a繞著中心軸線870旋轉。 又,索引器810b配置成隨著心軸810a之移動而在Z方向上移動。儘管未示出,但索引器810b包括複數延伸部,每一者均配置成與對應基板接合,用於轉移進出站,如前所述。例如,索引器810b及延伸部配置成與基板及/或環繞基板之載環接合,並將基板及/或載環抬起並轉至下一站。又,延伸部可配置成在不旋轉索引器810b下旋轉基板。為達說明目的,延伸部在一實施方式中可為支架叉,或者在其他實施方式中可為臂,其配置成用於與基板接合之水平移動,以及基板相對於延伸部之旋轉。
如所示,導電結構包括電連接至旋轉機構810之導電介面825,且更具體地連接至索引器410b。導電介面825亦為導電。由於旋轉機構810電連接至頂板102c,因此導電介面亦電連接至頂板。 導電結構亦可包括連接介面830。 另外,接收介面820(或其他導電結構)電連接至腔室800之底部102b。
心軸810a配置成利用致動器865在Z方向上向下移動。例如,心軸810a可例如在處理期間移至較低位置,使得導電介面825或連接介面830與電連接至底部102b之接收介面820構成RF連接。在一實施方式中,導電介面825或連接介面830直接與腔室之底部102b構成RF連接。在此例中,僅需心軸810a在Z方向上之移動以使導電介面825與接收介面820及/或下部102b呈RF接觸(即構成RF連接)。如此,索引器810b與心軸810a(連接至索引器 810b)配置成例如在電漿處理期間沿Z方向向下移動至較低位置,使得導電介面825或連接介面830與接收介面820或下部102b構成RF連接。
尤其,RF連接可在多站製程腔室800進行電漿製程(例如,使用電漿來沉積層)時形成,以提供通過腔室800中心之對稱RF返回路徑。在電漿處理期間,當心軸在電漿處理期間移至較低位置時,索引器810b之複數延伸部中的每一者皆停置。亦即,索引器810b及心軸810a以此等方式定位,以減少對多站製程腔室800中每一基板之電漿處理的干擾。
示出RF功率之返回路徑。尤其,RF功率透過一或更多功率源提供至基座組件之基座140(例如,靜電吸盤)。RF功率透過路徑890行進穿過基座140並朝向部分位於基座140與噴淋頭150之間的電漿圍束區域,其中反應氣體透過噴淋頭或定義於頂部電極組件中之開口來供應。RF功率例如透過電容耦合式電漿(CCP)放電來產生反應氣體的電漿。來自電漿圍束區域之RF功率流過定義通過噴淋頭150並向上通過頂板102c之導電路徑。代替於僅行進至腔室500A的側壁,RF功率流至腔室800之側壁以及腔室中心,且更明確地通過旋轉機構810,且更明確地通過心軸810a及索引器810b。進一步地,RF功率流過導電結構,且更明確地通過導電介面825及/或連接介面830。又,RF功率流過接收介面820及/或下部 102b,其與導電介面825及/或連接介面830呈RF接觸(即,透過RF連接),且最後流至多站製程腔室800之下部102b。
圖9為根據本發明一實施例之多站處理腔室900的橫截面,其配置成包括腔室之中心RF返回路徑,以提高一或更多站之RF返回路徑的對稱性,因而減少基板上之不均勻性。尤其,根據本發明之一實施例,多站處理腔室900包括導電介面,其配置成在腔室之頂板與腔室中心之旋轉機構之間提供持續電連接,以對每一站提供對稱RF返回路徑至接地。多站處理腔室900類似於圖4A之多站處理腔室400A,除了至少額外配置導電結構(例如,流體、鐵磁流體及類似者)密封波紋管組件以提供持續電連接。如此,在該兩圖之間,具有相似參考編號之組成件具有相同特徵及功能,且關於圖4A以及其他圖所提供之敘述可等同地應用於圖9之多站處理腔室900。
總的來說,多站處理腔室900包括含有頂板102c之上部102a及底部102b,並配置成包圍站,每一站包括基座組件之基座140。上部102a包括噴淋頭150,其對準於基座140上方,並電連接至頂板102c。置中之旋轉機構410包括心軸410a及索引器410b,並配置成在站之間轉移及/或旋轉基板,以及相對於索引器之延伸部旋轉基板。心軸410a繞者中心軸線470旋轉,並沿著中心軸線在垂直方向上移動。心軸電連接至腔室500A的底部102b(例如,導電密封波紋管組件,例如導電流體、鐵磁流體及類似者密封波紋管組件-未示出),並被致動器465可控地移動。如此,索引器410b配置成隨著心軸410a之移動而在Z方向上移動、用於隨心軸繞著中心軸線470轉動而旋轉、以及用於延伸部之水平移動以與基板接合,用於在站之間轉移、及/或在不旋轉索引器410b下相對於延伸部之端部旋轉基板。
如所示,導電結構包括電連接至頂板102c之軸920,其中軸穿過頂板102c中之行進空間移動。例如,電連接可透過在行進空間之開口周圍連接至頂板102c之鐵磁流體密封波紋管組件950來達成。以該方式,隨著軸920之任何移動,導電結構(即,軸 920)與頂板102c之間的電連接是持續的。尤其,導電介面之一端部與導電(例如,流體、鐵磁流體及類似者)密封波紋管組件950接合(例如透過軸承),以在心軸410a停置或沿Z方向移動時與頂板102c持續接觸。導電結構包括連接器921及導電介面925。連接器921提供軸920與導電介面925之間的物理接合。尤其,導電介面925與軸920透過心軸410a之移動可移動式地連接至頂板102c,如即將所述。尤其,導電介面925進一步連接至旋轉機構410,且更明確地連接至旋轉機構之索引器410b。如此,旋轉機構之心軸410a的移動將轉成導電介面925與軸920在Z方向上的移動。
以該方式,導電結構(例如,導電介面925)與旋轉機構410之間存在持續電接觸(即,透過索引器410b), 其中旋轉機構在任何時候以及在電漿處理期間皆電連接至下部102b。如此,導電結構與旋轉機構410之間的持續接觸提供穿過腔室900中心之對稱RF返回路徑。在電漿處理期間,索引器410b之複數延伸部中的每一者皆停置,而心軸在電漿處理期間移至較低位置。例如,索引器及索引器410b之延伸部可移動至低於基座140之至少一部分的位置。在另一實施方式中,由於存在持續連接,在電漿處理期間,當心軸在電漿處理期間移至較高位置時,索引器410b之每一延伸部皆停置。例如,索引器及索引器410b之延伸部可移動至高於噴淋頭150之至少一部分的位置。亦即,在任一實施方式中,索引器410b及心軸410a以此等方式定位,以減少對多站製程腔室900中每一基板之電漿處理的干擾。
示出RF功率之返回路徑。尤其,RF功率透過一或更多功率源提供至基座組件之基座140(例如,靜電吸盤)。RF功率透過路徑990行進穿過基座140並朝向部分位於基座140與噴淋頭150之間的電漿圍束區域,其中反應氣體透過噴淋頭或定義於頂部電極組件中之開口來供應。RF功率例如透過電容耦合式電漿(CCP)放電來產生反應氣體的電漿。來自電漿圍束區域之RF功率流過定義通過噴淋頭150並向上通過頂板102c之導電路徑。代替於僅行進至腔室900的側壁,RF功率流至腔室900之側壁以及導電結構,且更明確地通過軸920、連接器921及導電介面925。進一步地,RF功率流過與導電介面925接觸或RF連接之旋轉機構410(即,索引器410b),且最後通過多站製程腔室900之下部102b接地。
圖10A-10F為示出根據本發明一實施例之插入式被動或主動設備的圖,其配置用於促進多站處理腔室之上部與下部之間的RF返回路徑。尤其,本發明之實施例描述插入式心軸柱或類似組成件,其可為自致動,或以其他方式為被動且不需要來自多站處理腔室之輸入或致動。插入式心軸柱或類似組成件可為可增設至多站處理腔室後期製作的獨立項目。
圖10A示出根據本發明一實施例之設備1000A,其配置用於促進多站處理腔室之上部與下部之間的RF返回路徑。在一實施方式中,插入式設備可預先組裝,接著置於多站處理腔室之心軸上,其後可關閉腔室。該設備可為被動或以其他方式為自致動,只要其配置成提供導電RF返回路徑即可,如先前關於圖1-9所述。亦即,設備1000A可透過修改以置於先前所述之圖4A、5A、7、8及9的系統及設備中。進一步地,設備1000A可不置於多站處理腔室之中心(例如,以心軸及索引器組件為中心)。例如,設備1000A可為偏心,只要提供RF返回路徑對於站展現改進對稱性即可(即,RF返回路徑穿過側壁以及多站處理腔室相對於每一站之中心)。
設備1000A包括導電之上柱組件1041。尤其,上柱組件包括電連接至上柱組件之頂部1045的上柱1040。在一實施方式中,上柱1040為圓柱形。上柱組件1041包括底部1048,其包含唇部1049。
設備1000A包括導電且可移動式地連接至上柱組件1041之下柱組件1051。上柱組件1041及下柱組件1051配置成在多站處理腔室之頂板與底部102b之間提供RF返回路徑。尤其,下柱組件包括電連接至下柱組件之底部1055的下柱1050。在一實施方式中,下柱1050為圓柱形。此外,下柱組件包括連接至下柱1050的基部1057。下柱組件1051包括頂部1058,其包含唇部1059。
該設備1000A亦包括電連接至上柱組件及下柱組件之可選彈簧組件1005,其中彈簧組件配置成使下柱組件1051相對於上柱組件1041移動。尤其,彈簧組件包括電連接至下柱組件1051之彈簧座1010。彈簧活塞管1015與上柱組件1041電接觸,其中彈簧活塞管可移動式地連接至彈簧座1010。彈簧1011與彈簧座1010及上柱組件1041接觸並構成電接觸,並配置成在彈簧活塞管1015內移動。例如,彈簧1011配置成推抵上柱組件1041之頂部1045。
進一步地,設備1000A包括軸上止推軸承(on axis thrust bearing)1020,其電連接至彈簧座1010及下柱組件1051之基部1057。尤其,軸上止推軸承1020配置成允許下柱組件1051旋轉而上柱組件1041不旋轉。亦即,隨著下柱組件1051與對應旋轉機構(例如,心軸及索引器組件)一起旋轉, 止推軸承1020配置成使得彈簧座1010不隨著下柱組件1051及對應旋轉機構之轉動而旋轉。
設備1000A包括一或更多可選RF墊片1030,其每一者配置成在兩組成件之間構成對應RF連接。尤其,RF墊片1030a設於上柱組件1041上並配置成與多站處理腔室之頂板構成RF連接。例如,RF墊片1030a設於上柱組件之頂部1045上。此外,RF墊片1030b配置成在上柱組件1041與下柱組件1051之間構成RF連接。例如,RF墊片1030b設於位於上柱組件1041之底部1048上的唇部1049與位於下柱組件1051之上部1058上的唇部1059之間。RF墊片1030b、上柱組件1041及下柱組件1051之間的相互作用對腔室打開及關閉提供足夠的相容性(compliance),且仍在整個腔室頂部、設備1000A及腔室底部提供保持RF接觸。進一步地,RF墊片1030c設於下柱組件1051上並配置成在下柱組件1051與多站處理腔室之底部102b之間構成RF連接。
圖10B為示出下柱組件1051之底部1055的圖。尤其,底部1055包括間隙1061。間隙1061中之開口允許延伸部移動穿過間隙,其中延伸部1060配置成旋轉機構410(例如,索引器)內以用於基板輸送及/或旋轉。
圖10C為示出圖10A之設備1000A與多站處理腔室之頂板及底部相互作用的圖1000C。例如,設備1000A設於旋轉機構410之頂部,並位於接收介面1080下方。在一實施方式中,接收介面1080電連接至多站處理腔室之頂板102c。在另一實施例中,該設備設於頂板102c下方,而不使用接收介面1080。彈簧組件配置成將設備1000A保持在多站處理腔室之頂部與底部之間。亦即,無論心軸410a的位置如何,彈簧1011配置成將上柱組件1041之頂部1045推向接收介面1080,更明確地在接收介面1080與上柱組件1041之間構成持續RF連接。
如所示,下柱組件配置成環繞包括心軸410及索引器410b之旋轉機構410。更具體地,下柱組件配置成環繞連接至心軸410a之索引器410b。心軸410a於複數站之間置中並配置成繞著中心軸線旋轉。心軸410a電連接至底部102b(例如,鐵磁流體密封波紋管組件—未示出), 並可利用先前所述之致動器致動,使得心軸410a可旋轉、及/或可在Z方向上移動。亦即,心軸410a可在腔室底部102b之行進空間1070內移動。又,下柱組件1051之基部1057配置成與旋轉機構410接觸,更具體地,與索引器410b接觸。
尤其,當連接至索引器410b之心軸410a處於較低位置時(例如,在電漿處理期間),下柱組件1051之底部1055透過RF墊片1030c而RF連接至多站處理腔室之底部102b。此外,設於上柱組件1041之底部1048上的唇部1049透過RF墊片1030b而RF連接至位於下柱組件1051之上部1058上的唇部1059。如前所述,彈簧1011配置成在接收介面1080與上柱組件1041之間構成持續RF連接。如所示, 彈簧1011迫使接收介面1080與上柱組件1041之頂部1045之間的接觸,並迫使唇部1059與1049之間的接觸,且迫使底部1055與多站處理腔室之底部102b之間的接觸。
圖10D為示出圖10A之設備1000A與多站處理腔室之頂板及底部相互作用的圖1000D,如先前關於圖10C所述。如前所述,彈簧組件配置成將設備1000A保持在多站處理腔室之頂部與底部之間。亦即,無論心軸410a的位置如何,彈簧1011配置成迫使上柱組件1041之頂部1045朝向接收介面1080,尤其,在接收介面1080與上柱組件1041之間構成持續RF連接。圖10D類似於圖10C,除了心軸410a的位置不同之外,圖10C中所提及之組成件的敘述可應用於圖10D中類似提及的組成件。
尤其,當連接至索引器410b之心軸410a處於較上位置時(例如,在基板轉移及/或旋轉期間),下柱組件1051之底部1055與多站處理腔室之底部102B分離。亦即,底部1055與底部102B之間無RF連接。此外,設於上柱組件1041之底部1048上的唇部1049與位於下柱組件1051之上部1058上的唇部1059分離。亦即,唇部1049與唇部1059之間無RF連接,因此上柱組件1041與下柱組件1051之間無RF連接。如前所述,彈簧1011配置成在接收介面1080與上柱組件1041之間構成持續RF連接,使得彈簧1011迫使接收介面與上柱組件1041之頂部1045之間的接觸。然而,由於心軸410a處於較上位置,因此彈簧1011被壓縮,而唇部1049與唇部1059之間的接觸被解除,且亦解除下柱組件1051之底部1055與多站處理腔室之底部102b之間的接觸。
圖10E為示出根據本發明一實施例之設備1001E與多站處理腔室之頂板及/或接收介面1080及底部102b相互作用的圖1000E。例如,設備1001E設於旋轉機構410之頂部,並位於接收介面1080下方。在一實施方式中,接收介面1080電連接至多站處理腔室之頂板102c。在另一實施例中,該設備設於頂板102c下方,而不使用接收介面1080。
設備1001E配置類似於圖10A之設備1000A,除了不具底部1055之外。尤其,設備1001E配置用於促進多站處理腔室之上部與下部之間的RF返回路徑。在一實施方式中,設備1001E可配置用於插入式組裝或與多站處理腔室接合,並可預先組裝,接著置於心軸410a上,其後可關閉腔室。設備1001E可為被動或以其他方式為自致動,只要其配置成得以提供導電RF返回路徑即可,如先前關於圖1-9所述。亦即,設備1001E可透過修改以置於圖4A、5a及7-9之系統及設備中。進一步地,設備1001E可置於多站處理腔室的中心(例如,以心軸及索引器組件為中心),或者可偏心置於偏離心軸及索引器組件,只要提供RF返回路徑對於站展現改進對稱性即可(即,RF返回路徑穿過側壁以及朝向多站處理腔室中心)。
如所示,設備1001E包括上柱組件1041,其導電且包括電連接至頂部1045之上柱1040。上柱組件1041包括底部1048,底部1048包括唇部1049。又,設備1001E包括下柱組件1051,其導電且可移動式地連接至上柱組件1041。下柱組件1051包括連接至基部1057之下柱1050。下柱組件1051包括頂部1058,其包含唇部1059。
上柱組件1041及下柱組件1051配置成在多站處理腔室之頂板與底部102b之間提供RF返回路徑。尤其,下柱組件1051之基部1057固接並電連接至旋轉機構410之索引器410b。此外,頂部1045固接並電連接至接收介面1080及/或直接附接至多站處理腔室之頂板。如此,無論心軸410a的位置如何,設備1001E均位於多站處理腔室之上部與下部之間。設備1001E配置成在多站處理腔室之上部與下部之間提供持續RF返回路徑(即,持續RF連接),無論旋轉機構410之位置如何(即,在心軸410a垂直上下移動時均保持RF返回路徑)。例如,心軸410a電連接至底部102b(例如,鐵磁流體密封波紋管組件 - 未示出),並可利用先前所述之致動器致動,使得心軸410a可旋轉、及/或可在Z方向上移動,以使心軸410a可在底部102b之行進空間1070內移動。
圖10F為示出根據本發明一實施例之設備1001F與頂板及/或接收介面1080及多站處理腔室之底部102b相互作用的圖1000F。例如,設備1001F設於旋轉機構410之頂部,並位於接收介面1080下方。在一實施方式中,接收介面1080電連接至多站處理腔室之頂板102c。在另一實施例中,該設備設於頂板102c下方,而不使用接收介面1080。
設備1001F配置類似於圖10E之設備1001E,除了存在用於在設備1001F與多站處理腔室之上部及下部之間構成電接觸的彈簧1011之外。尤其,設備1001E配置用於促進上部與下部之間的RF返回路徑。尤其,設備1001F可配置用於插入式組裝或與多站處理腔室接合,如前所述。設備1001F可為被動或以其他方式為自致動,並配置成提供導電RF返回路徑。如此,設備1001F可透過修改被置於圖4A、5a及7-9之系統及設備中。進一步地,設備1001F可置於多站處理腔室的中心(例如,以心軸及索引器組件為中心),或者可偏心置於偏離心軸及索引器組件,只要提供RF返回路徑對於站展現改進對稱性即可(即,RF返回路徑穿過側壁以及朝向多站處理腔室中心)。
總的來說,設備1001F包括導電之上柱組件1041及下柱組件1051。下柱組件1051可移動式地連接至上柱組件1041,如前所述。
上柱組件1041及下柱組件1051配置成在多站處理腔室之頂板與底部102b之間提供RF返回路徑。尤其,設備1001F包括連接至基部1057之彈簧1011,基部1057連接至下柱組件1051之下柱1050。又,彈簧1011連接至頂部1045,頂部1045連接至上柱組件1041之上柱1040。彈簧1011配置成推抵上柱組件1041之頂部1045並推抵下柱組件1051之基部1057。亦即,彈簧1011迫使頂部1045與接收介面1080之間的接觸,並迫使基部1057與旋轉機構410之索引器410b之間的接觸。如此,彈簧1011配置成在多站處理腔室之上部與下部之間構成連續RF連接,無論心軸410a的位置如何(即,不管是處於向下、向上或中間位置)。 具體地,彈簧1011及設備1001F配置成透過接收介面1080、上柱組件1041、下柱組件1051及旋轉機構410(例如,索引器 410b)建立RF返回路徑。
進一步地,設備1001F均位於多站處理腔室之上部與下部之間,無論心軸410a的位置如何。如所示,當心軸410a處於向下位置時,彈簧1011迫使接收介面1080與上柱組件1041之頂部1045之間的接觸,且亦迫使唇部1059與1049之間的接觸,並亦迫使下柱組件1051之基部1057與多站處理腔室之底部102b之間的接觸。在實施例中,頂部1045並未固接至接收介面1080,且基部1057未固接至旋轉機構410(例如,索引器 410b)。在另一實施例中,頂部1045固接至接收介面1080,或者基部1057固接至旋轉機構410。
圖11示出用於控制上述系統之控制模組1100。例如,控制模組1100可包括處理器、記憶體及一或更多介面。控制模組1100可用於部分地基於感測值來控制系統中的裝置。僅用於示例,控制模組1100可基於感測值及其他控制參數來控制閥1102、過濾器式加熱器1104、泵1106及其他裝置1108中的一或更多者。控制模組1100接收來自僅作示例之壓力計1110、流量計1112、溫度感測器1114及/或其他感測器1116的感測值。控制模組1100亦可用於在前驅物輸送及膜沉積期間控制製程條件。控制模組1100通常將包括一或更多記憶體裝置及一或更多處理器。在一實施方式中,控制模組1100可包括圖1之控制模組110。
控制模組1100可控制前驅物輸送系統及沉積設備的作動。控制模組1100執行包括指令集之電腦程式,用於控制製程時序、輸送系統溫度及跨過濾器的壓差、閥位置、氣體混合物、腔室壓力、腔室溫度、基板溫度、RF功率位準、基板吸盤或基座位置、沖洗氣體的輸送以及特定製程的其他參數。控制模組1100亦可監測壓差並將蒸氣前驅物輸送從一或更多路徑自動切換至一或更多其他路徑。在一些實施例中可採用儲存在與控制模組1100相關聯之記憶體裝置上的其他電腦程式。
通常會有與控制模組1100相關聯之使用者介面。該使用者介面可包含顯示器1118(例如設備及/或製程條件之顯示螢幕及/或圖形軟體顯示器)、及使用者輸入裝置1120,例如指向裝置、鍵盤、觸控螢幕、麥克風等。
用於控制製程序列中前驅物輸送、沉積及其他製程的電腦程式可用任何習知電腦可讀程式語言編寫 : 例如,組合語言(assembly language)、C、C ++、Pascal、Fortran或其他者。已編譯之目標代碼或腳本係透過處理器來執行,以執行程式中標識的任務。
控制模組參數與製程條件有關,例如舉例過濾器壓差、製程氣體組成及流率、沖洗氣體流率、溫度、壓力、電漿條件(例如RF功率位準及低頻RF頻率)、冷卻氣體壓力及腔室壁溫度。
系統軟體可以許多不同方式設計或配置。例如,可寫入諸多腔室組成件子程式或控制目標,以控制執行本發明製程所需之腔室組成件的操作,包括沖洗氣體的輸送。用於此目的之程式或程式部分的示例包括基板定位代碼、製程氣體控制代碼、沖洗氣體控制代碼、壓力控制代碼、加熱器控制代碼及電漿控制代碼。
基板定位程式可包括用於控制腔室組成件之程式碼,該腔室組成件用於將基板裝載至基座或吸盤上並控制基板與腔室之其他部分(如氣體入口及/或目標)之間的間距。 製程氣體控制程式可包括用於控制氣體組成及流率並可選地用於在沉積之前將氣體流入腔室以穩定腔室中壓力之代碼。沖洗氣體控制程式可包括用於控制沖洗氣體輸送之代碼。過濾器監測程式包括將測得差值與預定值進行比較之代碼及/或用於切換路徑的代碼。壓力控制程式可包括用於透過調節例如腔室之排出系統中的節流閥來控制腔室中壓力的代碼。加熱器控制程式可包括用於控制往加熱單元之電流的代碼,該加熱單元用於加熱前驅物輸送系統中的組成件、基板及/或系統的其他部分。可替代地,加熱器控制程式可控制熱傳導氣體(例如,氦)往基板吸盤的輸送。
可在沉積期間監測之感測器的示例包括,但不限於,質量流量控制模組、壓力感測器(例如壓力計1110)及位於輸送系統中之熱電偶、基座或吸盤以及狀態感測器1120。適當程式設計之反饋及控制演算法可與來自此些感測器之資料一起使用,以維持所欲製程條件。前文描述單腔室或多腔室半導體處理工具中本發明之實施例的實施方式。
在一些實施方式中,控制器為系統之一部分,其可為上述示例之一部分。此等系統可包括半導體處理設備,而半導體處理設備包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(基板基座、氣流系統等)。此些系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之操作。此等電子設備可指「控制器」,其可控制該系統或複數系統之諸多構組成件或次部件。取決於處理需求及/或系統類型之控制器可程式化,以控制本文所揭示之任何製程,包括處理氣體之輸送、沖洗氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、基板轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清潔操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器的指令,該各別設定(或程式檔案)為實行(半導體基板上,或針對半導體基板,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
控制器在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含區域網路或網際網路。
遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,控制器接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所述之製程及控制)運作之一或更多分離的控制器。用於此等目的之分散式控制器示例可為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜邊緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、電漿增強化學氣相沉積(PECVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊 : 其他工具電路或模組、其他工具構件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
為了說明及描述的目的,已提供實施例之前文描述。其並非旨在窮舉或限制本發明。特定實施例之各別元件或特徵一般不限於該特定實施例,而是即使並未具體示出或描述,其可在可應用下互換並可用於選定實施例中。其亦可以許多方式變化。此等變化不應被視為悖離本發明,且全部此等修改旨在包含於本發明之範圍內。
雖然為了清楚理解目的已詳細描述前述實施例,但將顯而易見的是,可在隨附請求項之範圍內進行某些改變及修改。據此,本實施例應被視為說明性而非限制性,且實施例不限於本文所給出的細節,而是可在請求項之其範圍及均等內加以修改。
100:基板處理系統 101:處理晶圓 102:腔室 102a:頂腔室部分、上部 102b:處理腔室、下腔室部分、底部 102c:頂板 104:功率供應源 106:匹配網路 108:製程輸入及控制 110:控制模組 112:氣體供應歧管 114:製程氣體 122:升降銷控制 124:載環升降及旋轉控制 130:電傳導介面控制 135:旋轉機構控制 140:基座 150:噴淋頭 180:支架叉 200:載環 220:旋轉機構 226:支架叉 300:處理工具 302:入站裝載室 304:出站裝載室 306:機器人 308:傳送盒 310:大氣埠 316:腔室轉移埠 318:基板固持件 400A:腔室 410:旋轉機構 410a:心軸 410b:索引器 420:軸 421:連接器 425:導電介面 460:致動器 465:致動器 467:致動器 470:中心軸線 490:路徑 500A:腔室 501:接觸點 504:導電結構 504a:中心開口 504b:模組或部件 506:間隙 508:間隙 520:軸 521:連接器 525:導電介面 526:下端部 567:致動器 590:路徑 600:導電介面 610:垂直鏈結 620:間隙樑 700:腔室 720:接收介面 790:路徑 800:腔室 810:旋轉機構 810a:心軸 810b:索引器 820:接收介面 825:導電介面 865:致動器 870:中心軸線 890:路徑 900:腔室 920:軸 921:連接器 925:導電介面 950:密封波紋管組件 990:路徑 1000A:設備 1000C:圖 1000D:圖 1000E:圖 1000F:圖 1001E:設備 1001F:設備 1005:彈簧組件 1010:彈簧座 1011:彈簧 1015:彈簧活塞管 1020:軸上止推軸承 1030a:RF墊片 1030b:RF墊片 1030c:RF墊片 1040:上柱 1041:上柱組件 1045:頂部 1048:底部 1049:唇部 1050:下柱 1051:下柱組件 1055:底部 1057:基部 1058:頂部、上部 1059:唇部 1060:延伸部 1061:間隙 1070:行進空間 1080:接收介面 1100:控制模組 1102:閥 1104:過濾器式加熱器 1106:泵 1108:其他裝置 1110:壓力計 1112:流量計 1114:溫度感測器 1116:其他感測器 1118:顯示器 1120:使用者輸入裝置、狀態感測器 D1:直徑 D2:直徑
可透過參考以下結合附圖所進行的描述來最佳地理解實施例。
圖1示出根據本發明一實施例之基板處理系統,其用於處理晶圓,例如以在其上形成膜。
圖2示出根據一實施例之多站處理工具或腔室的頂視圖,其中提供四個處理站。
圖3示出根據一實施例之具有入站裝載室及出站裝載室之多站處理腔室實施例的示意圖。
圖4A為根據本發明一實施例之多站處理腔室的橫截面,其示出導電介面電耦接至腔室頂部並配置成接觸腔室中心之旋轉機構以對每一站提供對稱的RF返回路徑。
圖4B為根據本發明一實施例之旋轉機構的透視圖,其示出可能的RF返回路徑。
圖5A為根據本發明一實施例之多站處理腔室的橫截面,其示出導電介面電耦接至腔室頂部並配置成接觸導電結構以對每一站提供對稱的RF返回路徑。
圖5B為根據本發明一實施例作為導電結構之RF襯底的圖示,其示出圖5A之導電介面的接觸點對每一站提供對稱的RF返回路徑。
圖5C為根據本發明一實施例作為導電結構之圖5B的RF襯底的圖示。
圖6為根據本發明一實施例配置成迴旋可撓性圓柱體之導電介面的透視圖。
圖7為根據本發明一實施例之多站處理腔室的橫截面,其示出導電介面連接至腔室中心之旋轉機構並配置成接觸腔室頂板以對每一站提供對稱的RF返回路徑。
圖8為根據本發明一實施例之多站處理腔室的橫截面,其示出導電介面連接至旋轉機構(其電耦接至腔室頂部)並配置成接觸腔室中心之導電結構以對每一站提供對稱的RF返回路徑。
圖9為根據本發明一實施例之多站處理腔室的橫截面,其示出導電介面配置成在腔室頂板與腔室中心之旋轉機構之間提供持續電連接以對每一站提供對稱的RF返回路徑。
圖10A-10F為示出根據本發明一實施例之插入式被動或主動設備的圖,其配置用於促進多站處理腔室之上部與下部之間的RF返回路徑。
圖11示出用於控制上述系統之控制模組。
102a:頂腔室部分、上部
102b:處理腔室、下腔室部分、底部
102c:頂板
140:基座
150:噴淋頭
400A:腔室
410:旋轉機構
410a:心軸
410b:索引器
420:軸
421:連接器
425:導電介面
460:致動器
465:致動器
467:致動器
470:中心軸線
490:路徑

Claims (58)

  1. 一種設備,包括 : 一多站處理腔室,包括一頂板及一底部,該多站處理腔室配置成包圍複數站,其各自包括一基座組件以支撐一基板以供處理; 一心軸,於該複數站之間置中並配置成繞著一中心軸線旋轉,其中該心軸電連接至該底部; 一第一致動器,耦接至該心軸並配置用於控制該心軸於Z方向上之移動; 一索引器,連接至該心軸並配置成隨該心軸旋轉,其中該索引器包括複數延伸部,其各自配置成與一對應基板接合以轉移進出一站; 一導電介面,可移動式地連接至該頂板;以及 一第二致動器,耦接至該導電介面並配置用於控制該導電介面於該Z方向上之移動; 其中該導電介面配置成 : 當電漿處理期間該複數延伸部每一者停駐且該心軸移動至較低位置時,該導電介面於該Z方向上向下移動以與該索引器接觸。
  2. 如請求項1所述之設備,其中該導電介面包括一或更多實心圓柱形管。
  3. 如請求項1所述之設備,其中該導電介面包括一迴旋圓柱形管,其包含透過複數垂直鏈結所連接之水平定向的複數間隙樑。
  4. 如請求項3所述之設備,其中當該導電介面接觸該索引器時,該導電介面在該頂板與該底部之間提供非螺旋導電路徑。
  5. 如請求項1所述之設備,其中該多站處理腔室包括四個站。
  6. 如請求項1所述之設備,進一步包括 : 於該頂板中的一容槽,其配置成 : 當該心軸移至較上位置以使該索引器之該複數延伸部可與該複數站處之一或更多基板接合時,該容槽容納該導電介面。
  7. 如請求項1所述之設備,進一步包括 : 一接觸介面,設於該索引器上以促成該導電介面與該索引器之間的RF返回路徑, 其中該接觸介面係可撓的。
  8. 如請求項1所述之設備,其中透過該導電介面、該索引器及該心軸產生達該底部之RF返回路徑。
  9. 如請求項1所述之設備,其中該導電介面配置成在該Z方向上向下移動以與一通道之一外壁接觸,而該心軸在該Z方向上於該通道內移動。
  10. 如請求項1所述之設備,其中該索引器包括 : 一上索引器部件;以及 一下索引器部件。
  11. 如請求項1所述之設備,其中該複數延伸部每一者配置成旋轉該對應基板。
  12. 一種設備,包括 : 一多站處理腔室,包括一頂板及一底部,該多站處理腔室配置成包圍複數站,其各自包括一基座組件以支撐一基板以供處理; 一心軸,於該複數站之間置中並配置成繞著一中心軸線旋轉; 一第一致動器,耦接至該心軸並配置用於控制該心軸於Z方向上之移動; 一索引器,連接至該心軸並配置成隨該心軸繞著該中心軸線旋轉,其中該索引器包括複數延伸部,其各自配置成與一對應基板接合以轉移進出一站; 一導電介面,可移動式地連接至該頂板;以及 一第二致動器,耦接至該導電介面並配置用於控制該導電介面於該Z方向上之移動; 其中該導電介面具有橫跨該索引器之一直徑的一下端部, 其中該導電介面配置成 : 當電漿處理期間該複數延伸部每一者停駐且該心軸移動至較低位置時,該導電介面於該Z方向上向下移動以與鄰近該心軸及該索引器之一導電結構接觸, 其中該導電結構電耦接至該底部。
  13. 如請求項12所述之設備,其中該導電結構為配置成環繞該複數站之複數基座的一RF襯底。
  14. 如請求項12所述之設備,其中該導電結構為一或更多導電棒。
  15. 如請求項12所述之設備,其中該導電介面包括一或更多實心圓柱形管。
  16. 如請求項12所述之設備,其中該導電介面包括一迴旋圓柱形管,其包含透過複數垂直鏈結所連接之水平定向的複數間隙樑,其中當該導電介面接觸該索引器時,該導電介面在該頂板與該底部之間提供非螺旋導電路徑。
  17. 如請求項12所述之設備,其中該多站處理腔室包括四個站。
  18. 如請求項12所述之設備,進一步包括 : 於該頂板中的一容槽,其配置成 : 當該心軸移至較上位置以使該索引器之該複數延伸部可與該複數站處之一或更多基板接合時,該容槽容納該導電介面。
  19. 如請求項12所述之設備,其中透過該導電介面及該導電結構產生達該底部之RF返回路徑。
  20. 如請求項12所述之設備,其中該索引器包括 : 一上索引器部件;以及 一下索引器部件。
  21. 如請求項12所述之設備,其中該複數延伸部每一者配置成旋轉該對應基板。
  22. 一種設備,包括 : 一多站處理腔室,包括一頂板及一底部,該多站處理腔室配置成包圍複數站,其各自包括一基座組件以支撐一基板以供處理; 一心軸,於該複數站之間置中並配置成繞著一中心軸線旋轉,其中該心軸電連接至該底部; 一致動器,耦接至該心軸並配置用於控制該心軸於Z方向上之移動; 一索引器,連接至該心軸並配置成隨該心軸旋轉,其中該索引器包括複數延伸部,其各自配置成與一對應基板接合以轉移進出一站;以及 一導電介面,連接至該索引器, 其中該心軸配置成在電漿處理期間於該Z方向上向上移至較高位置以使該導電介面與該頂板接觸, 其中當該心軸處於該較高位置時,該複數延伸部每一者停駐。
  23. 如請求項22所述之設備,其中該導電介面包括一實心圓柱形管。
  24. 如請求項22所述之設備,其中該導電介面包括一迴旋圓柱形管,其包含透過複數垂直鏈結所連接之水平定向的複數間隙樑。
  25. 如請求項23所述之設備,其中當該導電介面接觸該索引器時,該導電介面在該頂板與該底部之間提供非螺旋導電路徑。
  26. 如請求項22所述之設備,其中該多站處理腔室包括四個站。
  27. 如請求項22所述之設備,進一步包括 : 一接觸介面,設於該頂板上以促成該導電介面與該頂板之間的RF返回路徑, 其中該接觸介面係可撓的。
  28. 如請求項22所述之設備,其中透過該頂板、該導電介面、該索引器及該心軸產生達該底部之RF返回路徑。
  29. 如請求項22所述之設備,其中該索引器包括 : 一上索引器部件;以及 一下索引器部件。
  30. 如請求項22所述之設備,其中該複數延伸部每一者配置成旋轉該對應基板。
  31. 一種設備,包括 : 一多站處理腔室,包括一頂板及一底部,該多站處理腔室配置成包圍複數站,其各自包括一基座組件以支撐一基板以供處理; 一心軸,於該複數站之間置中並配置成繞著一中心軸線旋轉,其中該心軸可移動式地電連接至該頂板; 一致動器,耦接至該心軸並配置用於控制該心軸於Z方向上之移動; 一索引器,連接至該心軸並配置成隨該心軸旋轉,其中該索引器包括複數延伸部,其各自配置成與一對應基板接合以轉移進出一站; 一導電介面,連接至該索引器;以及 一接地結構,電連接至該底部; 其中該心軸配置成在電漿處理期間於該Z方向上向下移動至一較低位置以使該導電介面與該接地結構接觸, 其中當該心軸處於該較低位置時,該複數延伸部每一者停駐。
  32. 如請求項31所述之設備,其中該導電介面包括一或更多實心圓柱形管。
  33. 如請求項31所述之設備,其中該導電介面包括一迴旋圓柱形管,其包含透過複數垂直鏈結所連接之水平定向的複數間隙樑。
  34. 如請求項33所述之設備,其中當該導電介面接觸該索引器時,該導電介面在該頂板與該底部之間提供非螺旋導電路徑。
  35. 如請求項31所述之設備,其中該多站處理腔室包括四個站。
  36. 如請求項31所述之設備,進一步包括 : 一接觸介面,設於該接地結構上以促成該導電介面與該接地結構之間的RF返回路徑, 其中該接觸介面係可撓的。
  37. 如請求項31所述之設備,其中透過該頂板、該索引器、該心軸、該導電介面及該接地結構產生達該底部之接地的RF返回路徑。
  38. 如請求項31所述之設備,其中該接地結構包括連接至該底部之一或更多導電棒。
  39. 一種設備,包括 : 一多站處理腔室,包括一頂板及一底部,該多站處理腔室配置成包圍複數站,其各自包括一基座組件以支撐一基板以供處理; 一心軸,於該複數站之間置中並配置成繞著一中心軸線旋轉,其中該心軸電連接至該底部; 一致動器,耦接至該心軸並配置用於控制該心軸於Z方向上之移動; 一索引器,連接至該心軸並配置成隨該心軸旋轉,其中該索引器包括複數延伸部,其各自配置成與一對應基板接合以轉移進出一站; 一導電介面,連接至該索引器,其中該導電介面之一端部延伸進入該頂板之一行進空間,其中該導電介面隨該心軸移動;以及 一導電密封波紋管組件,其在該行進空間之一開口周圍連接至該頂板, 其中當該心軸停駐或在該Z方向上移動時,該導電介面之該端部透過軸承與該導電密封波紋管組件接合,以與該頂板構成持續接觸, 其中當該心軸在電漿處理期間移至較低位置時,該複數延伸部每一者係停駐。
  40. 如請求項39所述之設備,其中該導電介面包括一或更多實心圓柱形管。
  41. 如請求項39所述之設備,其中該導電介面包括一迴旋圓柱形管,其包含透過複數垂直鏈結所連接之水平定向的複數間隙樑。
  42. 如請求項41所述之設備,其中當該導電介面接觸該索引器時,該導電介面在該頂板與該底部之間提供非螺旋導電路徑。
  43. 如請求項39所述之設備,其中該多站處理腔室包括四個站。
  44. 如請求項39所述之設備,其中透過該頂板、該導電密封波紋管組件、該導電介面、該索引器及該心軸產生達該底部之RF返回路徑。
  45. 如請求項39所述之設備,其中該索引器包括 : 一上索引器部件;以及 一下索引器部件。
  46. 如請求項39所述之設備,其中該複數延伸部每一者配置成旋轉該對應基板。
  47. 一種設備,包括 : 一上柱組件,其中該上柱組件為導電;以及 一下柱組件,可移動式地連接至該上柱組件,其中該下柱組件為導電, 其中該上柱組件及該下柱組件配置成在一多站處理腔室之一頂板與一底部之間提供RF返回路徑。
  48. 如請求項47所述之設備,其中該上柱組件包括 : 一上柱; 該上柱組件之一頂部; 該上柱組件之一底部;以及 該上柱組件之該底部上的一唇部。
  49. 如請求項48所述之設備,其中該上柱為圓柱形。
  50. 如請求項47所述之設備,其中該下柱組件包括 : 一下柱; 該下柱組件之一底部; 該下柱組件之一基部; 該下柱組件之一頂部;以及 該下柱組件之該頂部上的一唇部。
  51. 如請求項50所述之設備,其中該下柱為圓柱形。
  52. 如請求項47所述之設備,進一步包括 : 一彈簧組件,電連接至該上柱組件及該下柱組件,其中該彈簧組件配置成使該下柱組件相對於該上柱組件移動。
  53. 如請求項52所述之設備,其中該彈簧組件包括 : 一彈簧座,電連接至該下柱組件; 一彈簧活塞管,與該上柱組件電接觸,其中該彈簧活塞管可移動式地連接至該彈簧座;以及 一彈簧,與該彈簧座及該上柱組件電接觸,並配置成在該彈簧活塞管內移動。
  54. 如請求項53所述之設備,進一步包括 : 一軸上止推軸承,電連接至該彈簧座及該下柱組件之下柱基部,其中該軸上止推軸承配置成允許該下柱組件旋轉而該上柱組件不旋轉。
  55. 如請求項47所述之設備,進一步包括 : 一第一RF墊片,設於該上柱組件上並配置成與該多站處理腔室之一頂板構成一第一RF連接; 一第二RF墊片,配置成在該上柱組件與該下柱組件之間構成一第二RF連接;以及 一第三RF墊片,設於該下柱組件上並配置成在該下柱組件與該多站處理腔室之一底部之間構成一第三RF連接。
  56. 如請求項55所述之設備, 其中該第一RF墊片設於該上柱組件之一頂部上, 其中該第二RF墊片設於位在該上柱組件之一底部上的一唇部與位於該下柱組件之一上部上的一唇部之間, 其中該第三RF墊片設於該下柱組件之一底部上。
  57. 如請求項56所述之設備, 其中該下柱組件配置成環繞連接至該多站處理腔室之一心軸的一索引器,其中下柱基部配置成與該索引器接觸, 其中當連接至該索引器之該心軸在電漿處理期間處於較低位置時,該下柱組件之該底部透過該第三RF墊片電連接至該多站處理腔室之該底部,且位於該上柱組件之該底部上的該唇部透過該第二RF墊片電連接至位於該下柱組件之該上部上之該唇部。
  58. 如請求項56所述之設備, 其中該下柱組件配置成環繞連接至該多站處理腔室之一心軸的一索引器,其中下柱基部配置成與該索引器接觸, 其中當連接至該索引器之該心軸處於較上位置時,該下柱組件之該底部與該多站處理腔室之該底部分離,且位於該上柱組件之該底部上的該唇部與位於該下柱組件之該上部上的該唇部分離。
TW111129672A 2021-08-12 2022-08-08 提供對稱射頻返回路徑的製程模組腔室 TW202322243A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163232590P 2021-08-12 2021-08-12
US63/232,590 2021-08-12

Publications (1)

Publication Number Publication Date
TW202322243A true TW202322243A (zh) 2023-06-01

Family

ID=85200929

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111129672A TW202322243A (zh) 2021-08-12 2022-08-08 提供對稱射頻返回路徑的製程模組腔室

Country Status (4)

Country Link
KR (1) KR20240043799A (zh)
CN (1) CN117813680A (zh)
TW (1) TW202322243A (zh)
WO (1) WO2023018596A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8070925B2 (en) * 2008-10-17 2011-12-06 Applied Materials, Inc. Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
SG10201604037TA (en) * 2011-11-24 2016-07-28 Lam Res Corp Symmetric rf return path liner
US20170053781A1 (en) * 2015-08-18 2017-02-23 Lam Research Corporation Multi-Station Chamber Having Symmetric Grounding Plate
KR102548259B1 (ko) * 2016-12-27 2023-06-27 에바텍 아크티엔게젤샤프트 Rf 용량성 결합 듀얼 주파수 에칭 반응기
CN109216144B (zh) * 2017-07-03 2021-08-06 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器

Also Published As

Publication number Publication date
CN117813680A (zh) 2024-04-02
KR20240043799A (ko) 2024-04-03
WO2023018596A1 (en) 2023-02-16

Similar Documents

Publication Publication Date Title
KR102458099B1 (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
KR102421858B1 (ko) 캐리어 링 구조체 및 이를 포함하는 챔버 시스템들
KR20210080300A (ko) 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
TWI585888B (zh) 用於多站、循序處理系統之旋轉料架反應器
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
TWI825228B (zh) 利用邊緣環升降的動態鞘控制
KR102401704B1 (ko) 이동가능한 에지 링 설계들
US10679827B2 (en) Method and apparatus for semiconductor processing chamber isolation for reduced particles and improved uniformity
WO2013162774A1 (en) Method and apparatus for independent wafer handling
TW202224071A (zh) 用於半導體處理之晶圓定位底座中的墊升高機構
US20200370172A1 (en) Hard mask, substrate processing method, and substrate processing apparatus
WO2022051581A1 (en) Pedestal support design for precise chamber matching and process control
KR20230088467A (ko) 열적 균일 증착 스테이션
US20210118648A1 (en) Substrate processing system and method for replacing edge ring
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
KR20230009491A (ko) 패터닝 임계 치수 (critical dimension) 제어를 위한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 시퀀스
TW202322243A (zh) 提供對稱射頻返回路徑的製程模組腔室
US20230059495A1 (en) Optimization of Radiofrequency Signal Ground Return in Plasma Processing System
US20220305601A1 (en) Use of vacuum during transfer of substrates
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
US20230369025A1 (en) High precision edge ring centering for substrate processing systems
TW202409339A (zh) 利用邊緣環升降的動態鞘控制
JP2023005996A (ja) 基板処理装置及び基板処理方法
JP2013125796A (ja) プラズマ処理方法および装置