TW202314852A - 蝕刻方法及電漿處理裝置 - Google Patents

蝕刻方法及電漿處理裝置 Download PDF

Info

Publication number
TW202314852A
TW202314852A TW111122844A TW111122844A TW202314852A TW 202314852 A TW202314852 A TW 202314852A TW 111122844 A TW111122844 A TW 111122844A TW 111122844 A TW111122844 A TW 111122844A TW 202314852 A TW202314852 A TW 202314852A
Authority
TW
Taiwan
Prior art keywords
gas
region
tungsten
plasma
substrate
Prior art date
Application number
TW111122844A
Other languages
English (en)
Inventor
高田郁弥
吉村正太
森北信也
及川弘太
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2022084554A external-priority patent/JP2023008824A/ja
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202314852A publication Critical patent/TW202314852A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明提供一種可形成具有優異之耐蝕刻性之含鎢沈積物的蝕刻方法及電漿處理裝置。 本發明之蝕刻方法包括如下步驟:(a)準備基板,其中基板包含含矽及氮之第1區域、及含矽及氧之第2區域;(b)使用由包含碳及氫中之至少1種、氟、以及鎢之第1處理氣體生成之第1電漿,於第1區域上形成含鎢沈積物;以及(c)於(b)之後,使用由與第1處理氣體不同之第2處理氣體生成之第2電漿,對第2區域進行蝕刻。

Description

蝕刻方法及電漿處理裝置
本發明之例示性實施方式係關於一種蝕刻方法及電漿處理裝置。
專利文獻1揭示一種使用電漿對絕緣膜進行蝕刻之方法。該方法在蝕刻中於絕緣膜表面形成導電層並進行蝕刻。於蝕刻中,使用由WF 6與C 4F 8之混合氣體生成之電漿。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開平9-50984號公報
[發明所欲解決之問題]
本發明提供一種可形成具有優異之耐蝕刻性之含鎢沈積物的蝕刻方法及電漿處理裝置。 [解決問題之技術手段]
於一例示性實施方式中,蝕刻方法包括如下步驟:(a)準備基板,其中上述基板包含含矽及氮之第1區域、以及含矽及氧之第2區域;(b)使用由包含碳及氫中之至少1種、氟、及鎢之第1處理氣體生成之第1電漿,於上述第1區域上形成含鎢沈積物;以及(c)於上述(b)之後,使用由與上述第1處理氣體不同之第2處理氣體生成之第2電漿,對上述第2區域進行蝕刻。 [發明之效果]
根據一例示性實施方式,提供一種可形成具有優異之耐蝕刻性之含鎢沈積物的蝕刻方法及電漿處理裝置。
以下,對各種例示性實施方式進行說明。
於一例示性實施方式中,蝕刻方法包括如下步驟:(a)準備基板,其中上述基板包含含矽及氮之第1區域、以及含矽及氧之第2區域;(b)使用由包含碳及氫中之至少1種、氟、及鎢之第1處理氣體生成之第1電漿,於上述第1區域上形成含鎢沈積物;以及(c)於上述(b)之後,使用由與上述第1處理氣體不同之第2處理氣體生成之第2電漿,對上述第2區域進行蝕刻。
根據上述蝕刻方法,可於對第2區域進行蝕刻時形成具有優異之耐蝕刻性之含鎢沈積物。
上述第1處理氣體亦可包含含碳氣體及含氫氣體中之至少1種、及含鎢氣體。
上述含鎢氣體亦可包含六氟化鎢氣體。
上述含碳氣體亦可包含CH 4氣體、C 2H 2氣體、C 2H 4氣體、CH 3F氣體、CH 2F 2氣體、CHF 3氣體及CO氣體中之至少1種。
上述含氫氣體亦可包含H 2氣體、SiH 4氣體及NH 3氣體中之至少1種。
於上述(a)中,上述第2區域係以覆蓋上述第1區域之方式設置,上述蝕刻方法進而包括如下步驟:(d)於上述(a)之後上述(b)之前,以使上述第1區域露出之方式對上述第2區域進行蝕刻。
於上述(b)中,支持上述基板之基板支持器之溫度亦可超過100℃。於此情形時,含鎢沈積物之耐蝕刻性進一步提高。
於上述(b)中或上述(b)之後,亦可對與支持上述基板之基板支持器對向並包含矽之對向電極進行濺鍍。於此情形時,含鎢沈積物之耐蝕刻性進一步提高。
上述第1區域亦可具有凹部,上述第2區域亦可嵌埋至上述凹部內。於此情形時,藉由對第2區域進行蝕刻,可形成凹部。
上述(c)可於自對準接觸步驟中進行。
可反覆實施包含上述(b)~(c)之循環2次以上。
於一例示性實施方式中,蝕刻方法包括如下步驟:(a)準備基板,該基板包含具有露出之上表面之矽氮化物、及具有露出之上表面之矽氧化物;(b)使用由包含含碳氣體及含氫氣體中之至少1種、以及六氟化鎢氣體之第1處理氣體生成之第1電漿,於上述矽氮化物上形成含鎢沈積物;以及(c)於上述(b)之後,使用由與上述第1處理氣體不同之第2處理氣體生成之第2電漿,對上述矽氧化物進行蝕刻。
於一例示性實施方式中,電漿處理裝置具備:腔室;基板支持器,其係用以於上述腔室內支持基板者,上述基板包含含矽及氮之第1區域、以及含矽及氧之第2區域;氣體供給部,其構成為將第1處理氣體及與上述第1處理氣體不同之第2處理氣體供給至上述腔室內,上述第1處理氣體包含碳及氫中之至少1種、氟、以及鎢;電漿生成部,其構成為於上述腔室內由上述第1處理氣體生成第1電漿,於上述腔室內由上述第2處理氣體生成第2電漿;以及控制部;且上述控制部構成為,控制上述氣體供給部及上述電漿生成部,以使用上述第1電漿於上述第1區域上形成含鎢沈積物;上述控制部構成為,控制上述氣體供給部及上述電漿生成部,以使用上述第2電漿對上述第2區域進行蝕刻。
於一例示性實施方式中,蝕刻方法包括如下步驟:(a)準備基板,其中上述基板包含含矽及氮之第1區域、及含矽及氧之第2區域;(b)於上述第1區域上形成含碳沈積物;(c)使用由包含氟及鎢之處理氣體生成之電漿,於上述含碳沈積物上形成含鎢沈積物;以及(d)於上述(c)之後,對上述第2區域進行蝕刻。
根據上述蝕刻方法,可使含碳沈積物及含鎢沈積物之合計厚度變大。因此,可形成具有優異之耐蝕刻性之沈積物。
上述處理氣體亦可包含含鎢氣體,除惰性氣體以外之上述處理氣體所包含之所有氣體中之上述含鎢氣體之流量比亦可最大。
於上述(c)中,亦可對與支持上述基板之基板支持器對向之對向電極施加用以生成上述電漿之電力。於此情形時,與對基板支持器施加用以生成電漿之電力之情形相比,可抑制電漿中之離子對基板之碰撞。因此,可抑制含碳沈積物及含鎢沈積物之合計厚度之減少。
以下,參照圖式,對各種例示性實施方式進行詳細說明。再者,對各圖式中相同或相當之部分標註相同之符號。
圖1及圖2係概略性地表示一例示性實施方式之電漿處理裝置之圖。
於一實施方式中,電漿處理系統包含電漿處理裝置1及控制部2。電漿處理裝置1包含電漿處理腔室10、基板支持部11及電漿生成部12。電漿處理腔室10具有電漿處理空間。又,電漿處理腔室10具有用以將至少1種處理氣體供給至電漿處理空間之至少1個氣體供給口、及用以從電漿處理空間排出氣體之至少1個氣體排出口。氣體供給口連接於下述氣體供給部20,氣體排出口連接於下述排氣系統40。基板支持部11配置於電漿處理空間內,具有用以支持基板之基板支持面。
電漿生成部12構成為由供給至電漿處理空間內之至少1種處理氣體生成電漿。於電漿處理空間中形成之電漿可為電容耦合電漿(CCP;Capacitively Coupled Plasma)、電感耦合電漿(ICP;Inductively Coupled Plasma)、ECR電漿(Electron-Cyclotron-resonance plasma,電子迴旋共振電漿)、螺旋波激發電漿(HWP:Helicon Wave Plasma)、或表面波電漿(SWP:Surface Wave Plasma)等。又,亦可使用包括AC(Alternating Current,交流)電漿生成部及DC(Direct Current,直流)電漿生成部之各種類型之電漿生成部。於一實施方式中,於AC電漿生成部中使用之AC信號(AC電力)具有100 kHz~10 GHz之範圍內之頻率。因此,AC信號包含RF(Radio Frequency)信號及微波信號。於一實施方式中,RF信號具有200 kHz~150 MHz之範圍內之頻率。
控制部2處理使電漿處理裝置1執行本發明中所述之各種步驟之可電腦執行之命令。控制部2可構成為,以執行此處所述之各種步驟之方式控制電漿處理裝置1之各要素。於一實施方式中,控制部2之一部分或全部亦可包含於電漿處理裝置1中。控制部2例如亦可包含電腦2a。電腦2a例如亦可包含處理部(CPU:Central Processing Unit)2a1、記憶部2a2、及通訊介面2a3。處理部2a1可構成為,基於儲存於記憶部2a2之程式而進行各種控制動作。記憶部2a2亦可包含RAM(Random Access Memory,隨機存取記憶體)、ROM(Read Only Memory,唯讀記憶體)、HDD(Hard Disk Drive,硬式磁碟機)、SSD(Solid State Drive,固態硬碟)、或該等之組合。通訊介面2a3亦可經由LAN(Local Area Network,區域網路)等通訊線路而於與電漿處理裝置1之間通訊。
以下,對電漿處理系統之構成例進行說明。 電漿處理系統包含電容耦合電漿處理裝置1及控制部2。電容耦合電漿處理裝置1包含電漿處理腔室10、氣體供給部20、電源30及排氣系統40。又,電漿處理裝置1包含基板支持部11及氣體導入部。氣體導入部構成為,將至少1種處理氣體導入至電漿處理腔室10內。氣體導入部包含簇射頭13。基板支持部11配置於電漿處理腔室10內。簇射頭13配置於基板支持部11之上方。於一實施方式中,簇射頭13構成電漿處理腔室10之頂部(ceiling)之至少一部分。電漿處理腔室10具有由簇射頭13、電漿處理腔室10之側壁10a及基板支持部11所界定之電漿處理空間10s。電漿處理腔室10具有用以將至少1種處理氣體供給至電漿處理空間10s之至少1個氣體供給口、及用以從電漿處理空間排出氣體之至少1個氣體排出口。側壁10a接地。簇射頭13及基板支持部11與電漿處理腔室10殼體電性絕緣。
基板支持部11包含本體部111及環總成(ring assembly)112。本體部111具有用以支持基板(晶圓)W之中央區域(基板支持面)111a、及用以支持環總成112之環狀區域(環支持面)111b。本體部111之環狀區域111b於俯視下包圍本體部111之中央區域111a。基板W配置於本體部111之中央區域111a上,環總成112以包圍本體部111之中央區域111a上之基板W之方式配置於本體部111之環狀區域111b上。於一實施方式中,本體部111包含基台及靜電吸盤。本體部111包含導電性構件。本體部111之導電性構件作為電極發揮作用。靜電吸盤配置於基台之上。靜電吸盤之上表面具有基板支持面111a。環總成112包含1個或複數個環狀構件。1個或複數個環狀構件中之至少1個為邊緣環。又,雖省略圖示,基板支持部11亦可包含調溫模組,該調溫模組構成為將靜電吸盤、環總成112及基板中之至少1個調節至目標溫度。調溫模組亦可包含加熱器、傳熱介質、流路、或該等之組合。流路中流動諸如鹽水或氣體之傳熱流體。又,基板支持部11亦可包含傳熱氣體供給部,該傳熱氣體供給部構成為向基板W之背面與基板支持面111a之間供給傳熱氣體。
簇射頭13構成為,將來自氣體供給部20之至少1種處理氣體導入至電漿處理空間10s內。簇射頭13具有至少1個氣體供給口13a、至少1個氣體擴散室13b、及複數個氣體導入口13c。供給至氣體供給口13a之處理氣體通過氣體擴散室13b而從複數個氣體導入口13c被導入至電漿處理空間10s內。又,簇射頭13包含導電性構件。簇射頭13之導電性構件與基板支持部11對向,作為電極(以下,有時稱為對向電極)發揮作用。簇射頭13之導電性構件可包含例如矽等含矽物質。再者,氣體導入部亦可除了包含簇射頭13以外,還包含1個或複數個側氣體注入部(SGI:Side Gas Injector),其或其等安裝在形成於側壁10a之1個或複數個開口部。
氣體供給部20可包含至少1個氣體源21及至少1個流量控制器22。於一實施方式中,氣體供給部20構成為,將至少1種處理氣體從與各自對應之氣體源21經由與各自對應之流量控制器22而供給至簇射頭13。各流量控制器22可包含例如質量流量控制器或壓力控制式流量控制器。進而,氣體供給部20可包含將至少1種處理氣體之流量進行調變或脈衝化之1個或1個以上之流量調變裝置。
電源30包含經由至少1個阻抗匹配電路與電漿處理腔室10耦合之RF電源31。RF電源31構成為,將諸如源RF信號及偏壓RF信號之至少1個RF信號(RF電力)供給至基板支持部11之導電性構件及/或簇射頭13之導電性構件。藉此,由供給至電漿處理空間10s之至少1種處理氣體形成電漿。因此,RF電源31可作為電漿生成部之至少一部分發揮作用,該電漿生成部構成為於電漿處理腔室10中由1種或1種以上之處理氣體生成電漿。又,藉由將偏壓RF信號供給至基板支持部11之導電性構件,可使基板W產生偏壓電位,將所形成之電漿中之離子成分饋入至基板W。
於一實施方式中,RF電源31包含第1RF生成部31a及第2RF生成部31b。第1RF生成部31a構成為,經由至少1個阻抗匹配電路與基板支持部11之導電性構件及/或簇射頭13之導電性構件耦合,生成電漿生成用源RF信號(源RF電力)。於一實施方式中,源RF信號具有13 MHz~150 MHz之範圍內之頻率。於一實施方式中,第1RF生成部31a亦可構成為,生成具有不同頻率之複數個源RF信號。所生成之1個或複數個源RF信號被供給至基板支持部11之導電性構件及/或簇射頭13之導電性構件。第2RF生成部31b構成為,經由至少1個阻抗匹配電路與基板支持部11之導電性構件耦合,生成偏壓RF信號(偏壓RF電力)。於一實施方式中,偏壓RF信號具有低於源RF信號之頻率。於一實施方式中,偏壓RF信號具有400 kHz~13.56 MHz之範圍內之頻率。於一實施方式中,第2RF生成部31b亦可構成為,生成具有不同頻率之複數個偏壓RF信號。所生成之1個或複數個偏壓RF信號被供給至基板支持部11之導電性構件。又,於各種實施方式中,可將源RF信號及偏壓RF信號中之至少1個脈衝化。
又,電源30亦可包含與電漿處理腔室10耦合之DC電源32。DC電源32包含第1DC生成部32a及第2DC生成部32b。於一實施方式中,第1DC生成部32a構成為,與基板支持部11之導電性構件連接,生成第1DC信號。所生成之第1偏壓DC信號被施加至基板支持部11之導電性構件。於一實施方式中,第1DC信號亦可施加至如靜電吸盤內之電極之其他電極。於一實施方式中,第2DC生成部32b構成為,與簇射頭13之導電性構件連接,生成第2DC信號。所生成之第2DC信號被施加至簇射頭13之導電性構件。於各種實施方式中,亦可第1及第2DC信號中之至少1個脈衝化。再者,第1及第2DC生成部32a、32b可與RF電源31同時設置,亦可代替第2RF生成部31b而設置第1DC生成部32a。
排氣系統40例如可與電漿處理腔室10之底部所設置之氣體排出口10e連接。排氣系統40亦可包含壓力調整閥及真空泵。藉由壓力調整閥調整電漿處理空間10s內之壓力。真空泵亦可包含渦輪分子泵、乾式真空泵或該等之組合。
圖3係一例示性實施方式之蝕刻方法之流程圖。圖3所示之蝕刻方法MT(以下,稱為「方法MT」)可藉由上述實施方式之電漿處理裝置1而執行。方法MT可應用於基板W。
圖4係可應用圖3之方法之一例之基板之局部放大剖視圖。如圖4所示,於一實施方式中,基板W包含第1區域R1及第2區域R2。第1區域R1亦可具有至少1個凹部R1a。第1區域R1亦可具有複數個凹部R1a。各凹部R1a亦可為用以形成接觸孔之凹部。第2區域R2亦可嵌埋至凹部R1a內。第2區域R2亦可以覆蓋第1區域R1之方式設置。
第1區域R1包含矽及氮。第1區域R1亦可包含矽氮化物(SiN x)。第1區域R1例如可為藉由CVD(Chemical Vapor Deposition,化學氣相沈積)等而成膜之區域,亦可為藉由將矽氮化而獲得之區域。第1區域R1亦可包含含矽氮化物(SiN x)之第1部分、及包含碳化矽(SiC)之第2部分。於此情形時,第1部分具有凹部R1a。
第2區域R2包含矽及氧。第2區域R2亦可包含矽氧化物(SiO x)。第2區域R2例如可為藉由CVD等而成膜之區域,亦可為藉由將矽氧化而獲得之區域。第2區域R2亦可具有凹部R2a。凹部R2a具有較凹部R1a之寬度大之寬度。
基板W亦可包含基底區域UR、及設置於基底區域UR上之至少1個隆起區域RA。基底區域UR及至少1個隆起區域RA由第1區域R1覆蓋。基底區域UR亦可包含矽。複數個隆起區域RA位於基底區域UR上。第1區域R1之凹部R1a位於複數個隆起區域RA間。各隆起區域RA亦可形成電晶體之閘極區域。
基板W亦可包含遮罩MK。遮罩MK設置於第2區域R2上。遮罩MK亦可包含金屬或矽。遮罩MK亦可具有開口OP。開口OP與第2區域R2之凹部R2a對應。
以下,參照圖3~圖7,以使用上述實施方式之電漿處理裝置1而將方法MT應用於基板W之情形為例,對方法MT進行說明。圖5~圖7係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。於使用電漿處理裝置1之情形時,利用控制部2控制電漿處理裝置1之各部,可於電漿處理裝置1中執行方法MT。於方法MT中,如圖2所示,對配置於電漿處理腔室10內之基板支持部11(基板支持器)上之基板W進行處理。
如圖3所示,方法MT可包括步驟ST1、步驟ST2、步驟ST3、步驟ST4、步驟ST5及步驟ST6。步驟ST1~步驟ST6可依序執行。方法MT亦可不包括步驟ST2、步驟ST5及步驟ST6中之至少1個。步驟ST2亦可包含於步驟ST1中。
於步驟ST1中,準備圖4所示之基板W。基板W於電漿處理腔室10內可由基板支持部11支持。基板W可作為電漿蝕刻之結果而為圖4所示之形狀,亦可從提供至電漿處理腔室10之當初便為圖4所示之形狀。於步驟ST1中,第2區域R2可以覆蓋第1區域R1之方式設置。於步驟ST1中,第1區域R1之上表面及第2區域R2之上表面亦可露出。即,於步驟ST1中,矽氮化物之上表面及矽氧化物之上表面亦可露出。
於步驟ST2中,如圖5所示,以使第1區域R1露出之方式對第2區域R2進行蝕刻。於步驟ST2中,第1區域R1亦可被蝕刻。尤其是第1區域R1之凹部R1a中之肩部分SH可被蝕刻。蝕刻例如使用由處理氣體生成之電漿PL而進行。於一例中,處理氣體亦可包含含氟氣體,亦可包含鎢。又,於一例中,處理氣體亦可於第1區域R1即將露出之前就包含鎢。於蝕刻中,使用遮罩MK。蝕刻亦可用如下方式進行。首先,藉由氣體供給部20,將處理氣體供給至電漿處理腔室10內。其次,藉由電漿生成部12,於電漿處理腔室10內由處理氣體生成電漿PL。控制部2控制氣體供給部20及電漿生成部12,從而以使第1區域R1露出之方式對第2區域R2進行蝕刻。於步驟ST2中,可對基板支持部11之本體部111中之電極賦予偏壓電力,亦可不賦予偏壓電力。尤其是從第1區域R1即將露出之前至之後亦可不賦予偏壓電力。其結果為,會容易形成沈積物,抑制凹部R1a中之肩部分SH之蝕刻。
含氟氣體亦可包含碳。含氟氣體亦可包含氟碳氣體及氫氟碳氣體中之至少1種。氟碳(C xF y)氣體亦可包含CF 4氣體、C 3F 6氣體、C 3F 8氣體、C 4F 8氣體及C 4F 6氣體中之至少1種。氫氟碳(C xH yF z)氣體亦可包含CH 2F 2氣體、CHF 3氣體及CH 3F氣體中之至少1種。
於步驟ST2中,藉由電漿PL中之包含氟之活性種,包含矽氧化物之第2區域R2被蝕刻。
於步驟ST3中,如圖6所示,使用由第1處理氣體生成之第1電漿PL1,於第1區域R1上形成含鎢沈積物DP。含鎢沈積物DP亦可為含鎢膜。於將第1區域R1及第2區域R2暴露於第1電漿PL1中之情形時,含鎢沈積物DP可與第2區域R2相比優先形成於第1區域R1上。此處,「含鎢沈積物DP可與第2區域R2相比優先形成於第1區域R1上」例如意指第1區域R1上之含鎢沈積物DP之厚度大於第2區域R2上之含鎢沈積物DP之厚度,更具體而言,意指第2區域R2上之含鎢沈積物DP之厚度為第1區域R1上之含鎢沈積物DP之厚度之50%以下。沈積亦可用如下方式進行。首先,藉由氣體供給部20,將第1處理氣體供給至電漿處理腔室10內。其次,藉由電漿生成部12,於電漿處理腔室10內由第1處理氣體生成第1電漿PL1。控制部2控制氣體供給部20及電漿生成部12,以於第1區域R1上形成含鎢沈積物DP。
第1處理氣體包含碳及氫中之至少1種、氟、以及鎢。第1處理氣體亦可包含含碳氣體及含氫氣體中之至少1種、及含鎢氣體。氟可包含於含碳氣體中,可包含於含氫氣體中,亦可包含於含鎢氣體中。
含碳氣體亦可包含CH 4氣體、C 2H 2氣體、C 2H 4氣體、CH 3F氣體、CH 2F 2氣體、CHF 3氣體及CO氣體中之至少1種。
含氫氣體亦可包含H 2氣體、SiH 4氣體及NH 3氣體中之至少1種。
含鎢氣體亦可包含鹵化鎢氣體。鹵化鎢氣體亦可包含六氟化鎢(WF 6)氣體、六溴化鎢(WBr 6)氣體、六氯化鎢(WCl 6)氣體及WF 5Cl氣體中之至少1種。含鎢氣體亦可包含六羰基鎢(W(CO) 6)氣體。
第1處理氣體亦可包含例如氬氣、氦氣、氙氣或氖氣等稀有氣體(noble gas)。第1處理氣體亦可包含例如氮(N 2)氣。
含鎢氣體之流量比亦可小於含碳氣體及含氫氣體中之至少1種之流量比。稀有氣體之流量比亦可大於含碳氣體及含氫氣體中之至少1種之流量比。於本發明中,各氣體之流量比係各氣體之流量相對於處理氣體之總流量之比率(體積%)。
步驟ST3之持續時間可為1秒以上,亦可為10秒以上。步驟ST3之持續時間可為1000秒以下,亦可為100秒以下。
於步驟ST3中,基板支持部11之溫度可為50℃以上,可為100℃以上,可超過100℃,可為120℃以上,可為130℃以上,可超過130℃,可為140℃以上,亦可為150℃以上。又,基板支持部11之溫度可為250℃以下,可為220℃以下,亦可為200℃以下。
於步驟ST3中,電漿處理腔室10內之壓力亦可為10 mTorr(1.3 Pa)以上。又,電漿處理腔室10內之壓力可為100 mTorr(13 Pa)以下,亦可為50 mTorr(6.7 Pa)以下。
於步驟ST3中,可對與基板支持部11對向之對向電極賦予RF電力。RF電力可為100 W以上1000 W以下,可為200 W以上800 W以下,亦可為300 W以上500 W以下。RF電力之頻率亦可為27 MHz以上100 MHz以下。
於步驟ST3中,可對基板支持部11之本體部111中之電極賦予偏壓電力,亦可不賦予偏壓電力。步驟ST3中對本體部111中之電極賦予之偏壓電力小於步驟ST4中對本體部111中之電極賦予之偏壓電力,亦可未達100 W。
於步驟ST3中或步驟ST3之後,亦可對與基板支持部11對向之對向電極進行濺鍍。對向電極包含矽。可藉由第2DC生成部32b將負的DC電壓施加至對向電極。施加至對向電極之DC電壓之絕對值可為100 V以上,亦可為1000 V以下。例如,藉由第1電漿PL1中之稀有氣體離子碰撞對向電極,而將矽放出至第1電漿PL1中。對向電極亦可包含內側之第1電極、及外側之第2電極。施加至第1電極之DC電壓之絕對值亦可大於施加至第2電極之DC電壓之絕對值。於在步驟ST3之後進行濺鍍之情形時,可使用由包含稀有氣體之處理氣體生成之電漿進行濺鍍。
含鎢沈積物DP亦可包含碳。含鎢沈積物DP亦可包含鎢碳化物(WC x)。於步驟ST3之後,含鎢沈積物DP之厚度之最大值亦可為5 nm以上。
不拘於理論,含鎢沈積物DP可以如下方式形成。於第1處理氣體包含碳之情形時,第1電漿PL1中之包含鎢之活性種與第1電漿PL1中之包含碳之活性種反應,於第1區域R1之上表面沈積包含鎢碳化物(WC x)之含鎢沈積物DP。或者,於第1處理氣體包含氫之情形時,第1電漿PL1中之包含氟之活性種藉由第1電漿PL1中之包含氫之活性種被清除。其結果為,源自第1電漿PL1中所殘留之包含鎢之活性種之含鎢沈積物DP沈積於第1區域R1之上表面。於第1處理氣體包含碳及氫兩者之情形時,一起進行鎢與碳之反應、及利用氫所進行之氟之清除。
於步驟ST3中,亦可於形成含鎢沈積物DP前在第1區域R1上形成含碳沈積物。於此情形時,含鎢沈積物DP形成於含碳沈積物上。含碳沈積物係使用由包含碳之處理氣體生成之電漿,而形成於第1區域R1上。於將第1區域R1及第2區域R2暴露於電漿中之情形時,含碳沈積物可與第2區域R2相比優先形成於第1區域R1上。包含碳之處理氣體亦可包含含碳氣體。含碳氣體亦可包含CH 4氣體、C 2H 2氣體、C 2H 4氣體、CH 3F氣體、CH 2F 2氣體、CHF 3氣體及CO氣體中之至少1種。處理氣體亦可包含例如氬氣、氦氣、氙氣或氖氣等稀有氣體。
於步驟ST4中,如圖7所示,使用由第2處理氣體生成之第2電漿PL2,對第2區域R2進行蝕刻。蝕刻亦可用如下方式進行。首先,藉由氣體供給部20,將第2處理氣體供給至電漿處理腔室10內。其次,藉由電漿生成部12,於電漿處理腔室10內由第2處理氣體生成第2電漿PL2。控制部2控制氣體供給部20及電漿生成部12,以使用第2電漿PL2對第2區域R2進行蝕刻。
第2處理氣體與第1處理氣體不同。於一例中,第2處理氣體亦可包含鎢。其結果為,會容易形成沈積物,抑制凹部R1a中之肩部分SH之蝕刻。於其他例中,第2處理氣體亦可不包含鎢。第2處理氣體亦可包含含氟氣體。第2處理氣體之例與步驟ST2中之處理氣體之例相同。
於步驟ST4中,第1區域R1由含鎢沈積物DP覆蓋,因此不易被蝕刻。第2區域R2較第1區域R1容易被蝕刻。藉由對第2區域R2進行蝕刻,而如圖7所示地形成接觸孔HL。接觸孔HL與第1區域R1之凹部R1a對應。如此,步驟ST4亦可於自對準接觸(SAC)步驟中進行。於去除了凹部R1a內之第2區域R2後,於第1區域R1上殘存含鎢沈積物DP。因此,抑制步驟ST4中之第1區域R1之蝕刻。含鎢沈積物DP可於步驟ST4之後藉由清洗而去除。
於步驟ST5中,對含鎢沈積物DP是否充分殘留進行判定。判定可藉由控制部2進行。於判定含鎢沈積物DP充分殘留之情形時,前進至步驟ST6。於判定含鎢沈積物DP未充分殘留之情形時,返回至步驟ST3。判定亦可基於蝕刻時間而進行。例如,預先算出步驟ST4中之蝕刻時間與含鎢沈積物DP之減少量之關係。利用該關係,根據為了形成接觸孔HL所需之剩餘蝕刻時間推定含鎢沈積物DP之減少量。於含鎢沈積物DP之初始量減去推定之含鎢沈積物DP之減少量所得之值為閾值以上之情形時,判定含鎢沈積物DP充分殘留。或者,判定亦可基於對含鎢沈積物DP照射光而獲得之反射光而進行。例如,於步驟ST4中,測定對含鎢沈積物DP照射光而獲得之反射光。於反射光中與含鎢沈積物DP對應之波長之光之強度為閾值以上之情形時,判定含鎢沈積物DP充分殘留。
於步驟ST6中,對是否滿足步驟ST4之蝕刻之停止條件進行判定。判定可藉由控制部2進行。於判定滿足停止條件之情形時,結束方法MT。於判定不滿足停止條件之情形時,返回至步驟ST3。
如上所述,亦可反覆實施包含步驟ST3及步驟ST4之循環2次以上。
根據上述方法MT,可形成具有優異之耐蝕刻性之含鎢沈積物DP。不拘於理論,認為其理由如下。於步驟ST3中,於第1處理氣體包含氫之情形時,第1電漿PL1中之包含氫之活性種作為氟之清除劑發揮作用。其結果為,含鎢沈積物DP中之氟濃度降低,因此步驟ST4中之含鎢沈積物DP之耐蝕刻性提高。於步驟ST3中,在第1處理氣體包含碳之情形時,於含鎢沈積物DP中形成碳-鎢鍵。其結果為,步驟ST4中之含鎢沈積物DP之耐蝕刻性提高。
進而,根據上述方法MT,與含碳沈積物相比,可抑制依賴於遮罩MK之開口OP之寬度的含鎢沈積物DP之厚度之變化(負載效應)。因此,藉由含鎢沈積物DP抑制第1區域R1之蝕刻,並可抑制含鎢沈積物DP所導致之接觸孔HL之堵塞。
當步驟ST3中基板支持部11之溫度超過100℃時,含鎢沈積物DP之耐蝕刻性進一步提高。不拘於理論,認為其理由如下。當基板支持部11之溫度較高時,基板W之溫度上升,因此從含鎢沈積物DP中去除氟。其結果為,含鎢沈積物DP中之氟濃度降低,因此步驟ST4中之含鎢沈積物DP之耐蝕刻性提高。
當於步驟ST3中或步驟ST3之後對與基板支持部11對向之對向電極進行濺鍍時,含鎢沈積物DP之耐蝕刻性進一步提高。不拘於理論,認為其理由如下。當對對向電極進行濺鍍時,從對向電極放出矽。矽作為氟之清除劑發揮作用。其結果為,含鎢沈積物DP中之氟濃度降低,因此步驟ST4中之含鎢沈積物DP之耐蝕刻性提高。
於步驟ST3中,亦可不對基板支持部11之本體部111中之電極賦予偏壓電力,或者所賦予之偏壓電力未達100 W。於此情形時,在形成含鎢沈積物DP時,可抑制第1區域R1藉由第1電漿PL1被蝕刻。
圖8係表示賦予至基板支持部11之本體部111中之電極的偏壓電力及賦予至對向電極的RF電力之時間變化之時序圖之一例。該時序圖與方法MT中之步驟ST4有關。於步驟ST4中,亦可對本體部111中之電極賦予偏壓電力。偏壓電力例如亦可為RF電力LF。以下之記載係用於直徑300毫米之基板W之電力之例。RF電力LF可為10 W以上300 W以下,可為30 W以上200 W以下,亦可為50 W以上100 W以下。RF電力LF之頻率亦可為100 kHz以上40.68 MHz以下。於步驟ST4中,亦可對對向電極賦予RF電力HF。RF電力HF可為50 W以上1000 W以下,可為80 W以上800 W以下,亦可為100 W以上500 W以下。RF電力HF之頻率亦可為27 MHz以上100 MHz以下。RF電力LF及RF電力HF亦可以週期CY週期性地施加。再者,偏壓電力亦可供給至基板支持部11之導電性構件。又,RF電力HF亦可供給至包含1個或複數個線圈之天線。
週期CY可包含第1時段PA、第2時段PB及第3時段PC。於第1時段PA中,RF電力LF維持在低電力L1(例如未達100 W),RF電力HF維持在高電力H2(例如超過100 W)。於第1時段PA中,促進含鎢沈積物DP上之含碳膜之沈積。於第2時段PB中,RF電力LF維持在低電力L1,RF電力HF維持在低電力L2(例如未達200 W)。低電力L2小於高電力H2,大於低電力L1。於第3時段PC中,RF電力LF維持在高電力H1(例如超過50 W),RF電力HF維持在低電力L2。高電力H1大於低電力L1,小於高電力H2。於第3時段PC中,促進第2區域R2之蝕刻。第2時段PB係從第1時段PA過渡至第3時段PC之時段。於步驟ST4中,亦可反覆實施與包含第1時段PA、第2時段PB及第3時段PC之週期CY對應之1個循環2次以上。
週期CY中第1時段PA所占之比率小於週期CY中第3時段PC所占之比率。週期CY中第1時段PA所占之比率可為10%以上,亦可未達50%。當第1時段PA所占之比率較大時,含鎢沈積物DP之蝕刻量變小。當第1時段PA所占之比率較小時,會抑制接觸孔HL之堵塞。週期CY中第3時段PC所占之比率亦可為50%以上。當第3時段PC所占之比率較大時,第2區域R2之蝕刻速率變大。規定週期CY之頻率可為1 kHz以上1 MHz以下。週期CY之時間長度為規定週期CY之頻率之倒數。
步驟ST4未必限定於按照圖8所示之時序圖而進行之步驟。於步驟ST4中,例如,賦予至基板支持部11之本體部111中之電極的偏壓電力及賦予至對向電極的RF電力亦可為固定。
圖9係一例示性實施方式之蝕刻方法之流程圖。圖9所示之蝕刻方法MT1(以下稱為「方法MT1」)可藉由上述實施方式之電漿處理裝置1而執行。方法MT1可應用於基板W。
以下,參照圖10~圖12,以使用上述實施方式之電漿處理裝置1而將方法MT1應用於基板W之情形為例,對方法MT1進行說明。圖10~圖12係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。於使用電漿處理裝置1之情形時,藉由利用控制部2控制電漿處理裝置1之各部,可於電漿處理裝置1中執行方法MT1。於方法MT1中,如圖2所示,對配置於電漿處理腔室10內之基板支持部11(基板支持器)上之基板W進行處理。
如圖9所示,方法MT1包括步驟ST31及步驟ST32來代替圖3之方法MT之步驟ST3。步驟ST31可於步驟S2之後執行。步驟ST32可於步驟ST31之後執行。步驟ST4可於步驟ST32之後執行。步驟ST1~步驟ST2及步驟ST4~步驟ST6可與方法MT同樣地執行。
於步驟ST31中,如圖10所示,於第1區域R1上形成含碳沈積物CDP。含碳沈積物CDP可使用由第3處理氣體生成之第3電漿P3形成。含碳沈積物CDP可含有聚合物。含碳沈積物CDP亦可含有氟、氧及氫中之至少1種。含碳沈積物CDP亦可為含碳膜。含碳沈積物CDP可具有覆蓋第1區域R1之凹部R1a中之肩部分SH之懸突部OHG。懸突部OHG使凹部R1a之尺寸變小。於將第1區域R1及第2區域R2暴露於第3電漿PL3中之情形時,含碳沈積物CDP可與第2區域R2相比優先形成於第1區域R1上。此處,「含碳沈積物CDP可與第2區域R2相比優先形成於第1區域R1上」例如意指第1區域R1上之含碳沈積物CDP之厚度大於第2區域R2上之含碳沈積物CDP之厚度,更具體而言,意指第2區域R2上之含碳沈積物CDP之厚度為第1區域R1上之含碳沈積物CDP之厚度之50%以下。沈積亦可用如下方式進行。首先,藉由氣體供給部20,將第3處理氣體供給至電漿處理腔室10內。其次,藉由電漿生成部12,於電漿處理腔室10內由第3處理氣體生成第3電漿PL3。控制部2控制氣體供給部20及電漿生成部12,於第1區域R1上形成含碳沈積物CDP。
第3處理氣體可包含含碳氣體。含碳氣體可包含碳氫(C xH y)氣體、氟碳(C xF y)氣體及一氧化碳(CO)氣體中之至少1種。x及y為自然數。第3處理氣體亦可包含稀有氣體。
於步驟ST32中,如圖11所示,使用由第4處理氣體生成之第4電漿PL4,於含碳沈積物CDP上形成含鎢沈積物DP。步驟ST32除了使用第4處理氣體來代替第1處理氣體以外,可與步驟ST3同樣地執行。於將含碳沈積物CDP及第2區域R2暴露於第4電漿PL4中之情形時,含鎢沈積物DP可與第2區域R2相比優先形成於含碳沈積物CDP上。此處,「含鎢沈積物DP可與第2區域R2相比優先形成於含碳沈積物CDP上」例如意指含碳沈積物CDP上之含鎢沈積物DP之厚度大於第2區域R2上之含鎢沈積物DP之厚度,更具體而言,意指第2區域R2上之含鎢沈積物DP之厚度為含碳沈積物CDP上之含鎢沈積物DP之厚度之50%以下。沈積亦可用如下方式進行。首先,藉由氣體供給部20,將第4處理氣體供給至電漿處理腔室10內。其次,藉由電漿生成部12,於電漿處理腔室10內由第4處理氣體生成第4電漿PL4。控制部2控制氣體供給部20及電漿生成部12,於含碳沈積物CDP上形成含鎢沈積物DP。步驟ST31與步驟ST32之順序並不限於圖9所示者。例如,亦可於步驟ST32之後進行步驟ST31,其後進行步驟ST4。亦可於每個包含步驟ST31~步驟ST4之循環中改變步驟ST31與步驟ST32之順序。
第4處理氣體包含氟及鎢。第4處理氣體亦可包含含鎢氣體。含鎢氣體之例包括六氟化鎢。
第4處理氣體亦可包含例如稀有氣體等惰性氣體。第4處理氣體亦可包含含碳氣體及含氫氣體中之至少1種。含碳氣體及含氫氣體之例與第1處理氣體所包含之含碳氣體及含氫氣體之例相同。除惰性氣體以外之第4處理氣體所包含之所有氣體中之含鎢氣體之流量比亦可最大。第4處理氣體亦可除惰性氣體以外僅含有含鎢氣體。第4處理氣體亦可包含氧氣。
用以生成第4電漿PL4之電力可施加至與基板支持部11對向之對向電極。
於步驟ST32中或步驟ST32之後,亦可對與基板支持部11對向之對向電極進行濺鍍。對向電極包含矽。藉由濺鍍,含鎢沈積物DP之耐蝕刻性提高。
於方法MT1之步驟ST5中,對沈積物是否充分殘留於第1區域R1上進行判定。沈積物包含含碳沈積物CDP。沈積物亦可包含含碳沈積物CDP及含鎢沈積物DP。
根據上述方法MT1,於步驟ST32中,可使含碳沈積物CDP及含鎢沈積物DP之合計厚度變大。因此,可形成具有優異之耐蝕刻性之沈積物。
進而,根據上述方法MT1,於步驟ST32中,抑制第1區域R1上之含碳沈積物CDP之厚度之減少,並可去除含碳沈積物CDP之懸突部OHG。因此,可抑制由懸突部OHG所導致之凹部R1a尺寸之減少。
於步驟ST32中,當第4處理氣體既不包含碳亦不包含氫時,懸突部不易形成於含鎢沈積物DP。因此,可抑制由含鎢沈積物DP之懸突部所導致之凹部R1a尺寸之減少。含碳氣體及含氫氣體中之至少1種可促進含鎢沈積物DP之懸突部之形成。
於步驟ST32中,當將用以生成第4電漿PL4之電力施加至對向電極時,與將該電力施加至基板支持部11之情形相比,可抑制第4電漿PL4中之離子對基板W之碰撞。因此,可抑制含碳沈積物CDP及含鎢沈積物DP之合計厚度之減少。
上述方法MT及方法MT1亦可應用於具備第2區域R2、及具有設置於第2區域R2上之開口之第1區域R1的基板W。於此情形時,將第1區域R1作為遮罩對第2區域R2進行蝕刻,藉此形成接觸孔。接觸孔之尺寸可為20 nm以上100 nm以下。接觸孔可為HARC(High Aspect Ratio Contact,高縱橫比接點)。接觸孔之縱橫比可為2以上。
亦可出於清淤(declogging,抑制開口之堵塞)之目的沈積含鎢沈積物DP。例如,於蝕刻中發生開口之堵塞(clogging)之情形時,無論第1區域R1上有無沈積物,均可沈積含鎢沈積物DP以進行清淤。
圖13係一例示性實施方式之蝕刻方法之流程圖。圖13所示之蝕刻方法MT2(以下稱為「方法MT2」)可藉由上述實施方式之電漿處理裝置1執行。方法MT2可應用於圖14之基板W。
圖14係可應用圖13之方法之一例之基板之局部放大剖視圖。如圖14所示,於一實施方式中,基板W包含第1區域R1及第2區域R2。第1區域R1亦可具有至少1個開口OP1。第1區域R1亦可具有複數個開口OP1。第2區域R2亦可位於第1區域R1之下。基板W亦可進而包含基底區域UR。基底區域UR亦可位於第2區域R2之下。
第1區域R1亦可不包含矽及氮。第1區域R1亦可為抗蝕劑。抗蝕劑亦可為EUV(extreme ultraviolet,極紫外線)用抗蝕劑。
第2區域R2包含矽及氧。第2區域R2亦可包含矽氧化物(SiO x)。第2區域R2亦可為SOG(Spin on Glass,旋塗玻璃)膜。
基底區域UR亦可包含第1基底區域UR1、第2基底區域UR2及第3基底區域UR3。第1基底區域UR1、第2基底區域UR2及第3基底區域UR3依序排列。第3基底區域UR3設置於第2區域R2與第2基底區域UR2之間。第1基底區域UR1、第2基底區域UR2及第3基底區域UR3亦可為積層膜。
第1基底區域UR1亦可包含矽及氮。第1基底區域UR1亦可包含矽氮化物(SiN x)。第2基底區域UR2亦可包含矽及氧。第2基底區域UR2亦可包含矽氧化物(SiO x)。第3基底區域UR3可為SOC(Spin on Carbon,旋塗式碳)膜,亦可為含碳膜。
以下,參照圖13~圖17,以使用上述實施方式之電漿處理裝置1而將方法MT2應用於基板W之情形為例,對方法MT2進行說明。圖15~圖17係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。於使用電漿處理裝置1之情形時,藉由利用控制部2控制電漿處理裝置1之各部,可於電漿處理裝置1中執行方法MT2。於方法MT2中,如圖2所示,對配置於電漿處理腔室10內之基板支持部11(基板支持器)上之基板W進行處理。
如圖13所示,方法MT2包括步驟ST1、步驟ST3及步驟ST4。方法MT2於步驟ST3之後步驟ST4之前亦可進而包括步驟ST33。於方法MT2中,亦可進行方法MT及方法MT1中之各步驟。方法MT2之步驟ST1可與方法MT同樣地執行。方法MT2之步驟ST3及步驟ST4除以下方面以外,可與方法MT之步驟ST3及步驟ST4同樣地執行。
於步驟ST3中,如圖15所示,使用由第1處理氣體生成之第1電漿PL11,於第1區域R1上形成含鎢沈積物DP。於將第1區域R1及第2區域R2暴露於第1電漿PL11中之情形時,含鎢沈積物DP可與第2區域R2相比優先形成於第1區域R1上。
第1處理氣體亦可不包含碳及氫。第1處理氣體包含稀有氣體、氟、及鎢。第1處理氣體亦可包含稀有氣體、及含鎢氣體。氟亦可包含於含鎢氣體中。第1處理氣體亦可進而包含含氫氣體。含氫氣體亦可包含H 2氣體、SiH 4氣體及CH 4氣體中之至少1種。第1處理氣體亦可不包含稀有氣體。第1處理氣體亦可包含含氫氣體、氟、及鎢。第1處理氣體所包含之氣體之例與方法MT之步驟ST3中之第1處理氣體所包含之氣體之例相同。方法MT2之步驟ST3中之其他製程條件(各氣體之流量比、處理時間、溫度、壓力及施加電力等)亦可與方法MT之步驟ST3中之製程條件相同。其中,於方法MT2之步驟ST3中,基板支持部11之溫度可為0℃以上,亦可為20℃以上。
於步驟ST33中,如圖16所示,亦可將含鎢沈積物DP暴露於由包含含氫氣體之處理氣體生成之電漿HPL中(氫電漿處理)。藉此,含鎢沈積物DP可被改質為含鎢沈積物HDP。含鎢沈積物HDP亦可包含氧化鎢被氫電漿還原而生成之金屬鎢。包含含氫氣體之處理氣體亦可與步驟ST3之第1處理氣體不同。
於步驟ST4中,如圖17所示,使用由第2處理氣體生成之第2電漿PL12,通過開口OP1對第2區域R2進行蝕刻。藉此,於第2區域R2形成凹部RS。凹部RS與第1區域R1之開口OP1對應。第2處理氣體亦可與步驟ST33之處理氣體不同。方法MT2之步驟ST4中之製程條件(第2處理氣體之種類、各氣體之流量比、處理時間、溫度、壓力及施加電力等)亦可與方法MT之步驟ST4中之製程條件相同。
根據上述方法MT2,可形成具有優異之耐蝕刻性之含鎢沈積物DP。其結果為,於步驟ST4後,第1區域R1之剩餘厚度變大,因此第2區域R2相對於第1區域R1之蝕刻選擇比變大。進而,形成於第2區域R2之凹部RS之側壁之垂直性亦提高。
當進行步驟ST33時,步驟ST4後之第1區域R1之剩餘厚度進一步變大。推測其原因在於,氧化鎢藉由氫電漿處理被還原而生成金屬鎢,藉此第1區域R1之耐蝕刻性進一步提高。
以上,對各種例示性實施方式進行了說明,但並不限定於上述例示性實施方式,可進行各種追加、省略、置換、及變更。又,可將不同實施方式中之要素進行組合而形成其他實施方式。
例如,亦可使用含鉬氣體來代替含鎢氣體,或者除了使用含鎢氣體以外亦使用含鉬氣體。含鉬氣體亦可包含鹵化鉬氣體。鹵化鉬氣體亦可包含六氟化鉬(MoF 6)氣體、及六氯化鉬(MoCl 6)氣體中之至少1種。
以下,對為了評估方法MT而進行之各種實驗進行說明。以下所說明之實驗並不限定本發明。
(第1實驗) 於第1實驗中,準備基板W,該基板W包含含矽氮化物(SiN x)之第1區域R1、及含矽氧化物(SiO x)之第2區域R2。第1區域R1之上表面及第2區域R2之上表面露出。其後,使用電漿處理裝置1,對基板W實施步驟ST3及步驟ST4。
於步驟ST3中,由第1處理氣體生成第1電漿PL1,將第1區域R1及第2區域R2暴露於第1電漿PL1中。第1處理氣體係六氟化鎢(WF 6)氣體、甲烷(CH 4)氣體、及氬(Ar)氣之混合氣體。六氟化鎢氣體之流量比小於甲烷氣體之流量比。氬氣之流量比大於甲烷氣體之流量比。
於步驟ST3中,基板支持部11之溫度為150℃。未對與基板支持部11對向之對向電極施加負之DC電壓。
於步驟ST4中,由第2處理氣體生成第2電漿PL2,對第2區域R2進行蝕刻。第2處理氣體係C 4F 6氣體、氬氣、及氧(O 2)氣之混合氣體。
(第2實驗) 於第2實驗中,除了於步驟ST3中,對與基板支持部11對向之對向電極進行濺鍍以外,執行與第1實驗之方法相同之方法。對向電極包含內側之第1電極、及外側之第2電極。施加至第1電極之DC電壓之絕對值為800 V。施加至第2電極之DC電壓之絕對值為400 V。
(第3實驗) 於第3實驗中,除了於步驟ST3中,使用甲烷(CH 4)氣體、一氧化碳(CO)氣體、及氬(Ar)氣之混合氣體作為第1處理氣體以外,執行與第1實驗之方法相同之方法。
(第4實驗) 於第4實驗中,除了於步驟ST3中,使用六氟化鎢(WF 6)氣體與氬(Ar)氣之混合氣體作為第1處理氣體以外,執行與第1實驗之方法相同之方法。
(實驗結果) 觀察於第1實驗~第4實驗中執行了方法所得之基板W之剖面之TEM圖像。圖18係表示於第1實驗及第2實驗中藉由執行蝕刻方法而獲得之基板之剖面之TEM圖像的圖。圖18之(a)表示第1實驗中步驟ST3之後步驟ST4之前之基板W之剖面。圖18之(b)表示第1實驗中步驟ST4之後之基板W之剖面。於圖18之(a)及(b)中,確認到形成於第1區域R1上之膜(圖中之黑色部分)。根據TEM-EDX(Transmission Electron Microscopy-Energy Dispersive X-ray Analysis,穿透式電子顯微鏡-能量色散X射線分析)之結果,確認到圖18之(a)中之與膜對應之部分含有鎢。即,確認到圖18之(a)中之膜為含鎢沈積物DP。於圖18之(a)及(b)之各者中,測定含鎢沈積物DP之厚度,算出步驟ST4所致之含鎢沈積物DP之減少量。第1實驗中之含鎢沈積物DP之減少量為4.0 nm。又,測定圖18之(b)之沈積物整體(含鎢沈積物DP與含鎢沈積物DP上之沈積物之合計)之厚度,該厚度減去圖18之(a)之含鎢沈積物DP之厚度,從而算出沈積物整體之減少量。第1實驗中之沈積物整體之減少量為0.4 nm。
圖18之(c)表示第2實驗中步驟ST3之後步驟ST4之前之基板W之剖面。圖18之(d)表示第2實驗中步驟ST4之後之基板W之剖面。於圖18之(c)及(d)中,與第1實驗同樣確認到形成於第1區域R1上之含鎢沈積物DP。於第2實驗中亦與第1實驗同樣地算出步驟ST4所致之含鎢沈積物DP之減少量。第2實驗中之含鎢沈積物DP之減少量為1.4 nm。又,測定圖18之(d)之沈積物整體之厚度,該厚度減去圖18之(c)之含鎢沈積物DP之厚度,從而算出沈積物整體之減少量。第2實驗中之沈積物整體之減少量為-2.6 nm,即,沈積物整體之厚度增加了2.6 nm。
圖19係表示於第3實驗及第4實驗中藉由執行蝕刻方法而獲得之基板之剖面之TEM圖像的圖。圖19之(a)表示第3實驗中沈積步驟之後蝕刻步驟之前之基板W之剖面。圖19之(b)表示第3實驗中沈積步驟之後之基板W之剖面。於圖19之(a)及(b)中,確認到形成於第1區域R1上之含碳膜DP1。於第3實驗中亦與第1實驗同樣測定圖19之(b)之沈積物整體之厚度,該厚度減去圖19之(a)之含碳膜DP1之厚度,從而算出沈積物整體之減少量。第3實驗中之沈積物整體之減少量為1.9 nm。
圖19之(c)表示第4實驗中沈積步驟之後蝕刻步驟之前之基板W之剖面。圖19之(d)表示第4實驗中蝕刻步驟之後之基板W之剖面。於圖19之(c)中,確認到形成於第1區域R1上之含鎢膜DP2。另一方面,於圖19之(d)中,未確認到含鎢膜DP2。可知於第4實驗中,由於蝕刻步驟,含鎢膜DP2消失。
由第1實驗~第4實驗之結果可知,於第1處理氣體包含碳及氫中之至少1種、氟、及鎢之情形時,可形成具有優異之耐蝕刻性之含鎢沈積物DP。又,由第1實驗及第2實驗之結果可知,當對與基板支持部11對向之對向電極進行濺鍍時,步驟ST4中之含鎢沈積物DP之耐蝕刻性進一步提高。
(第5實驗) 於第5實驗中,準備基板W,該基板W包含含矽氮化物(SiN x)之第1區域R1、及含矽氧化物(SiO x)之第2區域R2。第1區域R1之上表面及第2區域R2之上表面露出。其後,使用電漿處理裝置1,對基板W實施步驟ST3及步驟ST4。
於步驟ST3中,首先,由作為一氧化碳(CO)氣體與氬(Ar)氣之混合氣體之處理氣體生成電漿,使用該電漿,於第1區域R1上形成含碳沈積物。其次,由第1處理氣體生成第1電漿PL1,使用第1電漿PL1,於含碳沈積物上形成含鎢沈積物DP。第1處理氣體係六氟化鎢(WF 6)氣體、氫(H 2)氣、及氬(Ar)氣之混合氣體。六氟化鎢氣體之流量比小於氫氣之流量比。氬氣之流量比大於氫氣之流量比。
於步驟ST3中,基板支持部11之溫度為150℃。對向電極包含內側之第1電極、及外側之第2電極。施加至第1電極之DC電壓之絕對值為800 V。施加至第2電極之DC電壓之絕對值為400 V。
於步驟ST4中,由第2處理氣體生成第2電漿PL2,對第2區域R2進行蝕刻。第2處理氣體係C 4F 6氣體、氬氣、及氧(O 2)氣之混合氣體。
(實驗結果) 觀察於第5實驗中執行了方法所得之基板W之剖面之TEM圖像。圖20係表示於第5實驗中藉由執行蝕刻方法而獲得之基板之剖面之TEM圖像的圖。圖20之(a)表示第5實驗中步驟ST3之後步驟ST4之前之基板W之剖面。圖20之(b)表示第5實驗中步驟ST4之後之基板W之剖面。於圖20之(a)及(b)中,與第1實驗同樣確認到形成於第1區域R1上之含鎢沈積物DP。於第5實驗中,亦與第1實驗同樣地算出步驟ST4所致之含鎢沈積物DP之減少量。第5實驗中之含鎢沈積物DP之減少量為1.2 nm。測定圖20之(b)之沈積物整體之厚度,該厚度減去圖20之(a)之含鎢沈積物DP之厚度,從而算出沈積物整體之減少量。第5實驗中之沈積物整體之減少量為0.9 nm。
由第5實驗之結果可知,於第1處理氣體包含含氫氣體之情形時,可形成具有優異之耐蝕刻性之含鎢沈積物DP。
(第6實驗) 於第6實驗中,除了於步驟ST3中,向第1處理氣體中追加一氧化碳(CO)氣體以外,執行與第1實驗之方法相同之方法。一氧化碳氣體之流量比大於甲烷氣體之流量比。
(第7實驗) 於第7實驗中,除了於步驟ST3中,向第1處理氣體中追加氫(H 2)氣以外,執行與第1實驗之方法相同之方法。氫氣之流量比大於甲烷氣體之流量比。
(第8實驗) 於第8實驗中,除了於步驟ST3中,向第1處理氣體中追加一氧化碳(CO)氣體以外,執行與第2實驗之方法相同之方法。一氧化碳氣體之流量比大於甲烷氣體之流量比。
(第9實驗) 於第9實驗中,除了於步驟ST3中,向第1處理氣體中追加氫(H 2)氣以外,執行與第2實驗之方法相同之方法。氫氣之流量比大於甲烷氣體之流量比。
(實驗結果) 觀察於第6實驗~第9實驗中執行了方法所得之基板W之剖面之TEM圖像。於第6實驗~第9實驗中,亦與第1實驗同樣地算出步驟ST4所致之含鎢沈積物DP之減少量。第6實驗中之含鎢沈積物DP之減少量為3.8 nm。第7實驗中之含鎢沈積物DP之減少量為2.3 nm。第8實驗中之含鎢沈積物DP之減少量為3.7 nm。第9實驗中之含鎢沈積物DP之減少量為1.7 nm。又,於第6實驗~第9實驗中,亦與第1實驗同樣地算出沈積物整體之減少量。第6實驗中之沈積物整體之減少量為1.2 nm。第7實驗中之沈積物整體之減少量為-0.7 nm,即,沈積物整體之厚度增加了0.7 nm。第8實驗中之沈積物整體之減少量為0.7 nm。第9實驗中之沈積物整體之減少量為-1.4 nm,即,沈積物整體之厚度增加了1.4 nm。
由第6實驗~第9實驗之結果可知,即便第1處理氣體之氣體種類不同,亦可形成具有優異之耐蝕刻性之含鎢沈積物DP。進而可知,藉由變更第1處理氣體之氣體種類,可控制含鎢沈積物DP之沈積速度。又,由第6實驗~第9實驗之結果可知,當對與基板支持部11對向之對向電極施加負之DC電壓時,步驟ST4中之含鎢沈積物DP之耐蝕刻性進一步提高。
(第10實驗) 於第10實驗中,除了於與步驟ST3對應之沈積步驟中,基板支持部11之溫度為100℃以外,執行與第4實驗之方法相同之方法。
(實驗結果) 觀察於第4實驗及第10實驗中執行了方法所得之基板W之剖面之TEM圖像。於第10實驗中,確認到較圖19之(c)所示之含鎢膜DP2厚之含鎢膜DP2。然而,確認到於第10實驗中,由於蝕刻步驟,含鎢膜DP2消失,第1區域R1之上表面被蝕刻。
由第4實驗及第10實驗之結果可知,當基板支持部11之溫度超過100℃時,蝕刻步驟中之含鎢膜DP2之耐蝕刻性提高。
以下,對為了評估方法MT1而進行之各種實驗進行說明。以下所說明之實驗並不限定本發明。
(第11實驗) 於第11實驗中,準備基板W,該基板W包含含矽氮化物(SiN x)之第1區域R1、及含矽氧化物(SiO x)之第2區域R2。第1區域R1之上表面及第2區域R2之上表面露出。其後,使用電漿處理裝置1,對基板W實施步驟ST31、步驟ST32及步驟ST4。
於步驟ST31中,由第3處理氣體生成第3電漿PL3,將第1區域R1及第2區域R2暴露於第3電漿PL3中。第3處理氣體係C 4F 6氣體、氬(Ar)氣、及氧(O 2)氣之混合氣體。藉由步驟ST31,於第1區域R1上形成含碳沈積物CDP。
於步驟ST32中,由第4處理氣體生成第4電漿PL4,將含碳沈積物CDP及第2區域R2暴露於第4電漿PL4中。第4處理氣體係六氟化鎢(WF 6)氣體與氬(Ar)氣之混合氣體。藉由步驟ST32,於含碳沈積物CDP上形成含鎢沈積物DP。
於步驟ST4中,由第2處理氣體生成第2電漿PL2,對第2區域R2進行蝕刻。第2處理氣體係C 4F 6氣體、氬氣、及氧(O 2)氣之混合氣體。
(第12實驗) 於第12實驗中,除了於步驟ST31中,使用一氧化碳(CO)氣體與氬(Ar)氣之混合氣體作為第3處理氣體以外,執行與第11實驗之方法相同之方法。
(第13實驗) 於第13實驗中,除了於步驟ST31中,使用甲烷(CH 4)氣體與氬(Ar)氣之混合氣體作為第3處理氣體以外,執行與第11實驗之方法相同之方法。
(第14實驗) 於第14實驗中,除了不進行步驟ST31以外,執行與第11實驗之方法相同之方法。
(實驗結果) 觀察第11實驗~第14實驗中步驟ST31之後及步驟ST32之後之基板W之剖面之TEM圖像。
於第11實驗中,於步驟ST31之後,第1區域R1之上表面上之含碳沈積物CDP之厚度為6.7 nm。於步驟ST32之後,第1區域R1之上表面上之含碳沈積物CDP與含鎢沈積物DP之厚度之和為20.4 nm。又,於步驟ST32之後,含碳沈積物CDP不具有懸突部。
於第12實驗中,於步驟ST31之後,第1區域R1之上表面上之含碳沈積物CDP之厚度為4.5 nm。於步驟ST32之後,第1區域R1之上表面上之含碳沈積物CDP之厚度為3.8 nm,含鎢沈積物DP之厚度為10.7 nm。又,於步驟ST32之後,含碳沈積物CDP不具有懸突部。
於第13實驗中,於步驟ST31之後,第1區域R1之上表面上之含碳沈積物CDP之厚度為7.8 nm。於步驟ST32之後,第1區域R1之上表面上之含碳沈積物CDP之厚度為7.8 nm,含鎢沈積物DP之厚度為5.9 nm。又,於步驟ST32之後,含碳沈積物CDP不具有懸突部。
於第14實驗中,於步驟ST32之後,含鎢沈積物DP之厚度為4.4 nm。於第1區域R1之上表面上未形成含碳沈積物。
由第11實驗~第14實驗之結果可知,根據方法MT1,可使含碳沈積物CDP與含鎢沈積物DP之合計厚度變大。又可知,根據方法MT1,可去除含碳沈積物CDP之懸突部。
(第15實驗) 於第15實驗中,除了於步驟ST32中,使用不同種類之第4處理氣體,對與基板支持部11對向之對向電極進行濺鍍以外,執行與第12實驗之方法相同之方法。第4處理氣體係六氟化鎢(WF 6)氣體、氬(Ar)氣、甲烷(CH 4)氣體、及一氧化碳(CO)氣體之混合氣體。六氟化鎢氣體之流量比小於甲烷(CH 4)氣體之流量比,小於一氧化碳(CO)氣體之流量比。
(第16實驗) 於第16實驗中,除了於步驟ST32中,使用不同種類之第4處理氣體,對與基板支持部11對向之對向電極進行濺鍍以外,執行與第12實驗之方法相同之方法。第4處理氣體係六氟化鎢(WF 6)氣體、甲烷(CH 4)氣體、及氫(H 2)氣之混合氣體。六氟化鎢氣體之流量比小於甲烷(CH 4)氣體之流量比,小於氫(H 2)氣之流量比。
(第17實驗) 於第17實驗中,除了於步驟ST32中,使用不同種類之第4處理氣體,對與基板支持部11對向之對向電極進行濺鍍以外,執行與第12實驗之方法相同之方法。第4處理氣體係六氟化鎢(WF 6)氣體、氬(Ar)氣、及氫(H 2)氣之混合氣體。六氟化鎢氣體之流量比小於氫(H 2)氣之流量比。
(實驗結果) 觀察第12實驗及第15實驗~第17實驗中步驟ST32之後之基板W之剖面之TEM圖像。
於第12實驗中,於步驟ST32之後,含碳沈積物CDP與含鎢沈積物DP之合計厚度為14.5 nm。含鎢沈積物DP不具有懸突部。
於第15實驗中,於步驟ST32之後,含碳沈積物CDP與含鎢沈積物DP之合計厚度為11.0 nm。含碳沈積物CDP不具有懸突部。另一方面,含鎢沈積物DP具有懸突部。
於第16實驗中,於步驟ST32之後,含碳沈積物CDP與含鎢沈積物DP之合計厚度為10.0 nm。含碳沈積物CDP不具有懸突部。另一方面,含鎢沈積物DP具有懸突部。
於第17實驗中,於步驟ST32之後,含碳沈積物CDP與含鎢沈積物DP之合計厚度為10.0 nm。含碳沈積物CDP不具有懸突部。另一方面,含鎢沈積物DP具有懸突部。
由第12實驗及第15實驗~第17實驗之結果可知,於步驟ST32中,當第4處理氣體既不包含碳亦不包含氫時,懸突部不易形成於含鎢沈積物DP。
(第18實驗) 於第18實驗中,執行與第13實驗之方法相同之方法。
(第19實驗) 於第19實驗中,除了於步驟ST32與步驟ST4之間,對與基板支持部11對向之對向電極進行濺鍍以外,執行與第18實驗之方法相同之方法。
(實驗結果) 觀察第18實驗及第19實驗中步驟ST4之前後之基板W之剖面之TEM圖像。
於第18實驗中,於步驟ST4之前,含碳沈積物CDP與含鎢沈積物DP之合計厚度為12.3 nm。於步驟ST4之後,含碳沈積物CDP之厚度為5.6 nm。
於第19實驗中,於步驟ST4之前,含碳沈積物CDP與含鎢沈積物DP之合計厚度為12.0 nm。於步驟ST4之後,含碳沈積物CDP與含鎢沈積物DP之合計厚度為11.6 nm。
由第18實驗及第19實驗之結果可知,藉由對與基板支持部11對向之對向電極進行濺鍍,含鎢沈積物DP之耐蝕刻性提高。
以下,對為了評估方法MT2而進行之各種實驗進行說明。以下所說明之實驗並不限定本發明。
(第20實驗) 於第20實驗中,準備具有圖14所示之構造之基板W。第1區域R1為抗蝕劑。第2區域R2為氧化矽膜。其後,使用電漿處理裝置1,對基板W實施步驟ST3及步驟ST4(參照圖13)。
於步驟ST3中,由第1處理氣體生成第1電漿PL11,將第1區域R1及第2區域R2暴露於第1電漿PL11中(參照圖15)。第1處理氣體係六氟化鎢(WF 6)氣體與氬(Ar)氣之混合氣體。六氟化鎢氣體之流量比小於氬氣之流量比。
於步驟ST3中,基板支持部11之溫度為20℃。賦予至與基板支持部11對向之對向電極之RF電力為100 W。不對基板支持部11之本體部111中之電極賦予偏壓電力。
於步驟ST4中,由第2處理氣體生成第2電漿PL12,對第2區域R2進行蝕刻(參照圖17)。第2處理氣體係CF 4氣體與氮(N 2)氣之混合氣體。
(第21實驗) 於第21實驗中,除了於步驟ST3與步驟ST4之間進行步驟ST33以外,執行與第20實驗之方法相同之方法。
於步驟ST33中,將含鎢沈積物DP暴露於由氫(H 2)氣生成之電漿HPL中(參照圖16)。
於步驟ST33中,賦予至與基板支持部11對向之對向電極之RF電力為100 W。不對基板支持部11之本體部111中之電極賦予偏壓電力。
(第22實驗) 於第22實驗中,除了不進行步驟ST3以外,執行與第20實驗之方法相同之方法。
(實驗結果) 觀察第20實驗中步驟ST3後之基板W之剖面之TEM圖像。可知於第1區域R1上,形成有具有約7 nm之厚度之含鎢沈積物DP。又,根據TEM-EDX之結果,確認到含鎢沈積物DP含有鎢。另一方面,於開口OP1中,未於第2區域R2上確認到含鎢沈積物。
觀察於第20實驗~第22實驗中執行了方法所得之基板W之剖面之TEM圖像。於第20實驗中,蝕刻後之第1區域R1之剩餘之厚度為17.2 nm。於第21實驗中,蝕刻後之第1區域R1之剩餘之厚度為20.8 nm。於第22實驗中,蝕刻後之第1區域R1之剩餘之厚度為9.3 nm。進而,於第20實驗及第21實驗中,與第22實驗相比,形成於第2區域R2之凹部RS之側壁之垂直性提高。於第20實驗~第22實驗中,於具有相對較大之尺寸之凹部RS及具有相對較小之尺寸之凹部RS之各者中,局部性之凹部RS之尺寸之均一性(LCDU)同等。
(附記1) 一種蝕刻方法,其包括如下步驟: (a)準備基板,其中上述基板包含含矽及氮之第1區域、以及含矽及氧之第2區域; (b)使用由包含碳及氫中之至少1種、氟、及鎢之第1處理氣體生成之第1電漿,於上述第1區域上形成含鎢沈積物;以及 (c)於上述(b)之後,使用由與上述第1處理氣體不同之第2處理氣體生成之第2電漿,對上述第2區域進行蝕刻。 (附記2) 如附記1所記載之蝕刻方法,其中上述第1處理氣體包含含碳氣體及含氫氣體中之至少1種、及含鎢氣體。 (附記3) 如附記2所記載之蝕刻方法,其中上述含鎢氣體包含六氟化鎢氣體。 (附記4) 如附記2或3所記載之蝕刻方法,其中上述含碳氣體包含CH 4氣體、C 2H 2氣體、C 2H 4氣體、CH 3F氣體、CH 2F 2氣體、CHF 3氣體及CO氣體中之至少1種。 (附記5) 如附記2至4中任一項所記載之蝕刻方法,其中上述含氫氣體包含H 2氣體、SiH 4氣體及NH 3氣體中之至少1種。 (附記6) 如附記1至5中任一項所記載之蝕刻方法,其中 於上述(a)中,上述第2區域係以覆蓋上述第1區域之方式設置, 上述蝕刻方法進而包括如下步驟: (d)於上述(a)之後上述(b)之前,以使上述第1區域露出之方式對上述第2區域進行蝕刻。 (附記7) 如附記1至6中任一項所記載之蝕刻方法,其中於上述(b)中,支持上述基板之基板支持器之溫度超過100℃。 (附記8) 如附記1至7中任一項所記載之蝕刻方法,其中於上述(b)中或上述(b)之後,對與支持上述基板之基板支持器對向並包含矽之對向電極進行濺鍍。 (附記9) 如附記1至8中任一項所記載之蝕刻方法,其中上述第1區域具有凹部,上述第2區域嵌埋至上述凹部內。 (附記10) 如附記9所記載之蝕刻方法,其中上述(c)係於自對準接觸步驟中進行。 (附記11) 如附記1至10中任一項所記載之蝕刻方法,其中反覆實施包含上述(b)~(c)之循環2次以上。 (附記12) 一種蝕刻方法,其包括如下步驟: (a)準備基板,該基板包含具有露出之上表面之矽氮化物、及具有露出之上表面之矽氧化物; (b)使用由包含含碳氣體及含氫氣體中之至少1種、以及六氟化鎢氣體之第1處理氣體生成之第1電漿,於上述矽氮化物上形成含鎢沈積物;以及 (c)於上述(b)之後,使用由與上述第1處理氣體不同之第2處理氣體生成之第2電漿,對上述矽氧化物進行蝕刻。 (附記13) 一種電漿處理裝置,其具備: 腔室; 基板支持器,其係用以於上述腔室內支持基板者,上述基板包含含矽及氮之第1區域、以及含矽及氧之第2區域; 氣體供給部,其構成為將第1處理氣體及與上述第1處理氣體不同之第2處理氣體供給至上述腔室內,上述第1處理氣體包含碳及氫中之至少1種、氟、以及鎢; 電漿生成部,其構成為於上述腔室內由上述第1處理氣體生成第1電漿,於上述腔室內由上述第2處理氣體生成第2電漿;以及 控制部;且 上述控制部構成為,控制上述氣體供給部及上述電漿生成部,以使用上述第1電漿於上述第1區域上形成含鎢沈積物; 上述控制部構成為,控制上述氣體供給部及上述電漿生成部,以使用上述第2電漿對上述第2區域進行蝕刻。 (附記14) 一種蝕刻方法,其包括如下步驟: (a)準備基板,其中上述基板包含含矽及氮之第1區域、及含矽及氧之第2區域; (b)於上述第1區域上形成含碳沈積物; (c)使用由包含氟及鎢之處理氣體生成之電漿,於上述含碳沈積物上形成含鎢沈積物;以及 (d)於上述(c)之後,對上述第2區域進行蝕刻。 (附記15) 如附記14所記載之蝕刻方法,其中於上述(c)中,對與支持上述基板之基板支持器對向之對向電極施加用以生成上述電漿之電力。 (附記16) 一種蝕刻方法,其包括如下步驟: (a)準備基板,其中上述基板包含具有開口之第1區域、及位於上述第1區域之下之包含矽及氧之第2區域; (b)使用由包含稀有氣體、氟、及鎢之第1處理氣體生成之第1電漿,於上述第1區域上形成含鎢沈積物;以及 (c)於上述(b)之後,使用由與上述第1處理氣體不同之第2處理氣體生成之第2電漿,通過上述開口對上述第2區域進行蝕刻。 (附記17) 如附記16所記載之蝕刻方法,其進而包括如下步驟:(d)於上述(b)之後上述(c)之前,將上述含鎢沈積物暴露於由包含含氫氣體之第3處理氣體生成之第3電漿中。
根據以上說明應可理解,本發明之各種實施方式係出於說明之目的而於本說明書中進行說明,於不脫離本發明之範圍及主旨之情況下可進行各種變更。因此,本說明書中揭示之各種實施方式並不意圖進行限定,真正之範圍及主旨由隨附之發明申請專利範圍來表示。
1:電漿處理裝置 2:控制部 2a:電腦 2a1:處理部 2a2:記憶部 2a3:通訊介面 10:電漿處理腔室 10a:側壁 10e:氣體排出口 10s:電漿處理空間 11:基板支持部 12:電漿生成部 13:簇射頭 13a:氣體供給口 13b:氣體擴散室 13c:氣體導入口 20:氣體供給部 21:氣體源 22:流量控制器 30:電源 31:RF電源 31a:第1RF生成部 31b:2 RF生成部 32:DC電源 32a:第1DC生成部 32b:第2DC生成部 40:排氣系統 111:本體部 111a:中央區域 111b:環狀區域 112:環總成 CDP:含碳沈積物 DP:含鎢沈積物 DP1:含碳膜 DP2:含鎢膜 HDP:含鎢沈積物 HL:接觸孔 HPL:電漿 MK:遮罩 OHG:懸突部 OP:開口 OP1:開口 PL:電漿 PL1:第1電漿 PL2:第2電漿 PL3:第3電漿 PL4:第4電漿 PL11:第1電漿 PL12:第2電漿 R1:第1區域 R1a:凹部 R2:第2區域 R2a:凹部 RA:隆起區域 RS:凹部 SH:肩部分 UR:基底區域 UR1:第1基底區域 UR2:第2基底區域 UR3:第3基底區域 W:基板
圖1係概略性地表示一例示性實施方式之電漿處理裝置之圖。 圖2係概略性地表示一例示性實施方式之電漿處理裝置之圖。 圖3係一例示性實施方式之蝕刻方法之流程圖。 圖4係可應用圖3之方法之一例之基板之局部放大剖視圖。 圖5係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖6係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖7係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖8係表示賦予至本體部中之電極之RF(Radio Frequency,射頻)電力及賦予至對向電極之RF電力之時間變化的時序圖之一例。 圖9係一例示性實施方式之蝕刻方法之流程圖。 圖10係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖11係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖12係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖13係一例示性實施方式之蝕刻方法之流程圖。 圖14係可應用圖13之方法之一例之基板之局部放大剖視圖。 圖15係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖16係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖17係表示一例示性實施方式之蝕刻方法之一步驟之剖視圖。 圖18(a)~(d)係表示於第1實驗及第2實驗中藉由執行蝕刻方法而獲得之基板之剖面之TEM(Transmission Electron Microscopy,穿透式電子顯微鏡)圖像的圖。 圖19(a)~(d)係表示於第3實驗及第4實驗中藉由執行蝕刻方法而獲得之基板之剖面之TEM圖像的圖。 圖20(a)、(b)係表示於第5實驗中藉由執行蝕刻方法而獲得之基板之剖面之TEM圖像的圖。

Claims (15)

  1. 一種蝕刻方法,其包括如下步驟: (a)準備基板,其中上述基板包含含矽及氮之第1區域、以及含矽及氧之第2區域; (b)使用由包含碳及氫中之至少1種、氟、及鎢之第1處理氣體生成之第1電漿,於上述第1區域上形成含鎢沈積物;以及 (c)於上述(b)之後,使用由與上述第1處理氣體不同之第2處理氣體生成之第2電漿,對上述第2區域進行蝕刻。
  2. 如請求項1之蝕刻方法,其中上述第1處理氣體包含含碳氣體及含氫氣體中之至少1種、及含鎢氣體。
  3. 如請求項2之蝕刻方法,其中上述含鎢氣體包含六氟化鎢氣體。
  4. 如請求項2或3之蝕刻方法,其中上述含碳氣體包含CH 4氣體、C 2H 2氣體、C 2H 4氣體、CH 3F氣體、CH 2F 2氣體、CHF 3氣體及CO氣體中之至少1種。
  5. 如請求項2或3之蝕刻方法,其中上述含氫氣體包含H 2氣體、SiH 4氣體及NH 3氣體中之至少1種。
  6. 如請求項1至3中任一項之蝕刻方法,其中 於上述(a)中,上述第2區域係以覆蓋上述第1區域之方式設置, 上述蝕刻方法進而包括如下步驟: (d)於上述(a)之後上述(b)之前,以使上述第1區域露出之方式對上述第2區域進行蝕刻。
  7. 如請求項1至3中任一項之蝕刻方法,其中於上述(b)中,支持上述基板之基板支持器之溫度超過100℃。
  8. 如請求項1至3中任一項之蝕刻方法,其中於上述(b)中或上述(b)之後,對與支持上述基板之基板支持器對向並包含矽之對向電極進行濺鍍。
  9. 如請求項1至3中任一項之蝕刻方法,其中上述第1區域具有凹部,上述第2區域嵌埋至上述凹部內。
  10. 如請求項9之蝕刻方法,其中上述(c)係於自對準接觸步驟中進行。
  11. 如請求項1至3中任一項之蝕刻方法,其中反覆實施包含上述(b)~(c)之循環2次以上。
  12. 一種蝕刻方法,其包括如下步驟: (a)準備基板,該基板包含具有露出之上表面之矽氮化物、及具有露出之上表面之矽氧化物; (b)使用由包含含碳氣體及含氫氣體中之至少1種、以及六氟化鎢氣體之第1處理氣體生成之第1電漿,於上述矽氮化物上形成含鎢沈積物;以及 (c)於上述(b)之後,使用由與上述第1處理氣體不同之第2處理氣體生成之第2電漿,對上述矽氧化物進行蝕刻。
  13. 一種電漿處理裝置,其具備: 腔室; 基板支持器,其係用以於上述腔室內支持基板者,上述基板包含含矽及氮之第1區域、以及含矽及氧之第2區域; 氣體供給部,其構成為將第1處理氣體及與上述第1處理氣體不同之第2處理氣體供給至上述腔室內,上述第1處理氣體包含碳及氫中之至少1種、氟、以及鎢; 電漿生成部,其構成為於上述腔室內由上述第1處理氣體生成第1電漿,於上述腔室內由上述第2處理氣體生成第2電漿;以及 控制部;且 上述控制部構成為,控制上述氣體供給部及上述電漿生成部,以使用上述第1電漿於上述第1區域上形成含鎢沈積物; 上述控制部構成為,控制上述氣體供給部及上述電漿生成部,以使用上述第2電漿對上述第2區域進行蝕刻。
  14. 一種蝕刻方法,其包括如下步驟: (a)準備基板,其中上述基板包含含矽及氮之第1區域、及含矽及氧之第2區域; (b)於上述第1區域上形成含碳沈積物; (c)使用由包含氟及鎢之處理氣體生成之電漿,於上述含碳沈積物上形成含鎢沈積物;以及 (d)於上述(c)之後,對上述第2區域進行蝕刻。
  15. 如請求項14之蝕刻方法,其中於上述(c)中,對與支持上述基板之基板支持器對向之對向電極施加用以生成上述電漿之電力。
TW111122844A 2021-07-02 2022-06-20 蝕刻方法及電漿處理裝置 TW202314852A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2021-110977 2021-07-02
JP2021110977 2021-07-02
JP2021-188752 2021-11-19
JP2021188752 2021-11-19
JP2022084554A JP2023008824A (ja) 2021-07-02 2022-05-24 エッチング方法及びプラズマ処理装置
JP2022-084554 2022-05-24

Publications (1)

Publication Number Publication Date
TW202314852A true TW202314852A (zh) 2023-04-01

Family

ID=84738152

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111122844A TW202314852A (zh) 2021-07-02 2022-06-20 蝕刻方法及電漿處理裝置

Country Status (4)

Country Link
US (1) US20230005753A1 (zh)
KR (1) KR20230006394A (zh)
CN (1) CN115565870A (zh)
TW (1) TW202314852A (zh)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950984A (ja) 1995-08-07 1997-02-18 Hitachi Ltd 表面処理方法

Also Published As

Publication number Publication date
CN115565870A (zh) 2023-01-03
US20230005753A1 (en) 2023-01-05
KR20230006394A (ko) 2023-01-10

Similar Documents

Publication Publication Date Title
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
US20080014755A1 (en) Plasma etching method and computer-readable storage medium
TW202314852A (zh) 蝕刻方法及電漿處理裝置
TWI828187B (zh) 蝕刻方法及電漿處理裝置
JP2023008824A (ja) エッチング方法及びプラズマ処理装置
US20230086580A1 (en) Etching method and plasma processing apparatus
WO2023008025A1 (ja) エッチング方法、半導体装置の製造方法、エッチングプログラムおよびプラズマ処理装置
US20230420263A1 (en) Etching method and plasma processing apparatus
TWI802266B (zh) 蝕刻方法、半導體裝置之製造方法及電漿處理裝置
US11688609B2 (en) Etching method and plasma processing apparatus
JP7250895B2 (ja) エッチング方法及びプラズマ処理装置
JP7524003B2 (ja) エッチング方法及びプラズマ処理装置
US20240213031A1 (en) Etching method and plasma processing apparatus
US11328934B2 (en) Etching method and substrate processing apparatus
EP4383314A1 (en) Method for cleaning chamber or component, substrate processing method and substrate processing apparatus
WO2024090252A1 (ja) 基板処理方法及び基板処理装置
US20200328089A1 (en) Substrate processing method and substrate processing apparatus
JP2023002460A (ja) エッチング方法及びプラズマ処理装置
JP2024064179A (ja) エッチング方法及びプラズマ処理装置
CN118263113A (zh) 蚀刻方法及等离子体处理装置
JP2024094240A (ja) エッチング方法及びプラズマ処理装置
JP2024053900A (ja) エッチング方法及びプラズマ処理装置
TW202431408A (zh) 蝕刻方法及電漿處理裝置
TW202333226A (zh) 蝕刻方法及電漿處理裝置
CN117751433A (zh) 蚀刻方法、半导体装置的制造方法、蚀刻程序以及等离子体处理装置