TW202310294A - 互連結構 - Google Patents

互連結構 Download PDF

Info

Publication number
TW202310294A
TW202310294A TW111109848A TW111109848A TW202310294A TW 202310294 A TW202310294 A TW 202310294A TW 111109848 A TW111109848 A TW 111109848A TW 111109848 A TW111109848 A TW 111109848A TW 202310294 A TW202310294 A TW 202310294A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
conductive
conductive layer
foam
Prior art date
Application number
TW111109848A
Other languages
English (en)
Inventor
廖韋豪
田希文
戴羽騰
呂志偉
姚欣潔
李忠儒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202310294A publication Critical patent/TW202310294A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本揭露描述了一種互連結構及形成這種結構的方法。互連結構包含導電層的第一部分、鄰近導電層的第一部分設置的導電層的第二部分、以及在導電層的第一和第二部分之間設置的介電泡沫。介電泡沫包含用二氧化碳氣體填充的流體間隙。

Description

互連結構
本揭露實施例是有關於一種互連結構,且特別是有關於一種包含介電泡沫的互連結構、使用其的半導體結構及其製造方法。
隨著半導體產業導入具有更高性能和更多功能的新一代積體電路(integrated circuit,IC),形成IC的元件的密度增加,而組件或元件之間的尺寸、尺寸和間距減少。在過去,這種減少僅受到光微影定義結構的能力的限制,具有較小尺寸的裝置幾何形狀產生了新的限制因素。隨著半導體裝置尺寸的減少,需要具有減少的電容耦合之改善的半導體裝置。
本揭露的一個實施例為一種互連結構。結構包含導電層的第一部分、鄰近導電層的第一部分設置的導電層的第二部分、及在導電層的第一和第二部分之間設置的介電泡沫。介電泡沫包含填充有二氧化碳氣體的流體間隙。
本揭露的另一個實施例為一種半導體裝置結構。結構包含一或多個裝置、在一或多個裝置上設置的導電層的第一部分、鄰近導電層的第一部分設置的導電層的第二部分、及在第一和第二部分之間設置的介電泡沫。介電泡沫包含具有胺基基團和流體間隙的化合物。
本揭露的又一個實施例為一種互連結構的製造方法。互連結構的製造方法包含在介電層上形成導電層,在導電層中形成複數個開口,以形成導電層的複數個部分,在開口中形成介電材料,及由介電材料形成泡沫材料。泡沫材料包含填充有二氧化碳氣體的複數個流體間隙。互連結構的製造方法更包含硬化泡沫材料,以形成介電泡沫。
以下的揭露內容提供許多不同的實施例或範例,以實施本案的不同部件。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了第一部件形成於第二部件之上或上方,即表示其可能包含上述第一部件與上述第二部件是直接接觸的實施例,亦可能包含了有附加部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。另外,以下揭露書的不同範例中可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在…的下方”、“之下”、“下”、“在…的上方”、“之上”、“上”及類似的用詞,係為了便於描述圖式中一個元件或部件與另一個(些)元件或部件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),且在此使用的空間相關詞也可依此對應地解釋。
第1A-3I圖顯示了根據一些實施例之用於製造半導體裝置結構100的示例性順序製程。可理解的是,可在第1A至3I圖所示的製程之前、之中和之後提供額外操作,且就製程的額外實施例而言,可取代或消除以下所述的一些操作。操作/製程的順序可以互換。
第1A和1B圖是根據一些實施例之製造半導體裝置結構100的各個階段之一的剖面側視圖。如第1A和1B圖中所示,半導體裝置結構100包含基底102及一或多個裝置200,裝置200形成於基底102上。基底102可為半導體基底。在一些實施例中,基底102至少在基底102的表面上包含單晶半導體層。基底102可包含單晶半導體材料,例如但不限於矽(Si)、鍺(Ge)、鍺化矽(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化銦鎵(InGaAs)、磷化鎵銻(GaSbP)、砷化鎵(GaAsSb)和磷化銦(InP)。例如,基底102由Si製成。在一些實施例中,基底102是絕緣體上矽(silicon-on-insulator,SOI)的基底,其包含在兩個矽層之間設置的絕緣層(未示出)。在一面向中,絕緣層是含氧材料,例如氧化物。
基底102可在基底102的表面上包含一或多個緩衝層(未示出)。緩衝層可用來逐漸改變晶格常數,從基底的晶格常數至源極/汲極區的晶格常數。緩衝層可由磊晶成長的單晶半導體材料形成,例如但不限於Si、Ge、錫化鍺(GeSn)、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP。在一實施例中,基底102包含SiGe緩衝層,SiGe緩衝層在基底102上磊晶成長。SiGe緩衝層的鍺濃度可從最底部緩衝層的30原子百分比鍺增加到最頂部緩衝層的70原子百分比鍺。
基底102可包含已合適地摻雜雜質(例如p型或n型雜質)的各個區域。摻雜劑例如為用於n型鰭式場效應電晶體(fin field effect transistor,FinFET)的磷和用於p型FinFET的硼。
如上所述,裝置200可為任何合適的裝置,例如電晶體、二極體、成像感測器、電阻器、電容器、電感器、儲存單元或前述之組合。在一些實施例中,裝置200是電晶體,例如平面場效應電晶體(field effect transistor,FET)、鰭式場效應電晶體(FinFET)、奈米結構電晶體或其他合適的電晶體。奈米結構電晶體可包含奈米片電晶體、奈米線電晶體、全繞式閘極(gate-all-around GAA)電晶體、多橋通道(multi-bridge channel,MBC)電晶體或具有圍繞通道的閘電極的任何電晶體。在基底102上形成的裝置200的一範例是FinFET,其在第1A和1B圖中顯示。裝置200包含複數個源極/汲極(S/D)區104和複數個閘極堆疊106。可在作為源極區的S/D區104和作為汲極區的S/D區104之間設置每個閘極堆疊層106。例如,每個閘極堆疊106可沿Y軸在作為源極區的複數個S/D區104和作為汲極區的複數個S/D區104之間延伸。如第1A圖所示,在基底102上形成兩個閘極堆疊106。在一些實施例中,在基底102上形成多於兩個閘極堆疊106。在作為源極區的S/D區104和作為汲極區的S/D區104之間形成通道區108。
S/D區104可包含半導體材料,例如Si或Ge、III-V族化合物半導體、II-VI族化合物半導體或其他合適的半導體材料。示例性S/D區104可包含但不限於Ge、SiGe、GaAs、AlGaAs、GaAsP、SiP、InAs、AlAs、InP、GaN、InGaAs、InAlAs、GaSb、AlP、GaP等。複數個S/D區104可包含p型摻雜劑,例如硼; n型摻雜劑,例如磷或砷;和/或其他合適的摻雜劑,包含前述之組合。可使用CVD、原子層沉積(ALD)或分子束磊晶(MBE),藉由磊晶成長來形成S/D區104。通道區108可包含一或多種半導體材料,例如Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP或InP。在一些實施例中,通道區108包含與基底102相同的半導體材料。在一些實施例中,裝置200是FinFET,且通道區108是設置在閘極堆疊106下的複數個鰭片。在一些實施例中,裝置200是奈米結構電晶體(例如環柵極電晶體、奈米線電晶體、奈米片電晶體等),且通道區108被閘極堆疊106圍繞。
每個閘極堆疊106包含設置在通道區108上(或圍繞奈米結構電晶體的通道區108)的閘極電極層110。閘極電極層110可為含有金屬的材料,例如鎢(tungsten)、鈷(cobalt)、鋁(aluminum)、釕(ruthenium)、銅(copper)、前述之多層等,且可藉由ALD、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、MBD、物理氣相沉積(physical vapor deposition,PVD)或任何合適的沉積技術。每個閘極堆疊106可包含界面介電層112、設置在界面介電層112上的閘極介電層114、及設置在閘極介電層114上的一或多個順應層(conformal layer) 116。可在一或多個順應層116上設置閘極電極層110。界面介電層112可包含介電材料,例如含氧材料或含氮材料、或前述之多層,且可藉由任何合適的沉積方法例如CVD、PECVD或ALD,來形成。閘極介電層114可包含介電材料,例如含氧材料或含氮材料、具有大於二氧化矽的k值的高k介電材料、或前述之多層。可藉由任何合適的方法來形成閘極介電層114,例如CVD、PECVD或ALD。一或多個順應層116可包含一或多個阻擋層和/或覆蓋層,例如含氮材料,例如氮化鉭(TaN)、氮化鈦(TiN)等。一或多個順應層116可更包含一或多個功函數層(work-function layer),例如鋁鈦碳化物、鋁鈦氧化物、鋁鈦氮化物等。術語「順應」 在本文可用來便於描述在各區域上具有基本相同厚度的層。可藉由ALD、PECVD、MBD或任何合適的沉積技術,來沉積一或多個順應層116。
沿著閘極堆疊106的側壁(例如,閘極介電層114的側壁)形成複數個閘極分隔物118。閘極分隔物118可包含碳氧化矽、氮化矽、氮氧化矽、碳氮化矽等、前述之多層或前述之組合,且可藉由CVD、ALD或其他合適的沉積技術來沉積。
可在複數個隔離區103上形成一部分的閘極堆疊106和閘極分隔物118。在基底102上形成隔離區103。隔離區103可包含絕緣材料,例如含氧材料、含氮材料或前述之組合。可藉由高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)或其他合適的沉積製程,來形成絕緣材料。在一面向中,隔離區103包含藉由FCVD製程形成的氧化矽。
在部分的S/D區104和隔離區103上形成接觸蝕刻停止層(contact etch stop layer,CESL) 124,且在CESL 124上形成層間介電(interlayer dielectric,ILD)層126。在ILD層126中形成開口時,CESL 124可提供停止蝕刻製程的機制。可順應地在S/D區104和隔離區103的表面上沉積CESL 124。CESL 124可包含含氧材料或含氮材料,例如氮化矽(silicon nitride)、碳氮化矽(silicon carbon nitride)、氮氧化矽(silicon oxynitride)、氮化碳(carbon nitride)、氧化矽(silicon oxide)、碳氧化矽(silicon carbon oxide)等或前述之組合,且可藉由CVD、PECVD、ALD或任何合適的沉積技術來沉積。ILD層126可包含由四乙基正矽酸鹽(tetraethylorthosilicate,TEOS)、未摻雜的矽酸鹽玻璃或摻雜的氧化矽,例如硼磷矽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽玻璃(phosphosilicate glass,PSG)、硼摻雜的矽玻璃(boron doped silicon glass,BSG)、有機矽酸鹽玻璃 (OSG)、SiOC和/或任何合適的低k介電材料(例如,具有介電常數低於二氧化矽的材料),且可藉由旋塗、CVD、FCVD、 PECVD、PVD或任何合適的沉積技術來沉積。
如第1A和1B圖中所示,在每個S/D區104的至少一部分上形成矽化物層120。矽化物層120可包含具有WSi、CoSi、NiSi、TiSi、MoSi和TaSi中的一或多個的材料。在一些實施例中,矽化物層120包含金屬或金屬合金矽化物,且金屬包含貴金屬、難熔金屬、稀土金屬、前述之合金或前述之組合。在每個矽化物層120上設置導電接觸122。導電接觸122可包含具有Ru、Mo、Co、Ni、W、Ti、Ta、Cu、Al、TiN或TaN中的一或多個的材料,且可藉由任何合適的方法形成導電接觸122,例如電化學電鍍(electro-chemical plating,ECP)或PVD。可藉由先在ILD層126和CESL 124中形成開口,以露出S/D區104的至少一部分,然後在S/D區104之露出的部分上形成矽化物層120,然後在矽化物層120上形成導電接觸122,來形成矽化物層120和導電接觸122。
可在閘極堆疊106上形成介電材料128,且在介電材料128中形成導電接觸130,如第1A圖中所示。介電材料128可為含氮材料,例如SiCN。導電接觸130可包含與導電接觸122相同的材料。導電接觸130可電性連接至閘極電極層110。
如第2圖中所示,半導體裝置結構100可更包含在裝置200和基底102上設置的互連結構300。互連結構300包含各種導電部件,例如第一複數個導電部件304和第二複數個導電部件306,和金屬間介電(intermetal dielectric,IMD)層302,以分離和隔離各種導電部件304、306。在一些實施例中,第一複數個導電部件304是導線而第二複數個導電部件306是導電通孔。互連結構300包含複數層級的導電部件304,且在每個層級中安排導電部件304,以提供至設置在下方的各種裝置200的電性通路。導電部件306提供從裝置200至導電部件304及導電部件304之間的垂直電性通路。例如,互連結構300的最底部的導電部件306可電性連接至導電接觸122、130 (第1A圖)。可由一或多個導電材料製成導電部件304和導電部件306,例如一或多層的石墨烯、金屬、金屬合金、金屬氮化物或矽化物。例如,由銅、鋁、鋁銅合金、鈦、氮化鈦、鉭、氮化鉭、氮化矽鈦、鋯、金、銀、鈷、鎳、鎢、氮化物鎢、矽氮化鎢(tungsten silicon nitride)、鉑、鉻、鉬、鉿、其他合適的導電材料或前述之組合製成導電部件304和導電部件306。
IMD層302包含一或多個介電材料,以對各種導電部件304、306提供隔離功能。IMD層302可包含埋有複數層級的導電部件304、306的複數個介電層。由介電材料製成IMD層302,例如SiO x、SiO xC yH z或SiO xC y,其中x、y和z為整數或非整數。在一些實施例中,IMD層302包含k值小於氧化矽的k值的低k介電材料。在一些實施例中,IMD層302具有從約1.5至約3.9的k值。
圖3A-3J是根據一些實施例之製造互連結構300的各個階段的剖面側視圖。如第3A圖中所示,互連結構300包含介電層301,其可為ILD層或金屬間介電(IMD)層。在一些實施例中,可在ILD層126 (第1圖)上設置介電層301。在一些實施例中,可在ILD層126和導電接觸122上設置介電層301。介電層301可包含含氧材料,例如氧化矽或摻氟矽酸鹽玻璃(fluorine-doped silicate glass,FSG);含氮材料,例如氮化矽、氮氧化矽(SiON)、SiOCN、SiCN;低k介電材料(例如,k值低於二氧化矽的材料);或任何合適的介電材料。在一些實施例中,介電層301包含氧化矽。可藉由化學氣相沉積(CVD)、原子層沉積(ALD)、旋塗或其他合適的製程來形成介電層301。
在介電層301中設置一或多個導電部件305(僅示出一個)。導電部件305可包含導電材料,例如Os、Ir、Co、Nb、Pt、Rh、Re、Cu、W 、Cr、Ru、V、Pd、Mo、前述之合金或其他合適的材料。在一些實施例中,導電部件305包含金屬。可藉由物理氣相沉積(PVD)、CVD、ALD或其他合適的製程,來形成導電部件305。在一些實施例中,導電部件305具有約200埃(Angstrom)至約500埃的厚度。在一些實施例中,導電部件305可為第2圖中所示的導電部件306。導電部件305可電性連接至對應的導電接觸122、130 (圖1A)。
如第3A圖中所示,在介電層301上形成膠層307、導電層313、硬遮罩層308和遮罩結構310。在一些實施例中,在介電層301上形成膠層307,在膠層307上形成導電層303,在導電層303上形成遮罩結構310。在一些實施例中,膠層307是不存在的,且在介電層301上形成導電層303。膠層307可包含一或多個低電阻材料,例如Ta、TaN、Ti、TiN、前述之合金或任何合適的材料。可藉由PVD、CVD、ALD或其他合適的製程,來形成膠層。在一些實施例中,在約攝氏10度至約攝氏400度的處理溫度下形成膠層。 膠層307可具有約5埃至約20埃的厚度。膠層307可在導電層303和介電層301之間提供黏合。導電層303可包含與導電部件305相同的材料且可藉由PVD或ALD形成。 在一些實施例中,在約攝氏10度至約攝氏450度的處理溫度下形成導電層303。導電層303可具有與導電部件305相同的厚度。
硬遮罩層308可包含相對於導電層303具有高蝕刻選擇性的材料。在一些實施例中,導電層303對硬遮罩層308具有高於8的蝕刻選擇性和對膠層307具有高於5的蝕刻選擇性。在一些實施例中,硬遮罩層308可包含SiO x、SiC、SiOC、SiCN、SiN、Ti、TiN、Ta、TaN、Al、AlO x、前述之合金等或前述之組合,且可藉由CVD、PVD或ALD形成。可在約攝氏10度至約攝氏400度的處理溫度下形成硬遮罩層308。硬遮罩層308的厚度可為導電層303的厚度的約25%至約75%。在一些實施例中,硬遮罩層308的厚度為導電層303的約50%。
遮罩結構310可為三層光阻結構。例如,遮罩結構310可包含底層312、中間層314和光阻層316。在一些實施例中,底層312是用來減少光微影製程期間的反射的底部抗反射塗佈 (bottom anti-reflective coating,BARC)層。在一些實施例中,底層312由無氮材料製成,例如富含矽的氧化物或碳氧化矽(SiOC)。在一些實施例中,中間層314由基於矽的材料製成,例如氮化矽、氮氧化矽或氧化矽。光阻層316可為正光阻層或負光阻層。在一些實施例中,光阻層316由聚(甲基丙烯酸甲酯)( poly (methyl methacrylate),PMMA)、聚(甲基戊二醯亞胺)(poly (methyl glutarimide),PMGI)、酚醛樹脂(phenol formaldehyde resin)或其他合適的材料製成。在一些實施例中,底層312的厚度與中間層314的厚度之比值在約4至約8的範圍內。
如第3A圖中所示,可將光阻層316圖案化。接著,如第3B圖中所示,將光阻層316的圖案轉移至硬遮罩層308。可先將圖案轉移至中間層314和底層312,及中間層314的剩餘部分,且在將圖案轉移至硬遮罩層308的期間,可移除底層312。可藉由一或多個蝕刻製程,來執行圖案從光阻層316至硬遮罩層308的轉移。 一或多個蝕刻製程可為乾式蝕刻、濕式蝕刻或前述之組合。然後藉由蝕刻製程,將硬遮罩層308的圖案轉移至導電層303。在一些實施例中,蝕刻製程是電感耦合電漿(inductively coupled plasma,ICP)反應離子蝕刻(reactive ion etching,RIE)。例如,ICP RIE可具有從約100W至約2000W的電漿功率和從約0V至約1200V的偏壓(bias)。可在ICP RIE中使用一或多種氣體作為蝕刻劑和載體氣體。在一些實施例中,一或多種氣體,例如HBr、SiCl 4、BCl 4、Cl 2、H 2、CH 4、N 2、He、Ne、Kr、CF 4、CHF 3、CH 3F、CH 2F 2、C 4F 8、C 4F 6、N 2、O 2、Ar或其他合適的氣體。如第3B圖中所示,也可藉由一或多個蝕刻製程,將圖案轉移至膠層307。
如第3B圖中所示,由於一或多個蝕刻製程,可形成複數個開口318。開口318將導電層303和膠層307分隔成二或更多部分。在一些實施例中,導電層303的每個部分可為導電部件,例如導電線。例如,導電層303包含一或多個導電部件,例如複數個導電部件。在一些實施例中,導電層303的複數個導電部件是第2圖中所示的導電部件304。每個開口318露出介電層301的一部分。
如第3C圖中所示,移除硬遮罩層308。可藉由任何合適的製程,來移除硬遮罩層308,例如乾式蝕刻、濕式蝕刻或前述之組合。在一些實施例中,藉由移除硬遮罩層308但不移除導電層303和介電層301的選擇性濕式蝕刻製程,來移除硬遮罩層308。如第3D圖中所示,在介電層301和部分導電層303上形成阻擋層320。阻擋層320可包含氮化物,例如氮化矽、SiOC或其他合適的材料。阻擋層320可阻擋金屬擴散至開口318中隨後形成的介電材料中。可藉由例如ALD的順應製程,來形成阻擋層320。
如第3E圖所示,在開口318中形成介電材料322,以部分填充開口318。介電材料322可為具有Si、O、C的單體。例如,介電材料322包含具有以下結構I的單體:
Figure 02_image001
其中n為30至50。在一些實施例中,介電材料322的單體包含具有以下結構II的異氰酸酯基團(isocyanate group): R-N=C=O                                            II 其中R可為包含Si、O、C、H的任何基團。在一些實施例中,基團R包含具有結構I的材料。在一些實施例中,介電材料322的單體包含環氧化物、胺、碳酸、無水物或羥基封端的官能基。在一些實施例中,介電材料322的單體具有約2000至約200000的分子量(重量平均)。介電材料322可為k值小於二氧化矽的k值的低k材料。
在一些實施例中,藉由CVD在約攝氏30度至約攝氏200度的處理溫度下形成介電材料322。CVD製程可為可流動的CVD (flowable CVD,FCVD)製程。介電材料322的厚度範圍可為約120埃至約240埃。在一些實施例中,介電材料322的厚度小於導電層303的厚度,例如導電層303的厚度的約25%至約90%。
如第3F圖中所示,對介電材料322執行發泡製程,以形成泡沫材料324。可藉由以下反應形成泡沫材料324: RNCO + H 2O à RNH 2+ CO 2例如,高壓,例如約2 ATM至約5 ATM,可將水蒸氣(H 2O)導入至介電材料322中,以與具有異氰酸酯基團的單體反應。因此,形成一級胺和二氧化碳氣體。二氧化碳氣體可在泡沫材料324中形成複數個流體間隙326。換句話說,流體間隙326填充有二氧化碳氣體。 在一些實施例中,泡沫材料324包含具有胺基基團的化合物,且在泡沫材料324中形成複數個流體間隙326。泡沫材料324可包含為一級胺、二級胺或三級胺的化合物。泡沫材料324的厚度可為導電層303的厚度的約1.5至約3倍。
在另一些實施例中,由於對間隙填充小CD的不良一致性,可在具有小的臨界尺寸(critical dimension,CD)的介電材料中形成空氣間隙。再者,空氣間隙的大小和高度難以控制,且由於各種圖案密度和介電質形貌(topography),空氣間隙的形狀不一致。藉由上述製程形成的流體間隙326具有一致的奈米級間隙。在一些實施例中,流體間隙326是球形的且具有約3埃至約100埃的直徑。可藉由用來形成二氧化碳氣體的化合物的類型和/或量、腔室壓力和處理溫度,來控制流體間隙326的尺寸。再者,可藉由調整上述製程條件,來控制泡沫材料324中的流體間隙326的位置。可在具有任何尺寸的CD的介電材料中和任何圖案密度的介電材料中形成流體間隙326。在一些實施例中,在約2 ATM至約5 ATM的腔室壓力和約攝氏70度至約攝氏150度的處理溫度下執行發泡製程。
如第3G圖中所示,對泡沫材料324進行硬化製程,以形成介電泡沫328。硬化溫度可高於發泡製程的溫度。執行硬化製程,以完成在發泡製程期間開始的化學反應且使泡沫材料324的單體交聯。由於硬化製程,介電泡沫328可能比透過交聯的泡沫材料324更硬。交聯的介電泡沫328可為隨後在介電泡沫328上形成的材料提供改善的結構支撐。
硬化製程可為熱硬化、UV硬化或其他合適的硬化製程。在一些實施例中,硬化製程為熱硬化,且硬化溫度的範圍為約攝氏100度至約攝氏200度。在一些實施例中,硬化製程是UV硬化,燈功率為約100W至約2000W,UV波長為約375 nm至約405 nm,燈與基底之間的距離為約0.5 cm至約5 cm。硬化時間可取決於硬化溫度或紫外線能量。在一些實施例中,硬化時間為約3 min至約5 min。由於硬化製程,介電泡沫328包括包含Si、O、C的介電材料、及二氧化碳氣體填充的流體間隙326。介電泡沫328中的流體間隙326可藉由減少介電泡沫328的k值來減少電容。在一些實施例中,介電泡沫328的k值小於介電材料322的k值。
如第3H圖中所示,執行平坦化製程,以移除設置在部分導電層303上的部分介電泡沫328。平坦化製程亦可移除在部分導電層303上形成的部分阻擋層320。因此,部分導電層303被露出。 平坦化製程可為任何合適的製程,例如化學機械研磨(chemical mechanical polishing,CMP)製程。如第3I圖中所示,在部分導電層303、阻擋層320和介電泡沫328的部分上形成蝕刻停止層330。蝕刻停止層330可包含含氧材料或含氮材料,例如氮化矽、碳氮化矽、氮氧化矽、氮化碳、氧化矽、碳氧化矽等或前述之組合。在一些實施例中,蝕刻停止層330包含金屬氧化物。可藉由任何合適的製程形成蝕刻停止層330,例如CVD、PECVD或ALD。在一些實施例中,蝕刻停止層330是由ALD形成的順應層。在一些實施例中,可在蝕刻停止層330上形成介電層,例如介電層301。可在介電層中形成一或多個導電部件,例如導電部件305。在一些實施例中,在蝕刻停止層上形成介電泡沫例如介電泡沫328,且在介電泡沫中形成導電部件。
部分導電層303和在導電層303的相鄰部分之間形成的介電泡沫328可用來減少互連結構(例如互連結構300)中的電容。例如,如第2圖中所示,介電泡沫328和部分導電層303可為IMD層302中的層。部分導電層303可為在一層級上設置的導電部件304,且在此層級上的相鄰導電部件304之間設置介電泡沫328。在一些實施例中,如第2圖中所示,IMD層302可由介電泡沫328製成,且導電部件304、306埋入至介電泡沫328中。
在一些實施例中,介電泡沫328用在互連結構中,例如互連結構300。介電泡沫328可用在其他結構中,以取代其中形成有空氣間隙的任何介電材料。例如,介電泡沫328可用在裝置200中。在一些實施例中,介電泡沫328可用來取代電晶體中的閘極分隔物118,如第1A圖中所示。在一些實施例中,介電泡沫328可用來取代介電材料128,以圍繞第1A圖中所示的導電接觸130。
本揭露的實施例提供互連結構300。在一些實施例中,互連結構300包含導電層303的第一部分、與導電層303的第一部分相鄰設置的導電層303的第二部分及在導電層303的第一部分和導電層303的第二部分之間設置的介電泡沫328。介電泡沫328可包含填充在其中形成的流體間隙326的二氧化碳氣體。介電泡沫328可包含具有胺基基團的化合物。一些實施例可實現優點。例如,可藉由用於形成二氧化碳氣體的化合物的類型和/或量、發泡製程的腔室壓力和發泡製程的處理溫度,來控制流體間隙326的尺寸。再者,可藉由調整上述製程條件,來控制泡沫材料324中的流體間隙326的位置。可在具有任何尺寸的CD的介電材料中和任何圖案密度的介電材料中形成流體間隙326。介電泡沫328減少互連結構中的電容。
一實施例是互連結構。互連結構包含導電層的第一部分、鄰近導電層的第一部分設置的導電層的第二部分、及在導電層的第一和第二部分之間設置的介電泡沫。介電泡沫包含填充有二氧化碳氣體的流體間隙。
在一些實施例中,互連結構更包含介電層,其中導電層的第一和第二部分及介電泡沫設置於介電層上。
在一些實施例中,互連結構更包含在導電層的第一部分和介電層之間設置的第一膠層、及在導電層的第二部分和介電層之間設置的第二膠層。
在一些實施例中,互連結構更包含在介電層中設置的導電部件,其中第一膠層設置於導電部件和導電層的第一部分之間。
在一些實施例中,互連結構更包含在導電層的第一部分和介電泡沫之間且在導電層的第二部分和介電泡沫之間設置的阻擋層。
在一些實施例中,其中阻擋層設置於介電泡沫和介電層之間。
在一些實施例中,互連結構更包含在導電層的第一和第二部分、阻擋層和介電泡沫上設置的蝕刻停止層。
另一實施例是半導體裝置結構。結構包含一或多個裝置、在一或多個裝置上設置的導電層的第一部分、鄰近導電層的第一部分設置的導電層的第二部分、及在第一和第二部分之間設置的介電泡沫。介電泡沫包含具有胺基基團和流體間隙的化合物。
在一些實施例中,其中一或多個裝置包含電晶體。
在一些實施例中,其中電晶體包含第一源極/汲極區、第二源極/汲極區、在第一和第二源極/汲極區之間設置的通道區及在通道區上設置的閘極電極層。
在一些實施例中,半導體裝置結構更包含在第一源極/汲極區設置的導電部件,且導電層的第一源極/汲極區電性連接至導電部件。
在一些實施例中,半導體裝置結構更包含在導電層的第一部分和介電泡沫之間以及導電層的第二部分和介電泡沫之間設置的阻擋層。
在一些實施例中,其中阻擋層設置於介電泡沫下。
在一些實施例中,半導體裝置結構更包含在導電層的第一和第二部分、阻擋層和介電泡沫上設置的蝕刻停止層。
又一實施例是互連結構的製造方法。互連結構的製造方法包含在介電層上形成導電層,在導電層中形成複數個開口,以形成導電層的複數個部分,在開口中形成介電材料,及由介電材料形成泡沫材料。泡沫材料包含填充有二氧化碳氣體的複數個流體間隙。互連結構的製造方法更包含硬化泡沫材料,以形成介電泡沫。
在一些實施例中,互連結構的製造方法更包含形成阻擋層於導電層的複數個部分上,其中介電材料形成於阻擋層上。
在一些實施例中,互連結構的製造方法更包含對介電泡沫執行平坦化製程。
在一些實施例中,互連結構的製造方法更包含形成蝕刻停止層於介電泡沫、導電層的複數個部分及阻擋層上。
在一些實施例中,形成泡沫材料的步驟包含將水蒸氣導入至介電材料中,其中水蒸氣與異氰酸酯基團反應生成胺和二氧化碳氣體。
在一些實施例中,將泡沫材料硬化的步驟包含硬化溫度範圍為約攝氏100度至約攝氏200度的熱硬化,且介電泡沫的k值低於介電材料的k值。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:半導體裝置 102:基底 103:隔離區 104:源極/汲極區 106:閘極堆疊 108:通道區 110:閘極電極層 112:界面介電層 114:閘極介電層 116:順應層 118:閘極分隔物 120:矽化物層 122:導電接觸 124:接觸蝕刻停止層 126:層間介電層 128:介電材料 130:導電接觸 200:裝置 300:互連結構 301:介電層 302:金屬間介電層 303:導電層 304, 305, 306:導電部件 307:膠層 308:硬遮罩層 310:遮罩結構 312:底層 314:中間層 316:光阻層 318:開口 320:阻擋層 322:介電材料 324:泡沫材料 326:流體間隙 328:介電泡沫 330:蝕刻停止層
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,各種部件並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1A和1B圖是根據一些實施例之製造半導體裝置結構的各個階段之一的剖面圖。 第2圖是根據一些實施例之製造互連結構一階段的剖面側視圖。 第3A至3I圖是根據一些實施例之製造互連結構的各個階段的剖面側視圖。
300:互連結構
301:介電層
303:導電層
305:導電部件
307:膠層
320:阻擋層
326:流體間隙
328:介電泡沫
330:蝕刻停止層

Claims (1)

  1. 一種互連結構,包括: 一導電層的一第一部分; 該導電層的一第二部分,鄰近該導電層的該第一部分設置;以及 一介電泡沫,設置於該導電層的該第一部分和該第二部分之間,其中該介電泡沫包括填充有二氧化碳氣體的複數個流體間隙。
TW111109848A 2021-05-07 2022-03-17 互連結構 TW202310294A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/314,294 US20220367361A1 (en) 2021-05-07 2021-05-07 Interconnection structure and methods of forming the same
US17/314,294 2021-05-07

Publications (1)

Publication Number Publication Date
TW202310294A true TW202310294A (zh) 2023-03-01

Family

ID=83376500

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111109848A TW202310294A (zh) 2021-05-07 2022-03-17 互連結構

Country Status (3)

Country Link
US (1) US20220367361A1 (zh)
CN (1) CN115132685A (zh)
TW (1) TW202310294A (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9343400B2 (en) * 2013-03-13 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene gap filling process
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US10008382B2 (en) * 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
JP6729335B2 (ja) * 2016-12-07 2020-07-22 東京エレクトロン株式会社 半導体装置の製造方法
US11615984B2 (en) * 2020-04-14 2023-03-28 Applied Materials, Inc. Method of dielectric material fill and treatment

Also Published As

Publication number Publication date
US20220367361A1 (en) 2022-11-17
CN115132685A (zh) 2022-09-30

Similar Documents

Publication Publication Date Title
TWI762196B (zh) 半導體裝置與其製造方法
KR102375640B1 (ko) 콘택트 도전성 특징부 형성 및 구조체
TW202205592A (zh) 半導體元件結構
CN114496918A (zh) 集成电路结构及其形成方法
US20230387311A1 (en) Isolation structures and methods of forming the same in field-effect transistors
CN114078846A (zh) 半导体器件的接触插塞结构及其形成方法
CN113658950A (zh) 半导体装置结构
TWI818420B (zh) 半導體裝置及其形成方法
TW202310017A (zh) 互連結構
CN109216274A (zh) 具有可配置轮廓的衬垫层的半导体器件及其制造方法
CN114927554A (zh) 半导体装置结构
TW202310294A (zh) 互連結構
TW202205595A (zh) 半導體元件
CN110970357A (zh) 制造半导体元件的方法
US11756995B2 (en) Method of forming a semiconductor device structure having an isolation layer to isolate a conductive feature and a gate electrode layer
TWI790044B (zh) 形成半導體元件結構的方法
TWI807522B (zh) 內連接結構的形成方法
US11756884B2 (en) Interconnection structure and methods of forming the same
US20240030318A1 (en) Semiconductor device structure and methods of forming the same
TWI832307B (zh) 半導體裝置及其形成方法
US20240006482A1 (en) Semiconductor device and manufacturing method thereof
US20230039661A1 (en) Interconnect structure and methods of forming the same
US20220367253A1 (en) Interconnect structure and methods of forming the same
US20230361184A1 (en) Semiconductor device structure and methods of forming the same
US20220352073A1 (en) Interconnect structure and methods of forming the same