TW202307991A - 電子束及散射量測疊對量測的疊對設計 - Google Patents

電子束及散射量測疊對量測的疊對設計 Download PDF

Info

Publication number
TW202307991A
TW202307991A TW111121918A TW111121918A TW202307991A TW 202307991 A TW202307991 A TW 202307991A TW 111121918 A TW111121918 A TW 111121918A TW 111121918 A TW111121918 A TW 111121918A TW 202307991 A TW202307991 A TW 202307991A
Authority
TW
Taiwan
Prior art keywords
periodic structure
axis
arrays
along
gratings
Prior art date
Application number
TW111121918A
Other languages
English (en)
Inventor
塔爾西 伊納 斯蒂利
史帝芬 伊爾倫
馬克 吉納渥克
亞爾 飛勒
依坦 哈賈
厄律齊 普曼
那達夫 古特曼
克里斯 史帝利
瑞維 優哈納
伊拉 納奧特
Original Assignee
美商科磊股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科磊股份有限公司 filed Critical 美商科磊股份有限公司
Publication of TW202307991A publication Critical patent/TW202307991A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4785Standardising light scatter apparatus; Standards therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

經組合電子束疊對及散射量測疊對目標包含具有光柵之第一週期性結構及第二週期性結構。該第二週期性結構中之光柵可定位於該第一週期性結構之該等光柵下方或可定位於該第一週期性結構之該等光柵之間。此等疊對目標可用於半導體製造。

Description

電子束及散射量測疊對量測的疊對設計
本發明係關於半導體裝置中之疊對量測。
半導體製造業之發展對產量管理(且特定言之對計量及檢查系統)提出更高要求。關鍵尺寸繼續縮小,但行業需要縮短用於達成高產量、高價值生產之時間。最小化自偵測一產量問題至解決問題之總時間決定一半導體製造商之投資回報率。
製造半導體裝置(諸如邏輯及記憶體裝置)通常包含使用大量製造過程來處理一半導體晶圓以形成半導體裝置之各種特徵及多級。例如,微影係一種半導體製造過程,其涉及將一圖案自一光罩轉移至配置於一半導體晶圓上之一光阻。半導體製造過程之額外實例包含(但不限於)化學機械拋光(CMP)、蝕刻、沈積及離子注入。可在經分離成個別半導體裝置之一單一半導體晶圓上依一配置製造多個半導體裝置。
一半導體裝置內之結構之相對位置指稱一疊對(OVL)。一晶圓上連續圖案化層之間疊對誤差之量測係積體電路製造中之一過程控制技術。疊對準確度通常與判定一第一圖案化層相對於安置於其上方或下方之一第二圖案化層對準之準確程度及判定一第一圖案相對於安置於相同層上之一第二圖案對準之準確程度相關。
在一半導體製造過程期間之各種步驟處使用計量過程來監測及控制一或多個半導體層過程。一疊對量測通常指定一第一圖案化層相對於安置於其上方或下方之一第二圖案化層對準之準確程度或一第一圖案相對於安置於相同層上之一第二圖案對準之準確程度。疊對誤差係經監測及控制之特性之一者。疊對誤差通常由一疊對目標判定,該疊對目標具有形成於一工件(例如一半導體晶圓)之一或多層上之結構。若適當地形成兩層或圖案,則一層或圖案上之結構趨向於相對於另一層或圖案上之結構對準。若兩層或圖案沒有適當形成,則一層或圖案上之結構趨向於相對於另一層或圖案上之結構偏移或未對準。疊對誤差係在半導體製造過程之不同階段處使用之圖案之任何者之間的未對準。
印刷疊對計量目標以量測兩個或更多個層之間的配準。晶圓上之結構可採用光柵之形式,且此等光柵可為週期性的。若適當地形成兩層或圖案,則一層或圖案上之結構可相對於另一層或圖案上之結構對準。
已開發及採用用於量測疊對之各種技術及過程,並取得不同程度之成功。疊對計量已成為一種有助於實現微影圖案化之技術。疊對量測由各種演算法完成,該等演算法自目標之不對稱提取疊對項。疊對計量目標依使得疊對會在反射信號中引起一不對稱特徵之一方式設計。
用於光學疊對量測之當前方法依賴於兩種主要技術:成像及散射量測。散射量測疊對量測及基於成像之疊對量測歸因於其不同量測方法而使用不同目標設計。在成像中,在光學系統之視域中量測週期性目標之位置且自不同層列印之目標位置推導疊對。散射量測利用印刷在不同層處之週期性疊對標記(具有週期性結構之目標)散射之電磁(EM)波之間的干擾來推斷層之相對位移。在兩種情況下,對散射電磁波之繞射級之振幅及相位之一控制可對疊對量測之準確度及精度提供一影響。
當觀察到疊對誤差時,一疊對量測可用於應用校正並將疊對誤差保持所需限度內。例如,疊對量測可經饋送至計算掃描儀校正以及其他統計資料之一分析常式中,該等統計資料可由一操作員使用以便更佳對準過程中使用之微影工具。在典型製造操作中,半導體製造過程以稱為批次之批次運行。一批次或一晶圓批次經界定為作為一單一群組一起處理之一晶圓數目。習知疊對監測器及控制技術通常採用一組掃描儀校正且對相同批次中之所有晶圓應用相同組。
然而,隨著半導體裝置變得更加複雜,需要經改良目標設計及量測方法。
本發明之一實施例提供一種目標。該目標可包括形成於一半導體裝置之一第一層上之一第一週期性結構。該第一週期性結構中之複數個光柵之各者可具有沿一第一軸線之一第一寬度及沿一第二軸線之一第一高度。該第一週期性結構可具有一第一節距。該目標可進一步包括形成於該半導體裝置之一第二層上之一第二週期性結構。該第二週期性結構中之複數個光柵之各者可具有沿該第一軸線之一第二寬度及沿第二軸線之一第二高度。該第二寬度可窄於該第一寬度。該第二週期性結構可具有小於該第一節距之一第二節距。該第一週期性結構之該等光柵可經定位以與該第二週期性結構之該等光柵重疊。該第一週期性結構及該第二週期性結構可提供電子束疊對量測及散射量測疊對量測。
根據本發明之一實施例,該第一高度可長於該第二高度。該第二週期性結構可經組態成兩個陣列。該第一週期性結構之該等光柵可在該第二週期性結構之該等陣列之各者上方沿該第二軸線延伸。
根據本發明之一實施例,該第二週期性結構可沿該第一軸線延伸超出該第一週期性結構。
根據本發明之一實施例,該第一週期性結構及該第二週期性結構可在一第一陣列中。該目標可進一步包括該第一週期性結構之一第二陣列及該第二週期性結構之一第二陣列。該第二陣列中之該第二週期性結構可在自該第一陣列之方向沿該第二軸線之一方向上延伸超出該第一週期性結構。
根據本發明之一實施例,該目標進一步包括該第一週期性結構之一第三陣列及該第二週期性結構之一第三陣列及一第四陣列。該第一高度可長於該第二高度,且該第三陣列中之該第一週期性結構之該等光柵可在該第二週期性結構之該第三陣列及該第四陣列上方沿該第二軸線延伸。
根據本發明之一實施例,該第一週期性結構及該第二週期性結構之四個陣列可安置成使得該四個陣列之兩者垂直於該四個陣列之另兩者定向。該第二週期性結構可沿該第二軸線延伸超出該第一週期性結構。該等第一週期性結構中之該等光柵之各者可界定沿該第二軸線之一間隙。該第二週期性結構可透過該間隙暴露,且該第一週期性結構沿該第二軸線延伸超出該第二週期性結構。
本發明之另一實施例可提供一種目標。該目標可包括形成於一半導體裝置之一第一層上之一第一週期性結構。該第一週期性結構中之複數個光柵之各者可具有沿一第一軸線之一第一寬度及沿一第二軸線之一第一高度,且該第一週期性結構具有一第一節距。該目標可進一步包括形成於該半導體裝置之一第二層上之一第二週期性結構。該第二週期性結構中之複數個光柵之各者可具有一第二寬度及一第二高度。該第二寬度可等於該第一寬度。該第二週期性結構可具有等於該第一節距之一第二節距,且該第一週期性結構之該等光柵安置於該第二週期性結構之該等光柵之間。該第一週期性結構及該第二週期性結構可提供電子束疊對量測及散射量測疊對量測。
根據本發明之一實施例,該第一週期性結構及該第二週期性結構之四個陣列可經安置成使得該四個陣列之兩者垂直於該四個陣列之另兩者定向。
根據本發明之一實施例,該第二週期性結構可在該等陣列之各者之一側處沿該第二軸線延伸超出該第一週期性結構,且該第一週期性結構可在該等陣列之各者之一相對側處沿該第二軸線延伸超出該第二週期性結構。
根據本發明之一實施例,該等第一週期性結構中之該等光柵之各者可界定沿該第二軸線之一間隙。該第二週期性結構可在該等陣列之各者中沿該第二軸線在該間隙中延伸超出該第一週期性結構。
本發明之另一實施例提供一種方法。該方法可包括提供一目標。該目標可包含形成於一半導體裝置之一第一層上之一第一週期性結構。該第一週期性結構中之複數個光柵之各者可具有沿一第一軸線之一第一寬度及沿一第二軸線之一第一高度,且該第一週期性結構可具有一第一節距。該目標亦可包含形成於該半導體裝置之一第二層上之一第二週期性結構。該第二週期性結構中之複數個光柵之各者可具有一第二寬度及一第二高度。
該方法可進一步包括使用至少一個半導體計量工具對該目標執行電子束疊對量測及散射量測疊對量測。
根據本發明之一實施例,該第二寬度可窄於該第一寬度。該第二週期性結構可具有小於該第一節距之一第二節距,且該第一週期性結構之該等光柵定位於該第二週期性結構之該等光柵上方。
根據本發明之一實施例,該第一高度可長於該第二高度。該第二週期性結構可經組態成兩個陣列,且該第一週期性結構之該等光柵可沿該第二軸線在該第二週期性結構之該等陣列之各者上方延伸。
根據本發明之一實施例,該第一週期性結構及該第二週期性結構可在一第一陣列中。該目標可進一步包括該第一週期性結構之一第二陣列及該第二週期性結構之一第二陣列。該第二陣列中之該第二週期性結構可在自該第一陣列之方向沿該第二軸線之一方向上延伸超出該第一週期性結構。
根據本發明之一實施例,該第二週期性結構可沿該第一軸線及該第二軸線延伸超出該第一週期性結構。
根據本發明之一實施例,該第一週期性結構及該第二週期性結構之四個陣列可經安置成使得該四個陣列之兩者垂直於該四個陣列之另兩者定向。
根據本發明之一實施例,該第二週期性結構可在該等陣列之各者之一側處沿該第二軸線延伸超出該第一週期性結構,且該第一週期性結構可在該等陣列之各者之一相對側處沿該第二軸線延伸超出該第二週期性結構。
根據本發明之一實施例,該等第一週期性結構中之該等光柵之各者可界定沿該第二軸線之一間隙,且該第一週期性結構可在該等陣列之各者中沿該第二軸線延伸超出該第二週期性結構。
根據本發明之一實施例,該第二寬度可等於該第一寬度,且該第二週期性結構可具有等於該第一節距之一第二節距。該第一週期性結構之該等光柵可定位於該第二週期性結構之該等光柵之間。
根據本發明之一實施例,該第一週期性結構及該第二週期性結構之四個陣列可經安置成使得該四個陣列之兩者垂直於該四個陣列之另兩者定向。
根據本發明之一實施例,該第二週期性結構可在該等陣列之各者之一側處沿該第二軸線延伸超出該第一週期性結構,且該第一週期性結構可在該等陣列之各者之一相對側處沿該第二軸線延伸超出該第二週期性結構。
根據本發明之一實施例,該等第一週期性結構中之該等光柵之各者可界定沿該第二軸線之一間隙,且該第二週期性結構可在該等陣列之各者中沿該第二軸線在該間隙中延伸超出該第一週期性結構。
本發明之另一實施例提供一種半導體計量工具。該半導體計量工具可經組態以對本發明之目標之任何者執行該等疊對量測。
相關申請案之交互參考
本發明基於2021年6月28日申請之美國臨時申請案第63/215,551號且主張其優先權,其全部內容以引用的方式併入本文中。
儘管將根據特定實施例來描述所主張標的物,但其他實施例,包含不提供本文中所闡述之所有益處及特徵之實施例,亦在本發明之範疇內。在不脫離本發明之範疇的情況下,可進行各種結構、邏輯、過程步驟及電子改變。因此,本發明之範疇僅藉由參考隨附申請專利範圍來定義。
一般而言,兩個過程層之間的一疊對誤差或相同層上之兩組結構之間的一偏移可使用疊對目標來判定,其中目標結構經設計有其等對稱性特性之間的一已知關係及與此等對稱性之差異對應於此等目標中之疊對誤差。如本文中所使用,一層可係指任何合適材料,諸如一半導體或一光阻層,其為一晶圓裝置或測試結構之製造而產生及圖案化。儘管以下目標實例展示為在兩個(或更多)層上具有用於量測疊對之結構,但各目標可在相同層上包含兩組(或更多)組結構用於判定此結構組之間的一偏移誤差。另外,本發明之實施例可應用於任何類型之週期性目標,且此等目標可形成於一非活動區域(例如劃線)中或在一生產晶圓上之一晶粒之一活動區中(例如,作為一晶粒之一裝置部分之部分)。本文中所描述之技術亦可應用於判定其他類型之特性之目標,諸如臨界尺寸(CD)、CD均勻性(CDU)、邊緣放置誤差(EPE)、圖案保真度等等。
疊對目標通常佔據一積體電路上之一昂貴位置。半導體製造商通常尋求減少此等疊對目標之量測時間及晶圓面積。可節省一晶圓上之空間,可縮短量測時間,且可藉由組合基於成像及基於散射量測之目標來執行兩種技術之結果之比較。因此,在一積體電路之一區域中包含兩個目標並同時量測兩個疊對目標將為半導體製造過程帶來益處。
本文中所揭示之實施例將電子束疊對(eOVL)與散射量測疊對(SCOL)組合。當前,掃描電子顯微鏡(SEM)技術用作光學計量量測之一標尺。本文中所揭示之實施例提供具有用於與光學量測組合之電子束疊對之新目標設計之解決方案。目標架構亦可將基於光學影像之疊對(IBO)及基於繞射之疊對(DBO)目標(如圖5中所展示)與電子束疊對專用目標組合。交替組合目標可實現更密集採樣以提高模型準確度,因為晶圓上之量測樣本之數目增加,同時保持晶圓空間相同。混合目標使用100%可用於疊對計量之空間,其為兩種技術提供一2倍目標增量。
本文中所揭示之目標設計可減少量測移動-獲取-量測(MAM)時間並提高量測準確度,因為感興趣區(ROI)可在小視域(FOV)內含有X及Y資訊內容兩者。例如,在一個ROI中,一個光柵可用於X方向上之資訊,且另一光柵可用於Y方向上之資訊。可沒有必要移動至兩個不同目標,因為所有資訊含於一個目標中。因此,所揭示之目標增加每個區域之資訊內容。
量測可應用於不同目標架構之粗略及精細節距上。目標堆疊材料可在線條與空間特徵之間變化。反向組合用例可使用當前光學目標及一更密集電子束疊對目標採樣。亦可使用四單元散射量測疊對及電子束疊對之一組合設計。
本文中所揭示之實施例可實現散射量測目標軸分離,其在標準四單元設計中每個方向含有兩個單元,每個單元在各層之兩個方向上具有延長條。此可提供不同對準方案層之間的實體分離。可為各層分離在此等目標類型上放置之感興趣區。由於每個方向使用之多個感興趣區目標係條上條及溝槽上條之架構,並帶有誘導疊對。此可用於可量測之散射量測目標(f0),而不管其移位覆蓋範圍如何(例如圖1至圖3)。兩個方向亦可在相同目標內應用,每個軸有延長條(例如圖4至圖8)。
任何設計之基於影像之疊對或基於繞射之疊對可使一電子束疊對目標、電子束疊對中心及光學目標重合。此可消除過程變化效應、放置誤差效應,且可提高模型項之校正能力。歸因於設計規則,電子束疊對目標可放置在盡可能靠近光學目標之位置。為了處理非常小半導體結構,可在微影步驟期間使用特殊照明條件(例如,環形、結構化等等)。此等照明條件可針對特定過程步驟進行最佳化且可僅適用於特定圖案形狀(例如對角線)。一特定過程步驟之允許圖案形狀可由所謂「設計規則」定義。違反設計規則之圖案(例如標準目標設計)可遇到處理問題。能夠設計遵循實際設備圖案之設計規則之目標可為有利的。
可將額外段添加至光學目標以填充由電子束疊對目標放置或條之延長佔據之空間,其可實現更多資訊內容。
圖1係一例示性散射量測疊對目標。疊對目標包含一第一週期性結構101及一第二週期性結構102。為便於說明,此等以不同陰影展示。第一週期性結構101形成於一半導體裝置之一第一層上且具有沿一軸線之一第一節距且第二週期性結構102形成於半導體裝置之一第二層上且具有沿該軸線之不同於第一節距之一第二節距。虛擬化結構可用於填充目標100 (或本發明之其他目標)之空白區域(例如圖1中之白色區域)或在大結構與小結構之間逐漸改變而不干擾目標設計。在圖1中,「先前」指第一層,且「當前」指第二層。「CD」指臨界尺寸,對應於第一週期性結構101及第二週期性結構102之寬度。「節距」描述第一週期性結構101及第二週期性結構102中兩個相鄰結構之間的距離。當適當照明時,第一週期性結構101及第二週期性結構102一起形成一圖案。該等層可彼此相鄰或可由其他層分離。為便於說明,第一週期性結構101及第二週期性結構102經繪示為透視。
對於圖1之視圖之各者,展示在單獨層(例如一第一層及一第二層)中之第一週期性結構101及一第二週期性結構102之對應橫截面側視圖。
圖2係一經組合電子束疊對及散射量測疊對目標200。目標200可為在一個方向上之一經組合電子束疊對及散射量測疊對目標。例如,散射量測使用其中光柵層重疊之區域,而電子束疊對使用其中光柵層分離之區域。目標200包含形成於一半導體裝置之一第一層上之一第一週期性結構101。第一週期性結構101中之複數個光柵之各者具有沿一第一軸線(例如X)之一第一寬度及沿一第二軸線(例如Y)之一第一高度。第一寬度可在1 μm與100 μm之間。第一高度可在10 μm與100 μm之間。取決於特定應用,第一寬度及第一高度可大於或小於以上範圍。第一週期性結構101具有一第一節距。第一節距可在200 nm與1000 nm之間。取決於特定應用,第一節距亦可小於或大於以上範圍。
一第二週期性結構102形成於半導體裝置之一第二層上。第二週期性結構102中之複數個光柵之各者具有沿第一軸線(例如X)之一第二寬度及沿第二軸線(例如Y)之一第二高度。第二週期性結構102之第二寬度窄於第一週期性結構101之第一寬度。第二寬度可在1 μm與100 μm之間。第二高度可在10 μm與100 μm之間。取決於特定應用,第一寬度及第一高度可大於或小於以上範圍。第二週期性結構102具有小於第一週期性結構101之第一節距之一第二節距。第二節距可在200 nm與1000 nm之間。取決於特定應用,第二節距亦可小於或大於以上範圍。第一週期性結構101之光柵定位於第二週期性結構102之光柵上方。
第一週期性結構101及第二週期性結構102相互堆疊且由半導體裝置之第一層及第二層上之相互平行線之陣列形成。該等線沿一軸線(諸如Y軸)對齊配置。精細分割之結構大小、尺寸及角度可取決於半導體製造商、技術節點及加工步驟。注意可在幾奈米至幾微米之結構大小下工作。在對角結構之情況下,15°至30°可常見,但其他角度亦係可行。
目標200可具有在10 μm與100 μm之間的總邊長。例如,總邊長可不大於40 µm。一般而言,目標200之大小可取決於選定光波長及光束大小。較大目標可使量測更容易,但亦可佔用半導體晶圓上之更多面積。減小目標100之大小可降低生產成本並增加晶圓上之可用空間。選擇一目標大小之實體及成本之特定平衡可取決於特定層及/或產品之過程之設計規則。目標200之形狀可為矩形。
在圖2之實施例中,第一週期性結構101之第一高度長於第二週期性結構102之第二高度。第二週期性結構102配置成兩個陣列201、202。第一週期性結構101之光柵沿第二軸線在第二週期性結構102之陣列201、202之各者上方延伸。
第一週期性結構101可具有在10 μm與100 μm之間的一第一高度。第一高度與第二高度之間的差取決於特定應用之設計規則。
目標200可在兩個陣列201、202之端部之間的半導體裝置之第二層中具有一未圖案化區203。
第二層中未圖案化區203上方之第一週期性結構101可容易地偵測第二週期性結構102之端部。此亦可實現目標200之一對稱中心之偵測。
圖2或本文中所描述之其他實施例之第一層及第二層可相互相鄰但並非必須,且可分離範圍自100 nm至超過10 μm之一高度。上層,此處展示為具有第一週期性結構101之第一層,對光子至少部分透明。進一步應瞭解,儘管本文中所揭示之實施例描繪配置於第二週期性結構102上方之第一週期性結構101,但目標可形成有配置於第一週期性結構101上方之第二週期性結構102。第一週期性結構101及第二週期性結構之節距可在光學系統之波長之數量級上以便可由光學器件量測。依此方式,節距之範圍可在400 nm至1000 nm及其外,其取決於特定光學系統。目標之設計進一步取決於晶圓加工之細節,其決定可允許距離、節距、高度等等。
圖3係另一經組合電子束疊對及散射量測疊對目標300。目標300可為在垂直於圖2中所展示之方向之一個方向上之一經組合電子束疊對及散射量測疊對目標。在目標300中,第二週期性結構102之光柵延伸超出第一週期性結構101。第一週期性結構及第二週期性結構兩者配置於兩個陣列301、302中。
第一週期性結構101及第二週期性結構102包含延伸部分,其沿該軸線在相對方向上延伸超出另一週期性結構大致500 nm至2000 nm。第二週期性結構之延伸部分可使得可容易地光學偵測第一週期性結構101及第二週期性結構102之端部,且因此偵測其等對稱中心。目標300之大小及其結構可類似於上文所描述之目標200之彼等。
圖4係另一經組合電子束疊對及散射量測疊對目標400。目標400可為在兩個垂直方向上之一經組合電子束疊對及散射量測疊對目標。第二週期性結構102在四個陣列401至404中。
與目標200及300一樣,第二週期性結構102之光柵在陣列401至404中延伸超出第一週期性結構101。與目標200一樣,目標400可在半導體裝置之第二層中在兩個陣列201、202之端部之間具有一未圖案化區域203。因此,第二週期性結構102沿第一軸線及垂直第二軸線延伸超出第一週期性結構101。
第三陣列403與第四陣列404之間的第一週期性結構101之第一高度長於第三陣列403及第四陣列404中之第二週期性結構102之第二高度。第三陣列403中第一週期性結構101中之光柵沿第二軸線在第二週期性結構102之第三陣列403及第四陣列404上延伸。目標400之大小及其結構可類似於上文所描述之目標200之彼等。
目標400亦包含感興趣區103 (由空心黑色矩形表示)。感興趣區103可與影像處理演算法之設置及所使用之影像處理演算法之類型有關。歸因於平行分割對像差之更高敏感性,可移除外部條處之光柵片段。精細分割上之感興趣區放置可排除極端片段以提高一單一條內之像差準確度。
圖5係另一經組合電子束疊對及散射量測疊對目標500。目標500可為一經組合電子束疊對及散射量測疊對目標。第一週期性結構101及第二週期性結構102有四個陣列501至504。陣列501及503之兩者垂直於另兩個陣列502、504定向。
第二週期性結構102沿第一軸線及垂直第二軸線延伸超出第一週期性結構102。因此,陣列501至504之外邊緣係第二週期性結構102之光柵。第一週期性結構101在陣列501至504之相對側上延伸超出第二週期性結構102,其可不為一外部邊緣。
目標500亦包含感興趣區103。目標500之大小及其結構可類似於上文所描述之目標200之彼等。
圖6係另一經組合電子束疊對及散射量測疊對目標600。目標600可為一經組合電子束疊對及散射量測疊對目標。第一週期性結構101及第二週期性結構102有四個陣列601至604。陣列601及603之兩者垂直於另兩個陣列602、604定向。
第一週期性結構101中之光柵之各者界定沿軸線之一間隙104。第二週期性結構102透過間隙104暴露。第一週期性結構101沿軸線延伸超出第二週期性結構102。
目標600亦包含感興趣區103。目標600之大小及其結構可類似於上文所描述之目標200之彼等。
圖7係在中心具有一感興趣區之一經組合電子束疊對及散射量測疊對目標700。目標700可為一經組合電子束疊對及散射量測疊對目標。目標700包含形成於半導體裝置之一第一層上之一第一週期性結構101。第一週期性結構101中之光柵之各者具有沿一第一軸線(例如X或Y)之一第一寬度及沿垂直於第一軸線之一第二軸線之一第一高度。第一週期性結構101具有一第一節距。
第二週期性結構102形成於半導體裝置之一第二層上。第二週期性結構102中之光柵之各者具有一第二寬度及一第二高度。第二寬度可與第一寬度相同。第二高度可與第一高度相同。第二週期性結構102可具有一第二節距,其可等於第一節距。
第二週期性結構102可包含在第一週期性結構101之一個光柵之寬度內之兩個光柵。此等兩個光柵之間可存在一間隙。包含光柵之間的一間隙可提高目標與晶圓過程參數之相容性。例如,若當前處理步驟需要裝置結構之間隙,則創建模擬此等間隙之目標可為有利的。
第二週期性結構102之光柵安置於第一週期性結構101之光柵之間。
存在第一週期性結構101及第二週期性結構102之四個陣列701至704。陣列701及703之兩者垂直於另兩個陣列702、704定向。
第二週期性結構102沿陣列701至704之各者之一軸線延伸超出第一週期性結構101。第一週期性結構101在陣列之各者之一相對側處沿軸線延伸超出第二週期性結構102。
目標700亦包含感興趣區103。目標700之大小及其結構可類似於上文所描述之目標200之彼等。
圖8係在中心具有一感興趣區之另一經組合電子束疊對及散射量測疊對目標800。目標800可為一經組合電子束疊對及散射量測疊對目標。目標800具有陣列801至804。第一週期性結構101沿陣列801至804之各者之軸線界定一間隙104。第二週期性結構102沿軸線延伸超出第一週期性結構101進入間隙104。
目標800亦包含感興趣區103。目標800之大小及其結構可類似於上文所描述之目標200之彼等。
圖9係一方法900之一流程圖。在方法中,在901處提供一目標。目標可為本文中所揭示之目標之一者。在902處,使用一半導體計量工具來執行疊對量測。半導體計量工具可為利用習知處理演算法之一習知工具,或可為使用不同量測技術之一電子束工具。例如,一個光束點可應用於一光柵。每個光柵收集一單一反射率值。各單元將含有一誘導偏移量以計算疊對。可使用具有不同誘導偏移之至少四個單元。可傳播此方法,直至出現「n」個單元。可掃描一光束點以平均點位置準確度。
圖10係一系統1000之一示意圖。系統1000包含經組態以保持一晶圓1001之一卡盤1002。晶圓1001包含一或多個疊對目標,諸如本文實施例中所描述之彼等。
系統1000包含經組態以量測卡盤1002上之疊對目標之一成像光學系統1003。成像光學系統1003可包含定向成將輻射引導至晶圓1001之一特定位置上之一照明源及定向成偵測來自晶圓1001之一光學信號之一或多個偵測器。光學系統1003中之照明源可產生指向晶圓1001之一照明光束。成像光學系統1003亦可包含各種透鏡、光學組件、其他轉向裝置或其他光束傳輸裝置。照明光束可為一光束或一電子束。
成像光學系統1003可用於採集,使得成像光學系統1003係散射量測之採集序列之部分。
系統1000包含經組態以量測卡盤1002上之疊對目標之一散射量測系統1004。散射量測系統1004可經組態以量測與成像光學系統1003相同之疊對目標。
散射量測系統1004可包含定向成將輻射引導至晶圓1001之一指定位置上之一照明源及定向成偵測已由晶圓1001散射之一散射量測信號之一或多個偵測器。散射量測系統1004中之照明源可產生指向晶圓1001之一照明光束。散射量測系統1004亦可包含各種透鏡或光學組件。散射量測系統1004可在散射量測序列期間使用成像光學系統1003或來自成像光學系統1003之資訊。
由成像光學系統1003及散射量測系統1004對晶圓1001之量測可在晶圓1001保持在卡盤1002上時執行。因此,晶圓1001不在由成像光學系統1003與散射量測系統1004之量測之間移動。在一例項中,晶圓1001周圍之一真空沒有在由成像光學系統1003及散射量測系統1004之量測之間被破壞。在一例項中,由成像光學系統1003及散射量測系統1004之量測之一者發生在另一者之後。在另一例項中,由成像光學系統1003及散射量測系統1004之量測至少部分同時或同時發生。
儘管作為一個系統1000揭示,但成像光學系統1003及散射量測系統1004可在兩個單獨系統中。系統之各者可具有一單獨卡盤。
一處理器1005與一電子資料儲存單元1006、成像光學系統1003及散射量測系統1004電子通信。處理器1005可包含一微處理器、一微控制器或其他裝置。處理器1005可接收來自成像光學系統1003及散射量測系統1004之輸出。
系統1000可包含經組態以利用成像光學系統1003獲取卡盤1002上之疊對目標之一成像光學系統獲取模組1007。光學系統獲取模組1007形成可由成像光學系統1003或散射量測系統1004使用之一獲取影像。儘管繪示為單獨單元,但成像光學系統獲取模組1007可為成像光學系統1003之部分。成像光學系統獲取模組1007亦可為處理器1005之部分。
處理器1005及電子資料儲存單元1006可為系統1000或另一裝置之部分。在一實例中,處理器1005及電子資料儲存單元1006可為一獨立控制單元之部分或在一集中式品質控制單元中。可使用多個處理器1005或電子資料儲存單元1006。在一實施例中,處理器1005可安置於系統1000中。
處理器1005實際上可由硬體、軟體及韌體之任何組合來實施。此外,如本文中所描述之其功能可由一個單元來執行,或在不同組件之間劃分,組件之各者可依次由硬體、軟體及韌體之任何組合來實施。用於處理器1005實施各種方法及功能之程式碼或指令可儲存於可讀儲存媒體中,諸如電子資料儲存單元1006中之一記憶體或其他記憶體。
處理器1005可依任何合適方式(例如,經由一或多種傳輸媒體,其可包含有線及/或無線傳輸媒體)耦合至系統1000之組件,使得處理器1005可接收輸出。處理器1005可經組態以使用輸出來執行數個功能。
本文中所描述之處理器1005、其他系統或其他子系統可為各種系統之部分,包含一個人電腦系統、影像電腦、大型電腦系統、工作站、網路設備、網際網路設備或其他裝置。子系統或系統亦可包含本技術已知之任何合適處理器,諸如一並行處理器。另外,子系統或系統可包含具有高速處理及軟體之一平台,作為一獨立或一聯網工具。
若系統包含一個以上子系統,則不同子系統可彼此耦合,使得可在子系統之間發送影像、資料、資訊、指令等等。例如,一個子系統可由任何合適傳輸媒體耦合至額外子系統,該傳輸媒體可包含本技術已知之任何合適有線及/或無線傳輸媒體。此等子系統之兩者或更多者亦可由一共用電腦可讀儲存媒體(未展示)有效地耦合。
處理器1005可經組態以使用成像光學系統1003及散射量測系統1004之輸出或其他輸出來執行數個功能。例如,處理器1005可經組態以發送晶圓1001之量測結果。在另一實例中,處理器1005可判定目標內之電子束疊對及/或散射量測疊對誤差。在另一實例中,處理器1005可經組態以將輸出發送至一電子資料儲存單元1006或另一儲存媒體。處理器1005可進一步如本文中所描述而組態。
處理器1005可根據本文中所描述之實施例之任何者組態。處理器1005亦可經組態以使用系統1000之輸出或使用來自其他源之影像或資料來執行其他功能或額外步驟。
在另一實施例中,處理器1005可依本技術已知之任何方式通信地耦合至系統1000之各種組件或子系統之任何者。此外,處理器1005可經組態以由包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如來自一檢查系統(諸如一審查工具)、包含設計資料之一遠端資料庫及其類似者之檢查結果)。依此方式,傳輸媒體可用作處理器1005與系統1000之其他子系統或系統1000外部之系統之間的一資料鏈路。
在一些實施例中,系統1000及本文中所揭示之方法之各種步驟、功能及/或操作由以下之一或多者執行:電子電路、邏輯閘、多工器、可程式化邏輯裝置、ASIC、類比或數位控制/開關、微控制器或計算系統。實施諸如本文中所描述之彼等之方法之程式指令可通過載體媒體傳輸或儲存於載體媒體上。載體媒體可包含一儲存媒體,諸如一唯讀記憶體、一隨機存取記憶體、一磁碟或光碟、一非揮發性記憶體、一固態記憶體、一磁帶及其類似者。一載體媒體可包含一傳輸媒體,諸如一有線、電纜或無線傳輸鏈路。例如,貫穿本發明所描述之各種步驟可由一單一處理器1005 (或電腦系統)或替代地由多個處理器1005 (或多個電腦系統)來執行。此外,系統1000之不同子系統可包含一或多個計算或邏輯系統。因此,以上描述不應被解釋為對本發明之一限制,而僅僅係一圖解說明。
如本文中所揭示,一額外實施例係關於儲存在用於檢查一晶圓之一處理器上可執行之程式指令之非暫時性電腦可讀媒體。特定言之,一處理器(諸如處理器1005)可通過包含可執行程式指令之非暫時性電腦可讀媒體耦合至一電子資料儲存媒體(諸如電子資料儲存單元1006)中之一記憶體。電腦實施方法可包含本文中所描述之任何方法之任何步驟。例如,處理器1005可經程式化以執行圖9之步驟之一些或所有。電子資料儲存單元1006中之記憶體可為一儲存媒體,諸如一磁碟或光碟、一磁帶或本技術已知之任何其他合適非暫時性電腦可讀媒體。
程式指令可依各種方式之任何者來實施,包含基於過程之技術、基於組件之技術及/或物件導向技術等。例如,可根據需要使用ActiveX控制項、C++物件、JavaBean、Microsoft基礎類(MFC)、流式SIMD擴展(SSE)或其他技術或方法來實施程式指令。
在另一實施例中,可使用設計本文中所揭示之目標之一方法。方法可用一處理器來執行,諸如其中所描述之彼等。可最佳化本文中所揭示之目標之第一週期性結構及第二週期性結構之光柵。
儘管在本文中所繪示之實施例中展示特定組態,但包含此等實施例之所有或一些特徵之變化係可行的。
儘管已針對一或多個特定實施例描述本發明,但應當理解,在不脫離本發明之範疇之情況下,可進行本發明之其他實施例。因此,認為本發明僅受所附申請專利範圍及其合理解釋之限制。
101:第一週期性結構 102:第二週期性結構 103:感興趣區 104:間隙 200:電子束疊對及散射量測疊對目標 201:陣列 202:陣列 203:未圖案化區 300:電子束疊對及散射量測疊對目標 301:陣列 302:陣列 400:電子束疊對及散射量測疊對目標 401:陣列 402:陣列 403:第三陣列 404:第四陣列 500:電子束疊對及散射量測疊對目標 501:陣列 502:陣列 503:陣列 504:陣列 600:電子束疊對及散射量測疊對目標 601:陣列 602:陣列 603:陣列 604:陣列 700:電子束疊對及散射量測疊對目標 701:陣列 702:陣列 703:陣列 704:陣列 800:電子束疊對及散射量測疊對目標 801:陣列 802:陣列 803:陣列 804:陣列 900:方法 901:提供一目標 902:使用一半導體計量工具來執行疊對量測 1000:系統 1001:晶圓 1002:卡盤 1003:成像光學系統 1004:散射量測系統 1005:處理器 1006:電子資料儲存單元 1007:成像光學系統獲取模組
為了更全面理解本發明之性質及目的,應參考以下結合附圖之詳細描述,其中: 圖1係一例示性散射量測疊對目標; 圖2係一經組合電子束疊對及散射量測疊對目標; 圖3係另一經組合電子束疊對及散射量測疊對目標; 圖4係另一經組合電子束疊對及散射量測疊對目標; 圖5係另一經組合電子束疊對及散射量測疊對目標; 圖6係另一經組合電子束疊對及散射量測疊對目標; 圖7係在中心具有一感興趣區之一經組合電子束疊對及散射量測疊對目標; 圖8係在中心具有一感興趣區之另一經組合電子束疊對及散射量測疊對目標; 圖9係根據本發明之一方法之一流程圖;及 圖10係根據本發明之一系統之一圖。
101:第一週期性結構
102:第二週期性結構
200:電子束疊對及散射量測疊對目標
201:陣列
202:陣列
203:未圖案化區

Claims (20)

  1. 一種目標,其包括: 一第一週期性結構,其形成於一半導體裝置之一第一層上,其中該第一週期性結構中之複數個光柵之各者具有沿一第一軸線之一第一寬度及沿一第二軸線之一第一高度,其中該第一週期性結構具有一第一節距;及 一第二週期性結構,其形成於該半導體裝置之一第二層上,其中該第二週期性結構中之複數個光柵之各者具有沿該第一軸線之一第二寬度及沿該第二軸線之一第二高度,其中該第二寬度窄於該第一寬度,其中該第二週期性結構具有小於該第一節距之一第二節距,且其中該第一週期性結構之該等光柵經定位以與該第二週期性結構之該等光柵重疊; 其中該第一週期性結構及該第二週期性結構提供電子束疊對量測及散射量測疊對量測。
  2. 如請求項1之目標,其中該第一高度長於該第二高度,其中該第二週期性結構經組態成兩個陣列,且其中該第一週期性結構之該等光柵在該第二週期性結構之該等陣列之各者上方沿該第二軸線延伸。
  3. 如請求項1之目標,其中該第二週期性結構沿該第一軸線延伸超出該第一週期性結構。
  4. 如請求項3之目標,其中該第一週期性結構及該第二週期性結構在一第一陣列中,進一步包括該第一週期性結構之一第二陣列及該第二週期性結構之一第二陣列,其中該第二陣列中之該第二週期性結構在自該第一陣列之方向沿該第二軸線之一方向上延伸超出該第一週期性結構。
  5. 如請求項4之目標,其進一步包括該第一週期性結構之一第三陣列及該第二週期性結構之一第三陣列及一第四陣列,其中該第一高度長於該第二高度,且其中該第三陣列中之該第一週期性結構之該等光柵在該第二週期性結構之該第三陣列及該第四陣列上方沿該第二軸線延伸。
  6. 如請求項1之目標,其中該第一週期性結構及該第二週期性結構之四個陣列安置成使得該四個陣列之兩者垂直於該四個陣列之另兩者定向。
  7. 如請求項6之目標,其中該第二週期性結構沿該第二軸線延伸超出該第一週期性結構。
  8. 如請求項6之目標,其中該等第一週期性結構中之該等光柵之各者界定沿該第二軸線之一間隙,其中該第二週期性結構透過該間隙暴露,且其中該第一週期性結構沿該第二軸線延伸超出該第二週期性結構。
  9. 一種目標,其包括: 一第一週期性結構,其形成於一半導體裝置之一第一層上,其中該第一週期性結構中之複數個光柵之各者具有沿一第一軸線之一第一寬度及沿一第二軸線之一第一高度,其中該第一週期性結構具有一第一節距;及 一第二週期性結構,其形成於該半導體裝置之一第二層上,其中該第二週期性結構中之複數個光柵之各者具有一第二寬度及一第二高度,其中該第二寬度等於該第一寬度,其中該第二週期性結構具有等於該第一節距之一第二節距,且其中該第一週期性結構之該等光柵安置於該第二週期性結構之該等光柵之間; 其中該第一週期性結構及該第二週期性結構提供電子束疊對量測及散射量測疊對量測。
  10. 如請求項9之目標,其中該第一週期性結構及該第二週期性結構之四個陣列經安置成使得該四個陣列之兩者垂直於該四個陣列之另兩者定向。
  11. 如請求項10之目標,其中該第二週期性結構在該等陣列之各者之一側處沿該第二軸線延伸超出該第一週期性結構,且其中該第一週期性結構在該等陣列之各者之一相對側處沿該第二軸線延伸超出該第二週期性結構。
  12. 如請求項10之目標,其中該等第一週期性結構中之該等光柵之各者界定沿該第二軸線之一間隙,且其中該第二週期性結構在該等陣列之各者中沿該第二軸線在該間隙中延伸超出該第一週期性結構。
  13. 一種方法,其包括: 提供一目標,其包含: 一第一週期性結構,其形成於一半導體裝置之一第一層上,其中該第一週期性結構中之複數個光柵之各者具有沿一第一軸線之一第一寬度及沿一第二軸線之一第一高度,其中該第一週期性結構具有一第一節距;及 一第二週期性結構,其形成於該半導體裝置之一第二層上,其中該第二週期性結構中之複數個光柵之各者具有一第二寬度及一第二高度;及 使用至少一個半導體計量工具對該目標執行電子束疊對量測及散射量測疊對量測。
  14. 如請求項13之方法,其中該第二寬度窄於該第一寬度,其中該第二週期性結構具有小於該第一節距之一第二節距,且其中該第一週期性結構之該等光柵定位於該第二週期性結構之該等光柵上方。
  15. 如請求項14之方法,其中該第一高度長於該第二高度,其中該第二週期性結構經組態成兩個陣列,且其中該第一週期性結構之該等光柵沿該第二軸線在該第二週期性結構之該等陣列之各者上方延伸。
  16. 如請求項14之方法,其中該第一週期性結構及該第二週期性結構在一第一陣列中,進一步包括該第一週期性結構之一第二陣列及該第二週期性結構之一第二陣列,其中該第二陣列中之該第二週期性結構在自該第一陣列之方向沿該第二軸線之一方向上延伸超出該第一週期性結構。
  17. 如請求項14之方法,其中該第一週期性結構及該第二週期性結構之四個陣列經安置成使得該四個陣列之兩者垂直於該四個陣列之另兩者定向,且其中以下之一者: 該第二週期性結構在該等陣列之各者之一側處沿該第二軸線延伸超出該第一週期性結構,且其中該第一週期性結構在該等陣列之各者之一相對側處沿該第二軸線延伸超出該第二週期性結構;或 其中該等第一週期性結構中之該等光柵之各者界定沿該第二軸線之一間隙,且其中該第一週期性結構在該等陣列之各者中沿該第二軸線延伸超出該第二週期性結構。
  18. 如請求項13之方法,其中該第二寬度等於該第一寬度,其中該第二週期性結構具有等於該第一節距之一第二節距,且其中該第一週期性結構之該等光柵定位於該第二週期性結構之該等光柵之間。
  19. 如請求項18之方法,其中該第一週期性結構及該第二週期性結構之四個陣列經安置成使得該四個陣列之兩者垂直於該四個陣列之另兩者定向,且其中以下之一者: 該第二週期性結構在該等陣列之各者之一側處沿該第二軸線延伸超出該第一週期性結構,且其中該第一週期性結構在該等陣列之各者之一相對側處沿該第二軸線延伸超出該第二週期性結構;或 該等第一週期性結構中之該等光柵之各者界定沿該第二軸線之一間隙,且其中該第二週期性結構在該等陣列之各者中沿該第二軸線在該間隙中延伸超出該第一週期性結構。
  20. 一種半導體計量工具,其經組態以對如請求項13之方法之目標執行疊對量測。
TW111121918A 2021-06-28 2022-06-14 電子束及散射量測疊對量測的疊對設計 TW202307991A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163215551P 2021-06-28 2021-06-28
US63/215,551 2021-06-28
US17/487,725 2021-09-28
US17/487,725 US11720031B2 (en) 2021-06-28 2021-09-28 Overlay design for electron beam and scatterometry overlay measurements

Publications (1)

Publication Number Publication Date
TW202307991A true TW202307991A (zh) 2023-02-16

Family

ID=84540910

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111121918A TW202307991A (zh) 2021-06-28 2022-06-14 電子束及散射量測疊對量測的疊對設計

Country Status (4)

Country Link
US (1) US11720031B2 (zh)
KR (1) KR20240025501A (zh)
TW (1) TW202307991A (zh)
WO (1) WO2023278142A1 (zh)

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
DE10307373A1 (de) 2003-02-21 2004-09-09 Leica Microsystems Semiconductor Gmbh Verfahren und Vorrichtung zur Untersuchung von Halbleiterwafern unter Berücksichtigung des Die-/SAW-Designs
DE10307454B4 (de) 2003-02-21 2010-10-28 Vistec Semiconductor Systems Gmbh Verfahren zur optischen Inspektion eines Halbleitersubstrats
DE10307358B3 (de) 2003-02-21 2004-10-07 Leica Microsystems Semiconductor Gmbh Verfahren und Vorrichtung zum Scannen eines Halbleiter-Wafers
DE10331593A1 (de) 2003-07-11 2005-02-03 Leica Microsystems Semiconductor Gmbh Verfahren zur Defektsegmentierung in Strukturen auf Halbleitersubstraten
DE10331686A1 (de) 2003-07-14 2005-02-17 Leica Microsystems Semiconductor Gmbh Verfahren zur Bewertung von aufgenommenen Bildern von Wafern
DE10359722A1 (de) 2003-12-19 2005-07-14 Leica Microsystems Semiconductor Gmbh Verfahren zur Inspektion eines Wafers
DE102004055250A1 (de) 2004-11-16 2006-05-18 Leica Microsystems Semiconductor Gmbh Verfahren zur Inspektion eines Wafers
DE102005011237B3 (de) 2005-03-11 2006-08-03 Leica Microsystems Semiconductor Gmbh Verfahren zur Bestimmung von Defekten in Bildern
DE102005014594A1 (de) 2005-03-31 2006-10-05 Leica Microsystems Semiconductor Gmbh Verfahren zur Erkennung unvollständiger Randentlackung eines scheibenförmigen Objekts
DE102005014595A1 (de) 2005-03-31 2006-10-05 Leica Microsystems Semiconductor Gmbh Verfahren zur visuellen Inspektion einer Randentlackungskante eines scheibenförmigen Objekts
DE102006042956B4 (de) 2006-04-07 2009-10-01 Vistec Semiconductor Systems Gmbh Verfahren zur optischen Inspektion und Visualisierung der von scheibenförmigen Objekten gewonnenen optischen Messwerte
DE102007016922A1 (de) 2007-04-05 2008-10-09 Vistec Semiconductor Systems Gmbh Verfahren zur Detektion von Defekten auf der Rückseite eines Halbleiterwafers
DE102007039982B3 (de) 2007-08-23 2009-02-12 Vistec Semiconductor Systems Gmbh Verfahren zur optischen Inspektion und Visualisierung der von scheibenförmigen Objekten gewonnenen optischen Messerwerte
DE102007042271B3 (de) 2007-09-06 2009-02-05 Vistec Semiconductor Systems Gmbh Verfahren zur Bestimmung der Lage der Entlackungskante eines scheibenförmigen Objekts
DE102007060355A1 (de) 2007-12-12 2009-06-25 Vistec Semiconductor Systems Gmbh Verfahren und Vorrichtung zur Verarbeitung der von mindestens einer Kamera aufgenommenen Bilddaten der Oberfläche eines Wafers
DE102007047933B3 (de) 2007-12-20 2009-02-26 Vistec Semiconductor Systems Gmbh Verfahren zur Inspektion von einer Oberfläche eines Wafers mit Bereichen unterschiedlicher Detektionsempfindlichkeit
DE102010061505B4 (de) 2010-12-22 2012-10-31 Kla-Tencor Mie Gmbh Verfahren zur Inspektion und Detektion von Defekten auf Oberflächen von scheibenförmigen Objekten
US8980651B2 (en) * 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
CN104216234B (zh) 2013-06-05 2016-05-25 中芯国际集成电路制造(上海)有限公司 光刻系统光源对称性的检测方法
WO2014205274A1 (en) 2013-06-19 2014-12-24 Kla-Tencor Corporation Hybrid imaging and scatterometry targets
US10002806B2 (en) 2014-02-12 2018-06-19 Kla-Tencor Corporation Metrology targets with filling elements that reduce inaccuracies and maintain contrast
US20150255296A1 (en) 2014-03-10 2015-09-10 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device and photomask
KR102199324B1 (ko) 2014-06-21 2021-01-07 케이엘에이 코포레이션 복합 이미징 계측 타겟들
JP7179742B2 (ja) 2017-02-10 2022-11-29 ケーエルエー コーポレイション 散乱計測オーバーレイターゲット及び方法
US11112369B2 (en) 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US10705435B2 (en) * 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
JP7308284B2 (ja) * 2019-03-25 2023-07-13 ケーエルエー コーポレイション 改善された計量用自己モアレ格子デザイン
WO2021054928A1 (en) 2019-09-16 2021-03-25 Kla Corporation Periodic semiconductor device misregistration metrology system and method
US11809090B2 (en) 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target

Also Published As

Publication number Publication date
WO2023278142A1 (en) 2023-01-05
US20220413394A1 (en) 2022-12-29
US11720031B2 (en) 2023-08-08
KR20240025501A (ko) 2024-02-27

Similar Documents

Publication Publication Date Title
US10481506B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
TWI493296B (zh) 檢查方法和裝置、微影裝置、微影製程單元及元件製造方法
US11385552B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
KR102438502B1 (ko) 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
TW201716883A (zh) 檢查方法、微影裝置、光罩及基板
JP2008311645A (ja) インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
KR102388682B1 (ko) 계측 방법 및 디바이스
US10474043B2 (en) Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
TWI734284B (zh) 用於判定微影製程之效能參數之目標
TWI672569B (zh) 監測來自度量衡裝置之照明特性的方法
US10831107B2 (en) Method for of measuring a parameter relating to a structure formed using a lithographic process
US10678145B2 (en) Radiation receiving system
TW202307991A (zh) 電子束及散射量測疊對量測的疊對設計
TW202303661A (zh) 用於電子束疊對之疊對記號設計
US11862524B2 (en) Overlay mark design for electron beam overlay
US20240118606A1 (en) Improved targets for diffraction-based overlay error metrology
CN117980827A (zh) 使用分开目标的拼接误差的测量
TW202232247A (zh) 包括目標配置之基板、及相關聯之至少一圖案化裝置、微影方法及度量衡方法