TW202303681A - 沉積系統及沉積方法 - Google Patents

沉積系統及沉積方法 Download PDF

Info

Publication number
TW202303681A
TW202303681A TW111104440A TW111104440A TW202303681A TW 202303681 A TW202303681 A TW 202303681A TW 111104440 A TW111104440 A TW 111104440A TW 111104440 A TW111104440 A TW 111104440A TW 202303681 A TW202303681 A TW 202303681A
Authority
TW
Taiwan
Prior art keywords
deposition
recipe
value
substrate processing
processing process
Prior art date
Application number
TW111104440A
Other languages
English (en)
Inventor
康榮太
竹永裕一
李柱炯
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202303681A publication Critical patent/TW202303681A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

沉積系統包括配置以於基材上沉積膜的沉積設備、以及控制裝置。控制裝置包括配置以儲存配方的配方儲存單元、以及配置以藉由使用關於沉積設備之日誌資訊來計算控制目標偏離目標值之變化量之預測值的處理器,配方定義由沉積設備執行之基材處理製程的程序,控制目標指示在基材處理製程中包括的沉積步驟中所沉積之膜的膜厚度或膜品質,從當基於配方的基材處理製程開始時收集日誌資訊,並基於預測值更新配方以便於沉積步驟之前將控制目標的值改變以接近目標值。

Description

沉積系統及沉積方法
本揭示內容係關於沉積系統及沉積方法。
按例而言,已知於例如半導體晶圓之基材上沉積所需膜的沉積設備。此外,在習知的沉積設備中,已知藉由使用利用處理模組(例如,專利文獻1)計算的最佳基材處理條件來沉積膜。 [相關技藝文獻] [專利文獻]
[專利文獻1]日本公開專利申請公開案第2017-168728號。
本揭示內容提供改善沉積結果之再現性的技術。
依據本揭示內容的一實施態樣,沉積系統包括配置以於基材上沉積膜的沉積設備、以及控制裝置。控制裝置包括配置以儲存配方的配方儲存單元、以及配置以藉由使用關於沉積設備之日誌資訊來計算控制目標偏離目標值之變化量之預測值的處理器,配方定義由沉積設備執行之基材處理製程的程序,控制目標指示在基材處理製程包括的沉積步驟中所沉積之膜的膜厚度或膜品質,從當基於配方的基材處理製程開始時收集日誌資訊,並基於預測值更新配方以便於沉積步驟之前致使控制目標的值接近目標值。
依據本揭示內容,可改善沉積結果的再現性。
以下將參考圖式描述實施例。圖1為用於說明依據本案實施例之沉積系統的圖式。
依據本案實施例的沉積系統1包括控制裝置100及沉積設備200。在沉積系統1中,藉由適當的通訊方式連接控制裝置100及沉積設備200。
在依據本案實施例的沉積系統1中,控制裝置100為控制沉積設備200之操作的電腦。依據由控制裝置100所執行的控制,沉積設備200於例如半導體晶圓的基材上沉積具有所需膜厚度及膜品質的膜。
也就是說,控制裝置100控制沉積設備200以控制待沉積之膜的膜厚度及膜品質。在以下的說明內容中,可將待沉積之膜的膜厚度及膜品質表示為控制目標。例如,膜厚度可為指示在中心處之膜之厚度的值或可為平均膜厚度。膜品質可包括膜的折射率(RI)、膜密度、摻雜量等等。
在以下內容中,將描述依據本案實施例之沉積設備200的配置概要。依據本案實施例的沉積設備200包括具有縱向方向為垂直方向而大致上為圓柱狀的處理腔室4。處理腔室4具有雙管配置,雙管配置包括圓柱狀內筒6以及具有天花板且同心地位於內筒6之外部的外筒8。內筒6及外筒8係由例如石英的耐火材料形成。
在內筒6及外筒8的下端使用由不銹鋼等等所形成的歧管10保持內筒6及外筒8。歧管10例如係固定至未加以闡述的底板。在此,歧管10與內筒6及外筒8共同形成大致上為圓柱狀的內部空間,並且形成處理腔室4的一部分。
也就是說,處理腔室4例如包括由諸如石英的耐火材料形成的內筒6及外筒8、以及由不銹鋼等等所形成的歧管10,且歧管10係提供於處理腔室4之側面的下部以便從下方保持內筒6及外筒8。
歧管10包括在處理腔室4中引導諸多氣體的氣體引導段20,諸多氣體例如為在沉積步驟中使用的沉積氣體、例如用於蝕刻步驟之蝕刻氣體的處理氣體、在淨化步驟中使用的淨化氣體等等。在此,圖1闡述於其中提供一個氣體引導段20的配置,但該配置並不限於此。可取決於所使用氣體之型式等等而提供多個氣體引導段20。
沉積氣體的型式並無特別限制,並且依據待沉積之膜的型式等等而適當地選擇沉積氣體。例如,當在晶圓W上沉積多晶矽膜時,可使用例如含有甲矽烷(SiH 4)的氣體作為沉積氣體。
蝕刻氣體的型式並無特別限制,並且依據待蝕刻之沉積材料的型式等等而適當地選擇蝕刻氣體。淨化氣體的型式並無特別限制,且舉例而言可使用例如氮(N 2)氣的惰性氣體。
用於將諸多氣體導入處理腔室4中的引導管22係連接至氣體引導段20。在此,例如質量流量控制器的流速調整器24穿插在引導管22中,流速調整器24調整氣流速率及閥(未加以闡述)。
此外,歧管10包括將處理腔室4之內部排氣的排氣部30。包括真空泵32的排氣管36、可變開啟程度閥34等等連接至排氣部30,真空泵32係配置以對處理腔室4的內部執行減壓控制。
在歧管10的下端處形成爐埠40,且在爐埠40處例如提供具有圓盤狀且由不銹鋼形成的蓋42。舉例而言,蓋42係提供以使得藉由升降機構44可上升及下降蓋42,升降機構44係用以作為舟升降機,並配置以緊密地密封爐埠40。
例如,將石英形成的熱絕緣圓筒46安裝於蓋42上。舉例而言,在熱絕緣圓筒46上以預定間隔水平地放置持有例如約50至175個晶圓(基材)W而由石英形成的晶舟48。
藉由使用升降機構44上升蓋42而將晶舟48裝載進入(運入)處理腔室4,並於晶舟中持有的晶圓W上執行諸多基材處理。在執行諸多基材處理之後,藉由使用升降機構44下降蓋42而將晶舟48從處理腔室4卸載(運出)至下方裝載區域。
在本案實施例中,從其中將晶舟48裝載進入(運入)處理腔室4的裝載步驟至其中將晶舟48從處理腔室4卸載(運出)至下方裝載區域的卸載步驟的處理稱為基材處理製程。
也就是說,依據本案實施例的基材處理製程包括裝載步驟(運入步驟)、卸載步驟(運出步驟)、以及在裝載步驟和卸載步驟之間執行的多個步驟。在裝載步驟和卸載步驟之間執行的多個步驟包括沉積步驟。
在處理腔室4的外圍,例如提供可控制處理腔室4的加熱至預定溫度的圓柱狀加熱器60。
加熱器60分為多個區,並且在垂直方向上從上側至下側提供加熱器60a至60f。加熱器60a至60f的每一者係配置以藉由使用功率控制器62a至62f個別地控制熱產生的量。此外,在內筒6的內牆及/或外筒8的外牆上,提供對應於加熱器60a至60f的溫度感測器65a至65f。
安置於晶舟48上的多個晶圓W形成一批量,並以批量為單位執行諸多型式的基材處理。安置於晶舟48上的至少一或更多的晶圓W較佳為受監控的晶圓。此外,該些受監控的晶圓較佳地係對應各別的加熱器60a至60f配置。
依據本案實施例的沉積系統1例如包括監控由沉積系統1執行之沉積之環境的一組監控感測器。該組監控感測器例如包括圖1中闡述的感測器63及64。在以下說明內容中,含有該組監控感測器之各別輸出值的資訊可稱為環境資訊。感測器63及64的值為不能被控制或者不被沉積設備200控制之環境資訊的範例。
在沉積系統1中,準備一環境而使得該組監控感測器的各別輸出值在執行基材處理製程之前成為預定值。因而,當執行基材處理製程時,不調整該組監控感測器的各別輸出值。換言之,當執行基材處理製程時,不調整環境資訊。
接著,將參考圖2描述依據本案實施例之沉積系統1的操作概要。圖2為用於說明沉積系統之操作概要的圖式。
在依據本案實施例的沉積系統1中,控制裝置100在執行基材處理製程時基於環境資訊預測控制目標偏離目標值的變化量,並控制沉積設備200以便藉由利用預測結果致使控制目標的值接近目標值。
因此,在本案實施例中,即使當執行基材處理製程之時而環境資訊改變時,仍可抑制環境資訊之改變對沉積結果的影響,並可改善沉積結果的再現性。
其中環境資訊改變的案例為,例如,其中打開處理腔室4以執行沉積設備200之維護等等的案例。
具體而言,控制裝置100包括膜厚度變化預測模型M1(此後為預測模型M1)以及控制模型M2。
控制裝置100響應輸入至預測模型M1之關於沉積設備200的日誌資訊而預測起因於環境資訊之改變的控制目標偏離目標值的變化量並輸出預測值,環境資訊係包含在日誌資訊中。也就是說,本案實施例之預測模型M1係用於預測起因於環境資訊之影響的控制目標偏離目標值的變化量並用於輸出預測值,當執行基材處理製程時不能調整(控制)或不調整(控制)環境資訊。然而,預測模型M1亦可用於預測由當執行基材處理製程時可被調整之環境資訊之影響而導致的控制目標偏離目標值的變化量並用於輸出預測值。
控制裝置100使用控制模型M2以基於從預測模型M1輸出的預測值導出致使控制目標接近目標值的最佳沉積條件。沉積條件為在定義基材處理製程之程序的配方中所定義的條件,並且當執行基材處理製程時被調整。也就是說,控制模型M2係用以調整當執行基材處理製程時可被調整的沉積條件。
將描述依據本案實施例的日誌資訊。
依據本案實施例的日誌資訊為指示沉積設備200之狀態的資訊,只要沉積設備200係在操作中便可連續地收集日誌資訊。具體而言,在諸多步驟中獲取日誌資訊,例如裝載步驟、沉積步驟、及卸載步驟,且日誌資訊包括配置在沉積設備200中的諸多感測器之群組的輸出值,並且包括該組監控感測器的各別輸出值。也就是說,日誌資訊包括環境資訊。
在以下說明內容中,從沉積設備200偵測到的偵測項目可稱為參數,而諸多感測器的輸出值可稱為參數值。參數亦包括在配方中不能被調整之環境資訊的項目,且參數值包括獲取環境資訊之諸多感測器的輸出值。因而,日誌資訊包括數量等同於感測器之群組數量的參數之組合、以及參數值。
參數之組合以及參數之值的具體範例例如包括「基材之溫度」、「溫度感測器之輸出值」等等。
此外,參數之組合以及參數之值的具體範例例如包括「裝載區域中的壓力」及「壓力感測器值」、「氣體供應量」及「流量計值」、以及「氣體供應時間」及「用以計時從供應開始到停止時間之持續時間的計時器值」。再者,參數之組合以及參數之值的具體範例可包括「裝載區域中露點的溫度」及「用於露點偵測之溫度感測器的輸出值」。
在以下內容中,將描述依據本案實施例之沉積系統1中的基材處理製程。
控制裝置100開始執行定義基材處理製程之程序的配方(步驟S1)。從執行配方開始,控制裝置100收集關於沉積設備200的日誌資訊,並於藉由使用預測模型M1執行預測的時間將所收集的日誌資訊輸入預測模型M1中(步驟S2)。以下將詳細描述藉由使用預測模型M1執行預測的時間。從執行配方開始收集的日誌資訊係在當前執行配方一旦開始時或在當前執行配方開始後立即就收集的日誌資訊,而不包括從先前執行配方開始收集的日誌資訊。
預測模型M1藉由使用日誌資訊預測控制目標偏離目標值的變化量(步驟S3)並輸出預測值(步驟S4)。換言之,預測模型M1預測起因於包含在日誌資訊中之環境資訊改變的控制目標偏離目標值的變化量。
當控制裝置100獲得預測值時,控制裝置100將預測值輸入控制模型M2,並藉由使用控制模型M2導出其中控制目標之值最接近目標值(即,最相近地)的最佳沉積條件(步驟S5)。接著,控制裝置100獲得從控制模型M2導出的最佳沉積條件(步驟S6)並將在配方中定義的沉積條件更新為在步驟S5中導出的沉積條件(步驟S7)。
接著,控制裝置100致使沉積設備200基於更新後的配方執行沉積步驟(步驟S8)、完成沉積(步驟S9)、並結束執行配方(步驟S10)。
如以上所述,依據本案實施例的控制裝置100藉由使用每次執行基材處理製程之執行配方開始時收集的日誌資訊來預測控制目標之變化量、基於預測結果導出最佳沉積條件、並更新配方。然後控制裝置100依據更新後的配方沉積膜。
因此,依據本案實施例,當在相同條件下執行基材處理製程時,對於每一基材處理製程可改良沉積結果的再現性。
接著,將參考圖3和圖4描述依據本案實施例的控制裝置100。圖3為闡述控制裝置之硬體配置之範例的圖式。
控制裝置包括中央處理單元(CPU)101、唯讀記憶體(ROM)102、隨機存取記憶體(RAM)103、輸入輸出(I/O)埠104、操作面板105、及硬磁碟驅動機(HDD)106,以上每一者藉由匯流排連接。
CPU101基於儲存在例如HDD106之儲存裝置中的模型、配方等等控制控制裝置100的操作。
ROM102係使用電子可抹除可程式化ROM(EEPROM)、快閃記憶體、硬碟等等加以配置,並且為儲存CPU101的操作程式等等的儲存媒體。
RAM103乃用作為CPU101等等的工作區。
I/O埠104從沉積設備200獲得偵測溫度、壓力、氣流速率等等之感測器的輸出值並將所獲得的輸出值提供至CPU101。此外,I/O埠104將由CPU101輸出的控制信號輸出至沉積設備200的諸多部分(功率控制器62、可變開啟程度閥34的控制器(未闡述)、流速調整器24等等)。此外,由操作者使用以操作沉積設備200的操作面板105係連接至I/O埠104。
HDD106係輔助儲存裝置並可儲存其為定義基材處理製程之程序之資訊的配方、實現稍後描述的控制裝置100之功能的程式、包括上述預測模型M1及控制模型M2的諸多模型等等。
接著,將參考圖4描述依據本案實施例之控制裝置100的功能。圖4為用於控制裝置之功能的圖式。
依據本案實施例的控制裝置100包括配方儲存單元110、配方讀取單元120、設備控制器130、步驟判定單元140、日誌資訊獲取單元150、預測單元160、控制器170、配方更新單元180、及預測模型更新單元190。
配方111係儲存在配方儲存單元110中。配方111係定義基材處理製程之程序的資訊。具體而言,配方111定義從晶圓W移入沉積設備200時至處理後的晶圓W從沉積設備200移除時的溫度變化、壓力變化、諸多氣體之供應的開始及停止時間、諸多氣體的供應量等等。
此外,在配方111中定義的基材處理製程包括多個步驟。在配方111中,為包括在基材處理製程中的每一步驟定義一程序、以及當沉積設備200執行該程序時的條件。包括在基材處理製程中的步驟包括氣體供應步驟、裝載步驟、沉積步驟、及卸載步驟。沉積設備200的條件包括沉積條件,沉積條件為在基材處理製程所包括之沉積步驟中的沉積設備200的條件。
包括在配方111中的沉積設備200之條件例如為定義基材處理製程期間待調整之參數之目標值以及基材處理製程期間待調整之對象及控制內容之組合的條件,以調整參數的值。例如,在配方111中為處理腔室4中的溫度、壓力、氣流速率、處理時間等等的每一項目設定目標值(控制值)作為沉積設備200的條件。
在以下說明內容中,於基材處理製程期間待調整的對象可稱為控制鈕(control knob)。在本案實施例中,於基材處理製程期間待調整之參數的值係具有控制鈕之參數的值並可藉由控制鈕而被調整。在本案實施例中,在基材處理製程期間基於控制模型M2計算參數之控制鈕的調整量。
沉積設備200之條件的範例為例如藉由溫度感測器65a至65f偵測的晶圓W之溫度的目標值以及加熱器60a至60f之輸出值的組合。
在此案例中,藉由溫度感測器65a至65f偵測的偵測項目對應於參數,且藉由溫度感測器65a至65f偵測的溫度對應於參數的值。此外,加熱器60a至60f對應於控制鈕,且加熱器60a至60f的輸出值對應於控制鈕的控制內容。
再者,沉積設備200之條件的範例包括以下組合:藉由壓力感測器偵測的處理腔室4中的壓力;以及真空泵32之旋轉速度和可變開啟程度閥34之開啟程度,及以下組合:藉由流量計偵測的氣流速率以及流速調整器24之調整量等等。
配方讀取單元120讀取儲存在配方儲存單元110中的配方111。設備控制器130執行定義在被讀取之配方111中的基材處理製程。在以下說明內容中,可將執行定義在配方111中的基材處理製程描述為執行配方111。具體而言,設備控制器130基於配方111控制沉積設備200。
步驟判定單元140判定製程是否從執行配方111的開始進行至特定步驟。該特定步驟係在執行配方111的開始和沉積步驟的開始之間的步驟。以下將詳細描述該特定步驟。
日誌資訊獲取單元150在執行配方111的開始之後經由I/O埠104獲取沉積設備200之群組感測器的輸出值。換言之,日誌資訊獲取單元150在執行配方111的開始之後立即地或是一旦於執行配方111的開始時即收集關於沉積設備200的日誌資訊。
此外,若步驟判定單元140判定製程已進行至該特定步驟,則日誌資訊獲取單元150將所收集的日誌資訊輸入預測單元160。
預測單元160預先儲存預測模型(膜厚度變化預測模型)M1、預測起因於包含在日誌資訊中之環境資訊之改變的控制目標偏離目標值的變化量、響應所輸入的關於沉積設備200的日誌資訊,以計算預測值並輸出所計算的預測值。即,可說預測單元160係藉由預測模型M1實現的功能單元。
控制器170預先儲存控制模型M2。當控制器170獲取從預測單元160輸出的預測值時,控制器170將預測值輸入控制模型M2並獲取從控制模型M2輸出的最佳沉積條件。即,可說控制器170係藉由控制模型M2實現的功能單元。
更加具體而言,控制器170獲取從控制模型M2輸出的表示控制鈕之調整內容的值作為校正量。例如,當控制鈕為加熱器60a至60f時,控制器170獲取加熱器60a至60f之輸出值的調整量作為校正量。
配方更新單元180將包含在配方111中的沉積條件更新為從控制器170導出的最佳沉積條件。具體而言,配方更新單元180依據基於最佳沉積條件計算的校正量來更新包含在沉積條件中之控制鈕的控制內容。
預測模型更新單元190基於由沉積系統1執行之沉積的沉積結果而更新預測模型M1。
在以下內容中,將描述依據本案實施例之預測模型M1的產生。在此,可藉由控制裝置100預先執行預測模型M1的產生或是可藉由控制裝置100以外的資訊處理裝置等等來執行。
在本案實施例中,利用包括關於沉積設備200的日誌資訊以及在過去執行沉積時所沉積膜之膜厚度的製程資料執行多變量分析,並從日誌資訊中識別對膜厚度之變化有很大貢獻的參數。換言之,在本案實施例中,從日誌資訊中識別與膜厚度之變化相關的參數。
在本案實施例中,利用關於所識別之參數的參數步驟資訊來產生預測模型M1。參數步驟資訊為表示在基材處理製程包括的所有步驟中的參數之值的資訊。在此,可藉由從日誌資訊中識別與膜厚度之變化相關的參數以及利用關於所識別之參數的步驟資訊來產生預測模型M1。
在本案實施例中,關於包含在日誌資訊中之參數的每一者,分析每一步驟之參數的值和膜厚度之變化間的關係,而識別與膜厚度之變化相關的參數。在以下說明內容中,與膜厚度之變化相關的參數稱為變化因子參數。也就是說,在包含於日誌資訊中的參數之中,變化因子參數為其中當在與先前沉積步驟中相同的條件下沉積膜時對膜厚度之變化貢獻大的參數。
在本案實施例中,可從包含在日誌資訊中之環境資訊中的參數之中識別變化因子參數。包含在環境資訊中的參數為不能被控制或不被沉積設備200控制的參數。換言之,包含在環境資訊中的參數為不具有控制鈕的參數。
圖5為闡述變化因子參數之識別的圖式。在圖5的範例中,依步驟將感測器A至H之各別的值顯示為參數的值。在圖5的範例中,可見到在步驟號碼45中之感測器A的值對膜厚度之變化有最大的貢獻。
因此,在此處,對應於感測器A的偵測項目(由感測器A偵測的溫度)被識別為變化因子參數。
於以下內容中,描述其中藉由使用由感測器A所偵測之溫度作為變化因子參數來產生預測模型M1的案例,而感測器A為監控感測器之群組中的一者。
在本案實施例中,可使用其中對膜厚度之變化的貢獻大於或等於預定門檻值的參數作為變化因子參數。因而,在圖5中,例如亦可將對應於感測器B、C、及D的偵測項目識別為變化因子參數。
依據本案實施例,當出現多個變化因子參數時,可為該些變化因子參數的每一者建立對應於該變化因子參數的預測模型M1。
圖6為每一者指示變化因子參數的值與膜厚度之變化間之相關性的圖表。圖6(A)為闡述下列間之關係的圖表:基材處理製程的數量(沉積次數);及感測器A的值和膜厚度。圖6(B)為闡述感測器A的值和膜厚度間之關係的圖表。
在圖6(A)中,橫軸指示基材處理製程的數量(沉積次數),左邊的縱軸指示膜厚度,而右邊的縱軸指示感測器A的值。於此處,本案實施例的膜厚度為膜之中心的厚度(中心膜厚度)。
如圖6(A)所表明的,當感測器A的值增加時,膜厚度的值減少,且當感測器A的值減少時,膜厚度的值增加。因此,如圖6(B)所表明的,感測器A的值和膜厚度間的關係為負相關,也就是說,膜厚度的值隨著感測器A的值增加而減少。
在本案實施例中,如所述的從包含在環境資訊中的參數之中識別變化因子參數,並且利用變化因子參數和膜厚度之間的相關性產生預測模型M1,使得起因於其中不存在控制鈕之參數變化的對膜厚度及膜品質之影響係可被預測的。
因而,在本案實施例中,控制模型M2允許更新配方以便最小化當執行基材處理製程時膜厚度及膜品質基於環境資訊的預測變化。
在本案實施例中,從包含在環境資訊中的參數之中識別變化因子參數,但該實施例不限於此。可從未包含在環境資訊中的參數識別變化因子參數。也就是說,變化因子參數可為有控制鈕存在的參數。
接著,將參考圖7描述藉由使用預測模型M1來開始預測的特定步驟。圖7為用於說明下列之間關聯性的圖表:基材處理製程中包括的步驟;及變化因子參數的值和膜厚度之間的相關性。
在圖7中,縱軸表示變化因子參數的值和膜厚度之間的相關係數,且橫軸表示包括在基材處理製程中的所有步驟號碼。
此外,圖7針對提供於處理腔室4之下部、中間部、及上部的三個感測器A的每一者指示與膜厚度之相關係數和步驟號碼之間的關聯性。
圖7中的實線指示下列之間的關聯性:提供於處理腔室4之下部的感測器A的值與膜厚度之間的相關係數;及包括在基材處理製程中的每一步驟。圖7中的虛線指示下列的關聯性:提供於處理腔室4之中間部的感測器A的值與膜厚度之間的相關係數;及每一步驟。圖7中的點劃線指示下列之間的關聯性:提供於處理腔室4之上部的感測器A的值與膜厚度之間的相關係數;及每一步驟。
在圖7中,從步驟號碼1至步驟號碼3的步驟為裝載步驟,從步驟號碼58至步驟號碼69的步驟為卸載步驟,且步驟號碼48的步驟為沉積步驟的第一步驟。
在圖7的範例中,於步驟號碼45及之後的步驟中,由實線、虛線、及點劃線之每一者指示的相關係數的值乃增加。也就是說,圖7指示在步驟號碼45及之後的步驟中感測器A的值對於在從步驟號碼48開始的沉積步驟中於晶圓W上沉積之膜的膜厚度之變化有很大的貢獻。
因而,在本案實施例中,藉由使用對應於感測器A之預測模型M1而開始預測的特定步驟係設定為步驟號碼45的步驟。
於此案例中,在執行配方111的開始之後以及當執行製程達到步驟號碼47時,控制裝置100把從步驟號碼45至步驟號碼47的步驟期間所收集的日誌資訊輸入至預測模型M1中,並從預測模型M1獲取預測值。
控制裝置100藉由使用預測值而基於控制模型M2導出最佳沉積條件並在步驟號碼48的步驟之前更新配方111。換言之,控制裝置100基於預測值導出最佳沉積條件並在開始沉積步驟的步驟之前基於導出的沉積條件更新配方111。
在本案實施例中,將其中變化因子參數與膜厚度之間的相關係數變大的步驟號碼定義為特定步驟,使得在特定步驟之前可立即地基於代表沉積設備200之狀態的日誌資訊預測控制目標之變化量的預測值。因此,在本案實施例中,可改善預測起因於環境資訊之影響的控制目標之變化量的準確度。
於此,在本案實施例中,可使用其中相關係數的值大於或等於預定門檻值的步驟作為特定步驟。可藉由步驟判定單元140保留在預測模型M1中指示特定步驟的步驟號碼。
在以下內容中,將參考圖8描述依據本案實施例之由控制模型M2執行的最佳沉積條件之導出。圖8為用於說明預測模型和控制模型之間關聯性的圖式。
本案實施例的控制模型M2響應由預測模型M1輸出的變化量之預測值而識別一參數以藉由調整該參數的值來最小化變化量。然後,控制模型M2計算對應於所識別之參數之控制鈕的調整量。
圖8闡述其中由溫度感測器65a偵測之溫度被識別為該參數的案例,且在圖8的圖表81中,縱軸指示溫度感測器65a的輸出值而橫軸指示時間。
在圖8的範例中,在沉積步驟開始之前及裝載步驟開始之後,藉由使用預測模型M1計算膜厚度之變化量的預測值,並且藉由使用控制模型M2計算致使膜厚度接近目標值的控制鈕之調整量。於此處,此時乃藉由使用由感測器A偵測的偵測項目作為變化因子參數來產生預測模型M1。
因為對應於溫度感測器65a的控制鈕為加熱器60a,故控制模型M2計算加熱器60a之輸出值的調整量作為控制鈕的調整量。
於此,感測器A為不具有包含在監控感測器群組中之控制鈕的感測器,而溫度感測器65a為具有控制鈕的感測器。也就是說,在本案實施例中,可致使控制目標偏離目標值而改變的變化因子參數不同於其值被調整以致使所預測的改變接近目標值的參數。
更加具體而言,變化因子參數為不具有控制鈕且其值在基材處理製程期間不能被調整的參數。由控制模型M2識別的參數為具有控制鈕且其值在基材處理製程期間能被調整的參數。
在本案實施例中,如同所期望的,藉由調整具有控制鈕的參數,可減少藉由使用與控制目標中之膜厚度高度相關的變化因子參數所預測的改變。
接著,將參考圖9描述依據本案實施例之控制裝置100的操作。圖9為闡述控制裝置之操作的流程圖。
在步驟S901中,在依據本案實施例的控制裝置100中,配方讀取單元120從配方儲存單元110讀取配方111,設備控制器130開始基材處理製程,並裝載晶圓W(基材)。
後接地,在步驟S902中,在控制裝置100中的日誌資訊獲取單元150開始收集從沉積設備200輸出的日誌資訊。可同時開始步驟S901及步驟S902的處理,或者步驟S902的處理可於步驟S901的處理之後立即開始。
後接地,在步驟S903中,在控制裝置100中的步驟判定單元140判定製程是否進行至基材處理製程中的特定步驟。在步驟S903中,若製程沒有進行至特定步驟,則控制裝置100等待直到製程進行至特定步驟。
在步驟S903中,若製程進行至特定步驟,則控制裝置100將藉由日誌資訊獲取單元150收集的日誌資訊輸入預測單元160且在步驟S904中預測單元160計算控制目標偏離目標值之變化量的預測值。
具體而言,若從日誌資訊獲取單元150獲取日誌資訊,則預測單元160將所獲取的日誌資訊輸入至預測模型M1中、致使預測模型M1計算預測值、以及輸出由預測模型M1計算的預測值。
後接地,在步驟S905中,控制裝置100將從預測單元160輸出的預測值輸入控制器170並獲取致使預測值接近零的控制鈕之校正量。
具體而言,控制器170將從預測單元160輸出的預測值輸入至控制模型M2中、致使控制模型M2計算致使預測值接近零的控制鈕之校正量、以及輸出由控制模型M2計算的校正量。
後接地,在步驟S906中,控制裝置100中的配方更新單元180基於在步驟S905中獲取的校正量校正包含在配方111中的控制鈕之調整量。
後接地,在步驟S907中,控制裝置100中的設備控制器130基於在步驟S906中更新的配方111控制沉積設備200以執行沉積。
後接地,在步驟S908中,控制裝置100中的設備控制器130卸載晶圓W並結束執行基材處理製程。
於此,依據本案實施例之控制裝置100中的預測模型更新單元190可基於由圖9中闡述之製程所沉積之膜的膜厚度的測量結果以及日誌資訊而更新預測模型M1。
在以下內容中,將參考圖10及圖11具體地描述控制裝置100的處理。圖10為用於說明控制裝置之處理的第一圖式,而圖11為用於說明控制裝置之處理的第二圖式。
圖10及圖11的範例指示其中將預測模型M1定義為使用由感測器A偵測之偵測項目作為變化因子參數的案例,且藉由控制模型M2調整其值的參數為加熱器溫度。
在圖10及圖11闡述的圖表中,左邊的縱軸指示加熱器的溫度,右邊的縱軸指示感測器A的溫度,而橫軸指示時間。
此外,在圖10及圖11中,時間T1指示當特定步驟開始時的時間,且時間T2指示當沉積步驟開始時的時間。
當基材處理製程開始進行及裝載晶圓時,本案實施例的控制裝置100開始收集包括感測器A之輸出值的日誌資訊。在此時,依據配方111中的沉積條件而將加熱器溫度的值設定為H1[°C]。
當續行基材處理製程且達到時間T1時,控制裝置100將收集直至該時間的日誌資訊輸入至預測模型M1中並基於藉由利用控制模型M2而從預測模型M1輸出的預測值更新沉積條件。於此,指示其中包含在由控制模型M2導出之最佳沉積條件中的加熱器溫度的值為H2的案例。
在此案例中,控制裝置100將包含在配方111中之沉積條件中的加熱器溫度的值從H1更新為H2。
如圖11中所闡述的,藉由此更新,在沉積步驟開始的時間T2前將加熱器之溫度設定為H2[°C],並在其中加熱器之溫度的值係更新為H2[°C]的狀態下執行沉積步驟。
在本案實施例中,描述其中在配方111中待藉由配方更新單元180更新之值為加熱器溫度之值的案例,但待更新之值不限於此。待藉由配方更新單元180更新之值係依據於由控制器170導出之最佳沉積條件而變化,並且可更新定義在配方111中的任何值。因此,在本案實施例中,例如,可更新氣流速率、氣體供應時間、處理腔室4中的壓力等等。
如上所述,在本案實施例中,基於從執行基材處理製程的開始至特定步驟所收集的日誌資訊,更新定義在配方111中的沉積條件。在本案實施例中,因為於每次執行基材處理製程時執行此過程,故可在不取決於開始沉積步驟之前的沉積系統1之環境的最佳沉積條件下執行沉積。因此,依據本案實施例,可改善沉積結果的再現性。
在上述實施例中,輸入預測模型M1中的日誌資訊為從執行基材處理製程的開始(從執行配方的開始)至特定步驟的期間所收集的日誌資訊,但並不限於此。輸入預測模型M1中的日誌資訊可為例如在特定步驟之前的步驟中立即獲取的各別感測器之群組的輸出值。
此外,在上述實施例中,由控制模型M2導出的條件為最佳沉積條件,但並不限於此。由控制模型M2導出的條件可為沉積條件以外的條件。換言之,控制模型M2可導出在沉積步驟以外的步驟中的最佳條件。
此外,控制模型M2為輸出控制鈕之校正量以致使預測值接近零的模型,但並不限於此。控制模型M2可輸出控制鈕之調整量的最佳值。在此案例中,控制裝置100可將定義在當前配方111中的控制鈕之調整量更新為從控制模型M2輸出的調整量。
此外,在本案實施例中,從基材處理製程開始時至沉積步驟之即刻要開始前的時間收集日誌資訊並將日誌資訊輸入預測模型M1中,但日誌資訊並不限於此。
在本案實施例中,輸入預測模型M1中的日誌資訊可包括於執行沉積步驟時收集的日誌資訊。在本案實施例中,藉由在預測模型M1的輸入中包括於執行沉積步驟時獲取的日誌資訊,可降低於執行沉積步驟時環境之變化對控制目標的影響。
此外,在上述實施例中,控制裝置100為單一資訊處理裝置,但並不限於此。可藉由多個資訊處理裝置來實施控制裝置100。此外,控制裝置100可包含在沉積設備200中。
此外,在本案實施例中,描述其中安置在晶舟48上的多個晶圓W形成一批次並以分批為基礎執行沉積步驟的批次型式裝置作為範例,但該裝置並不限於此。例如,可使用其中對安置在支架上的多個晶圓W整體執行沉積步驟的半批次型式裝置或是其中一個接一個地執行沉積步驟的單片型式裝置。
應將本文所揭示的依據實施例之沉積系統及方法在所有方面視為示例性的而非限制性的。在不脫離所附申請專利範圍及其精神的情況下可以諸多形式改變和改良該些實施例。在上述實施例中描述的事項可在不矛盾的範圍內採用其他配置,且可在不矛盾的範圍內進行組合。
1:沉積系統 100:控制裝置 110:配方儲存單元 111:配方 120:配方讀取單元 130:設備控制器 140:步驟判定單元 150:日誌資訊獲取單元 160:預測單元 170:控制器 180:配方更新單元 190:預測模型更新單元 200:沉積設備 4:處理腔室 6:內筒 8:外筒 10:歧管 W:晶圓 20:氣體引導段 22:引導管 24:流速調整器 30:排氣部 32:真空泵 34:可變開啟程度閥 36:排氣管 40:爐埠 42:蓋 44:升降機構 46:熱絕緣圓筒 48:晶舟 60,60a~60f:加熱器 62a~62f:功率控制器 63,64:感測器 65a~65f:溫度感測器 S1~S10:步驟 M1:膜厚度變化預測模型 M2:控制模型 101:中央處理單元(CPU) 102:唯讀記憶體(ROM) 103:隨機存取記憶體(RAM) 104:輸入輸出(I/O)埠 105:操作面板 106:硬磁碟驅動機(HDD) 81:圖表 S901~s908:步驟 T1,T2:時間 H1,H2:加熱器溫度的值
圖1為用於說明依據本案實施例之沉積系統的圖式; 圖2為用於說明依據本案實施例之沉積系統的操作概要的圖式; 圖3為闡述依據本案實施例之控制裝置的硬體配置之範例的圖式; 圖4為用於說明依據本案實施例之控制裝置之功能的圖式; 圖5為闡述依據本案實施例之多變量分析結果之範例的圖式; 圖6為依據本案實施例的圖表,其每一者指示變化因子參數的值與膜厚度之變化間之相關性; 圖7為依據本案實施例的圖表,其用於說明在基材處理製程中包括的步驟、與變化因子參數和膜厚度間的相關性之間的關聯性; 圖8為用於說明依據本案實施例之預測模型和控制模型之間關聯性的圖式; 圖9為闡述依據本案實施例之控制裝置之操作的流程圖; 圖10為用於說明依據本案實施例之控制裝置之處理的第一圖式; 圖11為用於說明依據本案實施例之控制裝置之處理的第二圖式。
S1~S10:步驟
M1:膜厚度變化預測模型
M2:控制模型

Claims (9)

  1. 一種沉積系統,包含: 一沉積設備,配置以於一基材上沉積膜;及 一控制裝置; 其中該控制裝置包括: 一配方儲存單元,配置以儲存一配方,該配方定義由該沉積設備執行的一基材處理製程的一程序;及 一處理器,配置以藉由使用關於該沉積設備的日誌資訊來計算一控制目標偏離一目標值之一變化量的一預測值,該控制目標指示在該基材處理製程包括的一沉積步驟中所沉積之膜的一膜厚度或一膜品質,其中從當基於該配方的該基材處理製程開始時收集該日誌資訊,以及 基於該預測值更新該配方以便在該沉積步驟之前致使該控制目標的一值接近該目標值。
  2. 如請求項1之沉積系統,其中從當基於該配方的該基材處理製程開始時至該沉積步驟的開始之前收集該日誌資訊。
  3. 如請求項1之沉積系統,其中從當基於該配方的該基材處理製程開始時至該沉積步驟的完成之前收集該日誌資訊。
  4. 如請求項1至3中任一項之沉積系統, 其中該日誌資訊包括與該膜厚度或該膜品質相關的一變化因子參數,以及 其中該處理器計算該控制目標之該變化量的該預測值,該變化量係由該變化因子參數所導致。
  5. 如請求項4之沉積系統,其中該處理器基於該預測值導出一條件,該條件為其中該控制目標的該值最接近該目標值的條件,且該處理器將定義在該配方中的一條件更新為該導出的條件。
  6. 如請求項5之沉積系統,其中該變化因子參數包括不能被控制或不被該沉積設備控制的一感測器的一值。
  7. 如請求項6之沉積系統,其中在該基材處理製程中當該沉積設備進行至其中與該控制目標的一相關性大於一預定門檻值的一步驟時,該處理器藉由使用從當基於該配方的該基材處理製程開始時至當該沉積設備進行至其中該相關性大於該預定門檻值的該步驟時所收集的該日誌資訊而計算該預測值。
  8. 如請求項1至7中任一項之沉積系統,其中該處理器於每次執行該基材處理製程時更新該配方。
  9. 一種由一沉積系統執行的沉積方法,該沉積系統包括配置以於一基材上沉積膜的一沉積設備及一控制裝置,該沉積方法包含: 藉由使用關於該沉積設備的日誌資訊,由該控制裝置計算一控制目標偏離一目標值之一變化量的一預測值,該控制目標指示在一基材處理製程包括的一沉積步驟中所沉積之膜的一膜厚度或一膜品質,其中從該基材處理製程的一程序開始時收集該日誌資訊,且該基材處理製程的該程序係定義在儲存於一配方儲存單元中的一配方中,以及 由該控制裝置基於該預測值更新該配方以便在該沉積步驟之前致使該控制目標的一值接近該目標值。
TW111104440A 2021-02-17 2022-02-08 沉積系統及沉積方法 TW202303681A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021023598A JP2022125802A (ja) 2021-02-17 2021-02-17 成膜システム、成膜方法
JP2021-023598 2021-02-17

Publications (1)

Publication Number Publication Date
TW202303681A true TW202303681A (zh) 2023-01-16

Family

ID=82801162

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111104440A TW202303681A (zh) 2021-02-17 2022-02-08 沉積系統及沉積方法

Country Status (5)

Country Link
US (1) US20220259729A1 (zh)
JP (1) JP2022125802A (zh)
KR (1) KR20220117820A (zh)
CN (1) CN114944325A (zh)
TW (1) TW202303681A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7230877B2 (ja) * 2020-04-20 2023-03-01 株式会社Sumco エピタキシャルウェーハの製造システム及びエピタキシャルウェーハの製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244500A (en) * 1983-10-05 1993-09-14 Toshiba Kikai Kabushiki Kaisha Process control system of semiconductor vapor phase growth apparatus
US7402207B1 (en) * 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
JP6584350B2 (ja) 2016-03-17 2019-10-02 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
JP6830464B2 (ja) * 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
JP7230877B2 (ja) * 2020-04-20 2023-03-01 株式会社Sumco エピタキシャルウェーハの製造システム及びエピタキシャルウェーハの製造方法

Also Published As

Publication number Publication date
US20220259729A1 (en) 2022-08-18
KR20220117820A (ko) 2022-08-24
JP2022125802A (ja) 2022-08-29
CN114944325A (zh) 2022-08-26

Similar Documents

Publication Publication Date Title
US6730885B2 (en) Batch type heat treatment system, method for controlling same, and heat treatment method
TWI382485B (zh) 熱處理裝置、自動調整控制常數之方法及儲存媒體
JP5101243B2 (ja) 基板処理装置,基板処理装置の制御方法,およびプログラム
KR101133413B1 (ko) 기판 처리 시스템, 기판 처리 장치의 제어 방법 및 기억 매체
CN107230654B (zh) 控制装置、基板处理系统、基板处理方法以及存储介质
KR101755761B1 (ko) 기판 처리 방법, 프로그램, 제어 장치, 성막 장치 및 기판 처리 시스템
US10395934B2 (en) Control device, substrate processing system, substrate processing method, and program
KR20210000731A (ko) 공간적으로 분해된 웨이퍼 온도 제어를 위한 가상 센서
US20100003831A1 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
TW202303681A (zh) 沉積系統及沉積方法
JP6584350B2 (ja) 制御装置、基板処理システム、基板処理方法及びプログラム
JP6267881B2 (ja) 基板処理方法及び制御装置
JP7224254B2 (ja) 基板処理装置、情報処理装置、及び基板処理方法
JP7183126B2 (ja) 基板処理装置、情報処理装置及び情報処理方法
JP6566897B2 (ja) 制御装置、基板処理システム、基板処理方法及びプログラム
US20220406631A1 (en) Temperature correction information calculating device, semiconductor manufacturing apparatus, recording medium, and temperature correction information calculating method
KR20240036467A (ko) 정보 처리 장치 및 파라미터 제어 방법
KR20240076703A (ko) 정보 처리 장치, 정보 처리 방법 및 프로그램
CN115454170A (zh) 温度校正信息计算装置及方法、半导体制造装置、程序
JP6335128B2 (ja) 熱処理システム、熱処理方法、及び、プログラム
CN113853448A (zh) 用于在生产条件下采集cvd反应器的状态的方法