TW202243049A - 製造積體電路的方法 - Google Patents

製造積體電路的方法 Download PDF

Info

Publication number
TW202243049A
TW202243049A TW110126145A TW110126145A TW202243049A TW 202243049 A TW202243049 A TW 202243049A TW 110126145 A TW110126145 A TW 110126145A TW 110126145 A TW110126145 A TW 110126145A TW 202243049 A TW202243049 A TW 202243049A
Authority
TW
Taiwan
Prior art keywords
backside
contact
integrated circuit
gate
dummy
Prior art date
Application number
TW110126145A
Other languages
English (en)
Inventor
彭士瑋
邱德馨
賴韋安
蔡慶威
曾健庭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202243049A publication Critical patent/TW202243049A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Nanotechnology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種製造積體電路的方法包括以下步驟。在絕緣光罩中蝕刻開口,以曝露積體電路背面上的第一虛擬觸點。將導電材料沈積至開口,該導電材料接觸第一虛擬觸點的側壁。使導電材料凹陷,以曝露第一虛擬觸點的末端。方法亦包括以下步驟。在開口中的導電材料上方沈積絕緣材料。自絕緣光罩移除第一虛擬觸點以形成第一觸點開口。在第一觸點開口中形成第一導電觸點,該第一導電觸點電性連接至導電材料。

Description

具有背面互連的積體電路及其製造方法
積體電路(integrated circuit,IC)裝置的縮小及基板上的積體電路裝置的密度增加,導致設計及製造單一裝置的元件之間以及積體電路裝置之間的互連結構的難度越來越大。
隨著裝置在連續數代積體電路中的縮小,互連結構的複雜性增加,並且互連之間的較密間距增加了導致裝置故障的製造缺陷的可能性。隨著互連結構元件之間的擁擠度增加,寄生電容增加,對積體電路的各個電晶體的性能產生不利影響。在某些積體電路中,寄生電容開始抵消與電晶體的較短通道長度相關聯的速度增加。
以下揭示內容提供了用於實現提供之標的的不同特徵的許多不同的實施例或實例。以下描述組件、數值、操作、材料、佈置等的特定實例用以簡化本揭示內容。當然,該些僅為實例,並不旨在進行限制。可以預期其他組件、數值、操作、材料、佈置等。例如,在下面的描述中在第二特徵上方或之上形成第一特徵可包括其中第一及第二特徵直接接觸形成的實施例,並且亦可包括其中在第一與第二特徵之間形成附加特徵的實施例,以使得第一及第二特徵可以不直接接觸。此外,本揭示內容可以在各個實例中重複元件符號及/或字母。此重複係出於簡單及清楚的目的,其本身並不指定所討論之各種實施例或組態之間的關係。
此外,為了便於描述,本文中可以使用諸如「在...下方」、「在...下」、「下方」、「在...上方」、「上方」之類的空間相對術語,來描述如圖中所示的一個元件或特徵與另一元件或特徵的關係。除了在附圖中示出的方位之外,空間相對術語意在涵蓋裝置在使用或操作中的不同方位。設備可以其他方式定向(旋轉90度或以其他方位),並且在此使用的空間相對描述語亦可被相應地解釋。
製造具有背面觸點及背面互連的積體電路降低了積體電路頂面上的互連結構的總體複雜性。特別地,隨著裝置尺寸的縮小,位於閘極及源極/汲極(source/drain,S/D)觸點上方的積體電路頂面上的第一金屬層變得越來越擁擠並且難以製造。藉由向積體電路添加一組背面互連,降低了頂面互連結構的複雜性。此外,背面互連允許在頂面互連(例如,橫過電路的線)之間形成更多的空間,從而減小了積體電路中的寄生電容,並提供了更大的製造靈活性。在如下所述的具有背面互連的半導體裝置中,簡化了積體方案,因為連接至電路元件(例如,電晶體的源極或汲極或閘極)的觸點在側面而非末端連接。藉由在觸點與背面互連件之間進行側連接,由於電性連接至背面互連的觸點的面積較大,因此減小了連接的電阻。此外,藉由在觸點與背面互連之間進行側連接,減少了半導體裝置的製造時間,因為較少的介電材料層沈積在製造半導體裝置的晶圓的背面上。
為了本揭示內容的目的,包括電晶體的積體電路具有位於積體電路的主動層中的源極區、汲極區及其通道。主動層為積體電路的第一層或最低層。主動層用作判定積體電路的一側為積體電路的頂面還是底面的參考的一部分。在積體電路的元件(特別是互連結構的元件)的描述中,元件的近端為更靠近主動層的端,而元件的遠端為遠離主動層的端。
積體電路的頂面為積體電路的包括主動層(例如,閘極及源極/汲極區)的一側。在第1D圖及第1E圖中,積體電路100的頂面為在主動層101與保形絕緣層部分116A~116C (包括主動層101及觸點167、168)之間的介面處自參考線196沿頂部方向198延伸的部分。在第1D圖及第1E圖中,頂面互連結構包括觸點及金屬線,該些金屬線僅在觸點的末端相遇。在第1D圖中,觸點167的遠端電性連接至金屬線169,並且觸點167的近端電性連接至S/D區104A,並且在第1E圖中,觸點168的遠端電性連接至金屬線170,並且觸點168的近端電性連接至閘極160B1。
積體電路的背面為積體電路的與主動層相對的一側。例如,閘極位於積體電路的頂面上,並且位於積體電路的與閘極相對的表面上的元件位於積體電路的背面。在第1D圖及第1E圖中,積體電路100的背面不包括主動層101,且自參考線196在底部方向199上延伸。在積體電路100中,積體電路的背面包括在兩端電性連接的背面觸點及在一端及背面觸點的側壁電性連接的背面觸點。在一些實施例中,背面互連結構包括背面觸點,該些背面觸點在兩端及背面觸點的側壁處電性連接。
例如,在第1D圖中,背面觸點108A的近端直接抵靠S/D區106A,並且背面觸點108A的一側直接抵靠背面互連126。背面觸點108A的遠端直接抵靠隔離栓塞1CC,該隔離栓塞1CC將背面觸點108A與背面電源軌130電隔離。背面觸點1BB的近端直接抵靠S/D區104A,並且背面觸點1BB的遠端直接抵靠電源軌131。在第1E圖中,背面觸點108B的近端直接抵靠閘極160B2,背面觸點108B的一側直接抵靠背面互連126。背面觸點108B的遠端直接抵靠隔離栓塞1DD,該隔離栓塞1DD將背面觸點108B與背面電源軌130電隔離。在積體電路100中,IC的背面為積體電路的一部分,該部分自參考線196沿底部方向199延伸,包括電性連接至背面觸點108A、108B的側面的背面互連126,但不包括主動層101。
第1A圖至第1C圖為根據一些實施例的積體電路100的底視圖。第1A圖為積體電路在參考線193處的底視圖。第1B圖為積體電路100在參考線194處的底視圖。第1C圖為積體電路100在參考線196處的底視圖。第1D圖及第1E圖上指示參考線。第1D圖、第1E圖及第1F圖為積體電路100的橫剖面圖。為清楚起見,積體電路100的元件在第1A圖至第1F圖中具有一致的編號。然而,本領域普通技術人員將認識到,積體電路100的實施例為落入本揭示內容的範圍內的許多實施例之一。
在第1D圖、第1E圖及第1F圖中,參考線193在第二絕緣材料119的底表面與背面電源軌130之間的介面處。參考線194在第二絕緣材料119與背面互連126(及導電材料127A及127B)的介面之間。參考線196與介電材料103與保形絕緣層116之間的介面對準。參考線195與介電材料103與積體電路100頂面的介電材料層166之間的介面對準。
在第1A圖中,第二絕緣材料119延伸穿過積體電路100。保形絕緣層116 (或保形絕緣層部分116A及保形絕緣層部分116B)將支撐材料102A與第二絕緣材料119分開。支撐材料102B在一側上抵靠保形絕緣層部分116C,且在另一側上抵靠保形絕緣層部分116D。絕緣材料119在保形絕緣層部分116D與保形絕緣層部分116B之間。保形絕緣層部分116D的一部分已被移除,以在隔離栓塞1CC及隔離栓塞1DD的區域中曝露支撐材料102B的頂表面。支撐材料102B藉由保形絕緣層116 (保形絕緣層部分116C及116D)在兩側上與第二絕緣材料119分開。隔離栓塞1CC位於支撐材料102B內,並且抵靠保形絕緣層部分116C及第二絕緣材料119 (抵靠保形絕緣層部分116B的部分)。隔離栓塞1DD位於支撐材料102B內,並且抵靠保形絕緣層部分116C及第二絕緣材料119 (抵靠保形絕緣層部分116B的部分)。支撐材料102B為絕緣材料或介電材料,該絕緣材料或介電材料可將背面電源軌130 (未圖示,但正好位於支撐材料102B的下方/抵靠支撐材料102B,如第1D圖及第1E圖中所示)與積體電路的其餘部分電隔離。支撐材料用於形成保形絕緣層部分,該些保形絕緣層部分垂直於主動層101之間的介面延伸(參見下面的第1D圖)。在一些實施例中,支撐材料為介電材料,諸如二氧化矽、氮氧化矽。在一些實施例中,如下所述,支撐材料為半導體材料,並且積體電路的源極/汲極區生長在支撐材料的曝露部分上。隔離栓塞1CC及1DD將背面互連126 (參見下面的第1B圖、第1D圖及第1E圖)與背面電源軌130電隔離。在一些實施例中,藉由將溝槽蝕刻至支撐基板(未圖示)中來形成支撐材料102A及支撐材料102B,該溝槽與積體電路的主動區域(包括第一主動區域162A及第二主動區域162B)的位置對準,並且用介電材料填充該溝槽。用於支撐材料102A及支撐材料102B的介電材料為非導電且不反應的框架,在該框架上沈積其他材料(例如,保形絕緣層116)以形成下面描述的背面互連結構。
背面觸點1BB、2BB及3BB穿過支撐材料102A向下延伸至S/D區104A (參見第1B圖、第1C圖及第1D圖)。背面觸點1BB電性連接至S/D區104A (參見下面的第1C圖、第1D圖及第1F圖)。背面觸點2BB電性連接至S/D區104B (參見下面的第1C圖及第1F圖)。背面觸點3BB電性連接至S/D區104C (參見下面的第1C圖及第1F圖)。背面觸點1BB、2BB及3BB為導電材料,該導電材料已沈積至經由支撐材料102A蝕刻的開口中。背面觸點1BB在兩個(相對的)側上與支撐材料102A橫向相鄰,並且兩個(相對的)側上抵靠保形絕緣層部分116A及保形絕緣層部分116B。背面觸點2BB在兩個(相對的)側上與支撐材料102A橫向相鄰,並且在兩個(相對的)側上抵靠保形絕緣層部分116A及保形絕緣層部分116B。背面觸點3BB在兩個(相對的)側上與支撐材料102A橫向相鄰,並且在兩個(相對的)側上抵靠保形絕緣層部分116A及保形絕緣層部分116B。在一些實施例中,背面觸點1BB、2BB及3BB為經由支撐材料102A沈積至開口中的半導體材料。在一些實施例中,背面觸點1BB、2BB及3BB為金屬填充型觸點,其中金屬填充的類型選自鎢、鈦、鈷、鉑、鈀、釕等,或與積體電路S/D區的觸點兼容的類似金屬的合金。
在第1B圖中,背面互連126電性連接背面觸點108A、背面觸點108B及背面觸點108C。背面互連126在一側上直接抵靠保形絕緣層部分116B,並且在相對側上抵靠背面觸點108A及108B以及保形絕緣層部分116D。背面互連126電性連接至背面觸點108C的末端,並且電性連接至背面觸點108A及108B的側面。支撐材料102B將背面互連126與保形絕緣層部分116C分開。
導電材料127A藉由保形絕緣層部分116A與支撐材料102A以及背面觸點1BB及1CC分開。導電材料127B藉由保形絕緣層部分116C與支撐材料102B以及背面觸點108A及108B分離開來。第二絕緣材料119沿著背面互連126的長度的一部分將背面互連126與支撐材料102B分開。第二絕緣材料119圍繞導電材料127A、導電材料127B及背面互連126的末端,其中導電材料127A、導電材料127B及背面互連126的導電材料不與支撐材料(例如,支撐材料102B)的一部分或保形絕緣層部分(例如,保形絕緣層部分116A、116B及116C)抵靠或平行。
在第1C圖中,閘極160A及閘極160C延伸第一主動區域162A及第二主動區域162B。閘極160B2延伸穿過第一主動區域162A。閘極160B1延伸穿過第二主動區域162B。介電材料115將閘極160B1與閘極160B2分開。在一些實施例中,介電材料115自第一主動區域162A的邊緣延伸至第二主動區域162B的邊緣,第一主動區域162A的邊緣最靠近第二主動區域162B。在一些實施例中,介電材料115自閘極160B1的末端延伸至閘極160B2的末端,閘極160B1的末端最靠近閘極160B2,並且閘極160B1及閘極160B2的末端比第一主動區域及第二主動區域的側面彼此靠近。
第一主動區域162A包括S/D區104A、104B及104C。閘極160A在S/D區104A與S/D區104B之間。閘極160B2在S/D區104B與S/D區104C之間。S/D區104C在閘極160B2與閘極160C之間。第二主動區域162B包括S/D區106A、106B及106C。閘極160A在S/D區106A與S/D區106B之間。閘極160B1在S/D區106B與S/D區106C之間。S/D區106C在閘極160B1與閘極160C之間。背面觸點108C電性連接至第一主動區域162A與第二主動區域162B之間的閘極160C。介電材料103位於S/D區104A~104C、S/D區106A~106C的周圍。介電材料103在閘極160A、160B1與160B2之間,閘極160B1、160B2與160C之間,以及S/D區104A~104C、S/D區106A~106C與閘極之間。
第一主動區域162A及第二主動區域162B位於積體電路的主動層中(參見下面的第1D圖至第1E圖,該些圖在積體電路100的橫剖面圖中描述了主動層101)。第一主動區域162A及第二主動區域162B中的源極/汲極區與支撐材料102A及支撐材料102B的支撐材料對準,使得經由支撐材料在開口中製造背面觸點以電性連接至第一主動區域162A及第二主動區域162B的源極/汲極區。支撐材料亦用作下面在方法300,操作310中描述的自對準背面觸點形成的一部分。在一些實施例中,在積體電路中形成背面觸點之後保留支撐材料。在一些實施例中,移除支撐材料,並用不同的介電材料(具有與支撐材料不同的介電常數)替換,以在背面互連結構中的背面觸點之間提供增強的電隔離。
在主動區域中,源極區及汲極區(S/D區)及閘極由介電材料103隔開。背面觸點電性連接至主動層中的S/D區及閘極。第1C圖為積體電路100在參考線196處的底視圖。在第1C圖中,在第1A圖中,背面觸點108A、108B、108C、1BB及2BB具有實線邊框,以指示背面觸點位於積體電路的背面。第一主動區域162A包括S/D區104A、104B及104C。第二主動區域162B包括S/D區106A、106B及106C。背面觸點1BB連接至S/D區104A,並且沿著第一主動區域162A的長度在保形絕緣層部分116A與保形絕緣層部分116B之間且在支撐材料102A內。背面觸點1BB連接至S/D區104B,並且沿著第二主動區域162B的長度在保形絕緣層部分116A與保形絕緣層部分116B之間且在支撐材料102A內。S/D區104C在積體電路100的背面上沒有背面觸點。背面觸點108A連接至S/D區106A並且在保形絕緣層部分116B與保形絕緣層部分116C之間。S/D區106B及106C確實連接至積體電路100中的背面觸點。背面觸點108B直接抵靠閘極160B2。經由背面觸點108B傳輸的訊號在由背面互連126接收之後啟動閘極160B2。介電材料115將第一主動區域162A中的閘極160B1與第二主動區域162B中的閘極160B2電隔離。介電材料115位於背面互連126上方(例如,在頂部方向198上)的主動層101中。介電材料115藉由絕緣材料118與背面互連126分開(參見第1E圖)。導電材料127C位於第一主動區域162A的與背面互連126相對的一側,而導電材料127B位於第二主動區域162B的與背面互連126相對的一側。背面互連126包括與導電材料127B及127C相同的材料。導電材料127B及導電材料127C不直接電性連接至積體電路100的主動區域或頂面互連結構。
在第1A圖中,截面線A-A'跨越積體電路穿過絕緣材料118、導電材料127C、S/D區104A的第一主動區域162A、背面觸點1BB、背面互連126、S/D區106A的第二主動區域162B、背面觸點108A及導電材料127B (參見下面的第1D圖)。截面線B-B'在絕緣材料118、導電材料127C、S/D區104B的第一主動區域162A、背面觸點108A、背面互連126、第二主動區域162B、S/D區106B、背面觸點1BB及導電材料127A處跨越積體電路100。截面線BB'亦跨越閘極160B1及160B2、介電材料115 (參見下面的第1E圖)、背面互連126、導電材料127A、導電材料127B、第一主動區域162A及第二主動區域162B。在積體電路100中,閘極160B1及160B2包括通道區,該通道區為閘極全環(gate-all-around,GAA)或奈米線型電晶體結構的一部分,其中閘極環繞通道區。
第1D圖為根據一些實施例的積體電路100的橫剖面圖。第1B圖中的積體電路100的橫剖面圖對應於沿第1A圖中所述的截面線A-A'的視圖。在第1D圖中,將N型摻雜的S/D區106A及P型摻雜的S/D區104A嵌入在主動層101的介電材料103中。在一些實施例中,在[1] S/D區磊晶生長及[2] S/D區修整之後沈積IC的S/D區之間的介電材料 (下面在第4E圖中描述)。N型摻雜的S/D區106A電性連接至背面觸點108A,並且背面觸點108A的一側電性連接至背面互連126。隔離栓塞1CC位於背面觸點108A的遠端。背面電源軌130藉由隔離栓塞1CC及第二絕緣材料119與背面觸點108A電隔離。
在第1D圖中,參考線195與介電材料103與介電材料166之間的介面對準。觸點167延伸穿過介電材料層166,該介電材料層166將S/D區104A電性連接至金屬線169。背面觸點108A自S/D區106A向上延伸至隔離栓塞1CC及背面電源軌130。
背面觸點1BB在P型摻雜的S/D區104A的底端。保形絕緣層部分116A沿著背面觸點1BB的側面延伸,並且將背面觸點1BB與導電材料127A、第二絕緣材料119及第一絕緣材料118分開。保形絕緣層部分116B沿著背面觸點1BB的與保形絕緣層部分116A相對的一側延伸,並且延伸穿過介電材料103至背面觸點108A的一側。保形絕緣層部分116C沿著背面觸點108A的與背面互連126相對的一側延伸,並將背面觸點108A與導電材料127B分開。絕緣材料118抵靠保形絕緣層部分116A~116C的下部(更靠近S/D區)延伸。第二絕緣材料119抵靠保形絕緣層部分116A~116C的上部。背面互連126位於絕緣材料118、第二絕緣材料119、保形絕緣層部分116B與背面觸點108A之間。背面互連126的一側抵靠保形絕緣層部分116B的與背面觸點108A相鄰的遠端部分。隔離栓塞1CC直接抵靠背面觸點108A的遠端。背面電源軌抵靠隔離栓塞1CC。
介電材料層166位於S/D區106A的頂端並抵靠介電材料103。觸點167延伸穿過整個介電材料166。金屬線169延伸穿過介電材料166的與介電材料103相對的表面。觸點167的近端電性連接至S/D區104A,並且觸點167的遠端電性連接至金屬線169。
第1E圖為積體電路100的沿如上面在第1A圖至第1C圖中所述的截面線B-B'的橫剖面圖。橫剖面圖延伸穿過通道109A及109B以及閘極160B1及160B2,並且延伸穿過電隔離閘極160B1及160B2的介電材料115。如第1D圖所示,閘極160B1、160B2、通道109A及109B以及介電材料115位於具有S/D區106A及104A的主動層101中。閘極160B1藉由介電材料115與閘極160B2分開。閘極160A圍繞通道109A,該些通道109A為閘極全環(GAA)電晶體的一部分。閘極介電材料(未圖示)在通道109A的側面周圍延伸並且將通道109A與閘極160B1分開。閘極160B1圍繞通道109B,該些通道109B為閘極全環(GAA)電晶體的不同於通道109A的一部分。閘極介電材料(未圖示)在通道109B的側面周圍延伸並且將通道109B與閘極160B分開。閘極160C在背面觸點108C的正上方。儘管第1E圖係針對GAA電晶體,但本領域的普通技術人員將認識到,該應用已適用於鰭式場效電晶體(fin field effect transistor,FinFET)技術。
參考線196與保形絕緣層116、閘極160B1、介電材料115與閘極160B2之間的介面對準。參考線195與介電材料166、介電材料115、閘極160B1與閘極160B2之間的介面對準。在參考線195的頂部方向198上,介電材料166覆蓋閘極160B1、閘極160B2及介電材料115。觸點168延伸穿過介電材料層166。觸點168的近端電性連接至閘極160B1,並且觸點168的遠端電性連接至金屬線170。金屬線170橫穿介電材料166的頂面。
保形絕緣層116抵靠閘極160B1、介電材料115及閘極160B2的底面。保形絕緣層116分為多個部分:保形絕緣層部分116A抵靠閘極160B1及支撐材料102的第一側,保形絕緣層部分116B抵靠支撐材料102的另一側、介電材料115及背面觸點108A的一側,並且保形絕緣層部分116C抵靠背面觸點108B的一側及閘極160B2。絕緣材料118抵靠保形絕緣層部分的下部。
背面互連126抵靠在背面觸點108B與支撐材料102之間的絕緣材料118上。導電材料127A抵靠絕緣材料118,該絕緣材料118位於支撐材料102A的與背面互連126相對的一側上,並且導電材料127B抵靠絕緣材料118,該絕緣材料118位於背面觸點108B的與背面互連126相對的的一側上。背面互連126、導電材料127A及導電材料127B為相同的導電材料,沈積在絕緣材料118上,並且在製造期間凹陷以曝露出保形絕緣層116的上部。第二絕緣材料119抵靠在導電材料127A、導電材料127B及背面互連126的與絕緣材料118相對的一側上。隔離栓塞1DD位於背面觸點108B的遠端。背面電源軌130抵靠在隔離栓塞1DD上。
第1F圖為根據一些實施例的積體電路100沿截面線C-C'的橫剖面圖。在第1F圖中,主動層101包括介電材料103、電晶體105的S/D區104B (源極)及S/D區104C (汲極)。電晶體105亦包括自S/D區104B延伸至S/D區域104C的通道109B。絕緣材料118抵靠主動層101的底面。支撐材料在絕緣材料118的底面上,並且在絕緣材料118與絕緣材料119之間。背面觸點2BB延伸穿過絕緣材料119、支撐材料102A及絕緣材料118,以形成與S/D區104B的電性連接。背面觸點2BB將S/D區104B電性連接至電源軌131。背面觸點3BB將S/D區104C電性連接至電源軌131。背面觸點3BB延伸穿過絕緣材料119、支撐材料102A及絕緣材料118,以形成與S/D區104C的電性連接。閘極160B1延伸穿過主動層101,且抵靠通道109B。介電材料119A位於S/D區104B與閘極160B1之間。介電材料119B位於S/D區104C與閘極160B1之間。觸點168延伸穿過介電材料166,並且將閘極160B1電性連接至金屬線170。觸點167延伸穿過介電材料1667,以將金屬線169電性連接至S/D區104B。當經由觸點168將電壓施加至閘極160B1時,電晶體105在S/D區104B與S/D區104C之間傳導電流。
第2圖為根據一些實施例的積體電路200的底視圖。在積體電路200中,在積體電路200的底面上的背面電源軌230A、230B、230C及230D與積體電路的主動區域垂直對準。因此,背面電源軌230A與主動區域262A對準,背面電源軌230B與主動區域262B對準,背面電源軌230C與主動區域262C對準,並且背面電源軌230D與主動區域262D對準。背面電源軌230A藉由通孔208A電性連接至頂面互連結構,背面電源軌230B藉由通孔208B電性連接至頂面互連結構,背面電源軌230C藉由通孔208C電性連接至頂面互連結構,並且背面電源軌230D藉由通孔208D電性連接至頂面互連結構。
佈局縫隙212A在主動區域262A與主動區域262B之間,佈局縫隙212B在主動區域262B與主動區域262C之間,並且佈局縫隙212C在主動區域262C與主動區域262D之間。背面互連226A在佈局縫隙212A內,背面互連226B在佈局縫隙212B內,並且背面互連226C在佈局縫隙212C內。在積體電路200中,主動區域262A及主動區域262D經摻雜以用作N型電晶體,並且主動區域262B及262C經摻雜以用作P型電晶體。
背面互連兼容相同摻雜劑類型的主動區域(例如,N型至N型或P型至P型)之間的互連以及具有不同摻雜劑類型(例如,N型及P型主動區域)的主動區域之間的互連。因此,背面互連226A用以將主動區域262A中的N型電晶體的元件與主動區域262B中的P型電晶體的元件電性連接。背面互連226C用以將主動區域262C中的P型電晶體的元件與主動區域262D中的N型電晶體的元件電性連接。背面互連226B用以將主動區域262B中的P型電晶體的元件與主動區域262C中的P型電晶體的元件電性連接。下文呈現的第4K圖至第4M圖及第5K圖至第5M圖提供了對形成如第1A圖至第1E圖中所述的背面觸點及背面互連的製程的附加討論。將在下面的第4P圖及第5B圖中進一步討論背面電源軌。背面電源軌嵌入在介電材料中,該介電材料抵靠在其中具有背面互連及背面觸點的積體電路層上。
第3圖為根據一些實施例的製造積體電路的方法300的流程圖。下面的第4A圖至第4P圖描述了根據方法300製造的積體電路的實施例中的S/D區的橫剖面圖。下面的第5A圖至第5U圖描述了根據方法300製造的積體電路的實施例中的閘極/通道區的橫剖面圖。具有與積體電路400中的相應元件相似的功能和/或結構的積體電路500的元件具有相同的標識數字,遞增了100。
根據一些實施例,方法300包括操作302,其中至少一個虛擬觸點形成在具有第一裝置及第二裝置的積體電路的背面上。形成背面觸點及背面互連之步驟包括以下步驟:製造虛擬觸點,在該些虛擬觸點上沈積背面互連層的材料,並在該些虛擬觸點中形成背面觸點。
根據本揭示內容的一些實施例,形成用於積體電路的虛擬觸點之步驟包括:[1]至少一個步驟,其中將圖案化材料層沈積在支撐基板的頂表面上(例如,其上沈積有主動層材料的矽晶圓或其他材料(參見第1D圖及第1E圖中的主動層101)),[2]步驟,其中圖案化材料接收(例如,微影術轉印製程中的微影術工具的)轉印圖案,及[3]蝕刻步驟,其中藉由例如反應離子蝕刻移除曝露在圖案化材料的開口內的支撐基板。在一些實施例中,虛擬觸點為支撐材料的一部分(參見第1A圖至第1E圖中的支撐材料102A及102B),並且支撐材料包括與積體電路的頂面的主動區域對準並平行於該些主動區域延伸的鰭片(參見第1C圖中的第一主動區域162A及第1B圖中的支撐材料102A)。在一些實施例中,在製造S/D區之前,形成支撐材料的鰭片。在一些實施例中,在形成S/D區之後,形成支撐材料的鰭片。
形成虛擬觸點之步驟包括:在支撐材料的遠端處形成硬光罩層的步驟(參見第4A圖中的虛擬觸點403A及403B,其中該些虛擬觸點403A及403B的遠端具有硬光罩4MA及4MB)。在一些實施例中,藉由選擇性地蝕刻虛擬觸點的支撐材料的蝕刻製程(乾式蝕刻製程或濕式蝕刻製程)使虛擬觸點的遠端凹陷。在一些實施例中,藉由使虛擬觸點(或支撐材料)凹陷而形成的開口藉由沈積硬光罩材料來填充。沈積的硬光罩材料包括碳化矽、氮化矽、氮氧化矽等。與方法300兼容的其他硬光罩材料亦包括在本揭示內容的範圍內。在一些實施例中,自凹陷的虛擬觸點/支撐材料的遠端生長硬光罩材料。在形成硬光罩之後,將背面平坦化以曝露介電材料(參見介電材料504),從而為後續步驟提供水平面。
方法300包括操作303,其中形成積體電路的主動區域。形成積體電路的主動區域之步驟包括:與[1]形成主動區域的源極/汲極區相關聯的步驟,及與[2]形成主動區域的閘極及通道相關聯的步驟。下面在第13圖及第14圖的描述中提供了有關積體電路製造的附加信息。
根據一些實施例,在形成積體電路的通道及閘極的製程中,遮蔽積體電路的S/D區。
根據一些實施例,形成主動區域的源極/汲極區之步驟包括:與遮蔽積體電路的關於閘極及通道的區及積體電路設計針對包括替代摻雜劑類型的區,以形成具有第一摻雜劑類型的S/D區相關聯的步驟。例如,當形成N型S/D區時,通道/閘極區及P型S/D區的位置由保護光罩層覆蓋,以防止N型材料在通道及P型S/D區的位置上磊晶生長。類似地,當形成積體電路的P型S/D區時,N型S/D區(或在這些區的觸點)被遮蔽以防止P型材料在那些位置上生長。
根據一些實施例,操作302及操作303的步驟可混用。在一些實施例中,藉由移除一部分支撐材料以形成與積體電路的S/D區及/或閘極的電性連接來形成背面觸點。在一些實施例中,直接抵靠S/D區或閘極的支撐材料被留在原地,因為存在與S/D區或閘極的頂面連接。在一些實施例中,背面觸點之間的支撐材料留在積體電路中的適當位置。在一些實施例中,移除背面觸點之間的支撐材料,並由填充材料替代。在一些實施例中,填充材料為二氧化矽、氮化矽、有機絕緣體或與背面互連及背面觸點兼容的一些其他填充材料。
在積體電路的背面上形成虛擬觸點產生實施例,諸如,第4A圖為藉由例如在虛擬觸點的頂部部位上磊晶沈積材料而形成主動區域的源極/汲極區之後的橫剖面圖。第5A圖為在虛擬觸點的頂部上方的積體電路中形成主動區域的通道及閘極之後的橫剖面圖。
第4A圖、第4B圖、第5A圖及第5B圖為積體電路的橫剖面圖,對應於根據操作302在積體電路的背面上形成虛擬觸點的步驟及根據操作303形成積體電路的主動區域的步驟。
第4A圖為在形成虛擬觸點403A及403B並用介電材料404填充虛擬觸點旁邊的開口之後的製造製程中的積體電路400的橫剖面圖。虛擬觸點由與基板402相同的材料形成。在一些實施例中,虛擬觸點為線性或鰭狀。在一些實施例中,虛擬觸點為圓柱狀或柱狀。在一些實施例中,基板為半導體晶圓。在一些實施例中,基板為沈積在半導體晶圓或與積體電路製造兼容的其他載體上的材料層。積體電路400及積體電路500的頂面為電路的自參考線196在頂部方向198上延伸的部分。頂面包括主動層401及在頂部方向198上超出主動層101或參考線195的積體電路層。S/D區405在主動層401中抵靠虛擬觸點403A,而S/D區406抵靠虛擬觸點403B。S/D區405為N型摻雜材料。S/D區406為P型摻雜區域。在一些實施例中,相鄰的S/D區具有相同類型的摻雜劑(例如,N型及N型,或者P型及P型)。
在第5A圖中,積體電路500包括支撐材料502。自支撐材料502蝕刻了虛擬觸點503A及503B。閘極560B位於虛擬觸點503A及503B的近端以及介電材料504的近端,該介電材料504填充在虛擬觸點503A與503B之間及旁邊的空間。在一些實施例中,閘極由未摻雜的半導體材料製成。在一些實施例中,虛擬觸點為線性或鰭狀。在一些實施例中,虛擬觸點為圓柱狀或柱狀。通道509在頂部方向198上與虛擬觸點503A對準,並且通道511在頂部方向198上與虛擬觸點503B對準。通道509及511為閘極全環型電晶體的一部分。在一些實施例中,主動層包括FinFET型電晶體或用以連接至背面互連結構的其他類型的電晶體。通道509為第一通道區508的一部分,並且通道511為通道區510的一部分。在一些實施例中,通道由未摻雜的半導體材料製成。閘極及通道材料選自本質矽、多晶矽、砷化鎵、矽鍺或從業人員熟悉的其他半導體材料。
在第5A圖中,積體電路500包括閘極560B,該閘極560B含有接近虛擬觸點503A的通道509及接近虛擬觸點503B的通道511。閘極560B沈積在第一通道區508中的每一通道509周圍及之間,並且沈積在通道區510中的每一通道511周圍及之間。在一些實施例中,閘極材料及通道以複數個沈積步驟來沈積,從而將閘極及通道材料分層。在一些實施例中,形成通道,在通道周圍形成閘介電材料,並且沈積閘極材料以填充在通道之間。介電材料或閘極介電質沈積在通道509及通道511的外表面上,以將通道與閘極560B分開。
在第4B圖中,硬光罩4MA在虛擬觸點403A的遠端,並且硬光罩4MB在虛擬觸點403B的遠端。虛擬觸點403A、403B已經凹陷並且藉由使虛擬觸點凹陷而形成的開口已填充有硬光罩材料。在一些實施例中,硬光罩材料為碳化物、碳化鎢或其他抗蝕刻材料層,該抗蝕刻材料層設計成在製造操作期間保護虛擬觸點。在一些實施例中,藉由濺射來沈積硬光罩材料。在一些實施例中,藉由CVD或與方法300兼容的一些其他沈積技術來沈積硬光罩材料。硬光罩防止虛擬觸點在製造期間的側向侵蝕,以保持虛擬觸點的寬度。由侵蝕的虛擬觸點形成的較窄背面觸點會導致電路電阻增加,從而導致更大的功耗及更慢的裝置性能。此外,由於難以在移除虛擬觸點之後形成的開口中沈積導電觸點材料,因此在背面觸點的製造期間,較窄的或侵蝕的虛擬觸點與缺陷的增加有關。在一些實施例中,虛擬觸點的整個長度(當虛擬觸點為支撐材料的鰭片時)由遠端上的硬光罩覆蓋。
在第5B圖中,虛擬觸點503A及503B的遠端已經凹陷並且硬光罩位於該遠端上。硬光罩5MA在虛擬觸點503A的遠端,並且硬光罩5MB在虛擬觸點503B的遠端。如以上針對第4B圖所述,硬光罩材料包括碳化矽、碳化鎢或其他抗蝕刻材料,該些抗蝕刻材料對蝕刻製程具有抵抗力,該蝕刻製程用於在形成積體電路的背面觸點之前,移除如方法300中所述的絕緣材料或介電材料且保留虛擬觸點的橫向尺寸。在一些實施例中,虛擬觸點的整個長度(當虛擬觸點為支撐材料的鰭片時)由遠端上的硬光罩覆蓋。
根據一些實施例,方法300包括操作304,其中修整積體電路的源極/汲極區。第4C圖至第4E圖為根據一些實施例的在修整積體電路400的S/D區的製程中積體電路400的橫剖面圖。第5C圖至第5E圖為根據一些實施例的在修整積體電路中的S/D區(諸如S/D區405及406)的側面的製程中積體電路500的橫剖面圖。
修整積體電路的源極/汲極區之步驟涉及以下步驟:[1]移除積體電路背面上的虛擬觸點與支撐材料之間的介電層,[2]在虛擬觸點及虛擬觸點的遠端上的硬光罩上方沈積間隔物材料,[3]蝕刻間隔物材料以移除在大致垂直於(例如,不平行於)虛擬觸點側壁的方向上延伸的部分,及[4]執行蝕刻製程以修整主動層中的S/D區域。
在一些實施例中,藉由濕式或水性蝕刻製程移除介電材料(參見介電材料404及504),以選擇性地移除介電層,而不侵蝕虛擬觸點、硬光罩、S/D區及閘極)。在一些實施例中,藉由塗覆至晶圓背面的稀釋氫氟酸/硫酸溶液移除介電層。在一些實施例中,因為虛擬觸點(或支撐材料)為半導體材料,故稀釋氫氟酸/硫酸(HF/H 2SO 4)混合物選擇性地移除虛擬觸點之間的介電材料。半導體支撐材料在操作303中亦為有益的,其中S/D區在虛擬觸點的近端生長,因為半導體材料將具有與S/D區材料的晶格常數更緊密匹配的晶格常數,該S/D區材料在虛擬觸點的近端生長。移除虛擬觸點的側面上的介電材料為在虛擬觸點側面上沈積間隔物層的背面做好了準備。
操作304包括與在虛擬觸點的側面上形成間隔物有關的步驟。藉由調節間隔物的厚度,可以在修整製程中調整S/D區的寬度,從而提供對積體電路性能的附加控制。保形間隔物材料層沈積在虛擬觸點(包括硬光罩)的頂部及側面上。保形間隔物材料層的厚度由分配用於沈積的沈積時間量來調節。在一些實施例中,保形間隔物材料層的厚度藉由使用濕式蝕刻化學作用進行減薄製程來進一步調節,該濕式蝕刻化學作用用以移除一些而非全部間隔物材料。在一些實施例中,保形間隔物材料層為與方法300的性能兼容的氮化矽或其他間隔物材料層。在沈積間隔物材料之後,執行第一各向異性蝕刻製程以移除間隔物材料的垂直於虛擬觸點側壁的部分。第一各向異性蝕刻移除了間隔物材料的位於用於S/D區及閘極/通道區的虛擬觸點遠端的部分,及間隔物材料抵靠閘極(參見下面的第5D圖)且在積體電路的S/D區之間(參見下面的第4D圖)的部分。在第一各向異性蝕刻之後,間隔物材料的在虛擬觸點側壁上的部分保留(參見間隔物412A~412D及間隔物512A~512D)。
藉由執行第二各向異性蝕刻製程來修整S/D區,該第二各向異性蝕刻製程對閘極材料、硬光罩材料及間隔物材料具有選擇性的且移除了S/D區的材料。蝕刻製程將S/D區的寬度減小至約虛擬觸點的寬度加上虛擬觸點側壁上的間隔材料的厚度的兩倍(參見下面的第4D圖及第5D圖),因為S/D區域與間隔物材料的側壁對準。在一些實施例中,藉由S/D修整蝕刻使閘極材料凹陷,而不影響積體電路的性能。
根據一些實施例,積體電路的製造製程使用S/D區的寬度及/或虛擬觸點上的間隔物材料的厚度的下游量測作為動態處理參數。來自S/D寬度或間隔物材料厚度的下游量測的反饋提供了附加度量,用於調節製造製程以實現積體電路裝置規格內的裝置結構及性能特性。
第4C圖至第4E圖為積體電路400的橫剖面圖,並且第5C圖至第5E圖為在類似於上述操作304的製造操作期間積體電路500的橫剖面圖。
在第4C圖中,已移除介電材料404,以曝露虛擬觸點的側面及硬光罩在虛擬觸點遠端處的側面。在第4C圖中,藉由移除介電材料404來曝露S/D區405及406。
在第5C圖中,已移除介電材料504,以曝露閘極560B的底部且曝露虛擬觸點的側面及硬光罩5MA及5MB在虛擬觸點遠端處的側面。
在第4D圖及第5D圖中,已在虛擬觸點403A及403B的側面以及硬光罩4MA及4MB的側面及末端上沈積了間隔物。因此,在第4D圖中,間隔物412A及間隔物412B在虛擬觸點403A及硬光罩4MA的側面上,並且間隔物412C及間隔物412D在虛擬觸點403B及硬光罩4MB的側面上。在每一虛擬觸點上,間隔物自硬光罩向下延伸至S/D區。
在第4D圖中,虛擬觸點403A具有第一寬度40C,並且虛擬觸點403B具有第二寬度41C。根據一些實施例,第一寬度40C及第二寬度41C為相同的寬度。根據一些實施例,第一寬度40C及第二寬度41C為不同的寬度。間隔物412A具有厚度40A,間隔物412B具有厚度40B,間隔物412C具有厚度41A,並且間隔物412D具有厚度41B。
在第4E圖中,已修整S/D區405,並使側壁與間隔物412A及412B的側面垂直對準,並且已修整S/D區406,使側壁與間隔物412C及412D的側面垂直對準。因此,在執行修整蝕刻之後,S/D區的寬度與虛擬觸點的寬度及位於S/D區正上方的間隔物的厚度的總和大致相同。因此,S/D區405的寬度(寬度40D)約為虛擬觸點的寬度(第一寬度40C)加上間隔物412A及間隔物412B的厚度(厚度40A及40B): 40D ≈ 40C + 40B + 40A ≈ 40C + 2[40A]                      方程式(1)。
類似地,S/D區406的寬度(寬度41D)約為虛擬觸點的寬度(第二寬度41C)加上間隔物412C及間隔物412D的厚度(厚度41A及41B): 41D ≈ 410C + 41B + 41A ≈ 41C + 2[41A] 方程式(2)。
在第5D圖中,間隔物512A~512D自硬光罩5MA及5MB延伸至閘極560B。在一些實施例中,間隔物沿著硬光罩的整個垂直側壁延伸。在一些實施例中,由於間隔物已侵蝕,故曝露硬光罩側的遠端。第5D圖中的虛擬觸點的尺寸具有與第4D圖中的虛擬觸點的相應尺寸相同的標識數字,遞增了100。第5D圖中的間隔物的尺寸具有與第4D圖中的間隔物的尺寸相同的標識數字,遞增了10。
在第5E圖中,在執行S/D區修整蝕刻製程之後,未修改閘極560B。在一些實施例中,閘極在不受虛擬觸點或虛擬觸點側面上的間隔物保護的區域處遭受某種侵蝕。只要該閘極侵蝕不會在虛擬觸點側面上的間隔物下方延伸,就不會對裝置的性能產生不利影響,從而減少了虛擬觸點與通道之間的閘極材料量。
根據一些實施例,方法300包括可選操作306,其中積體電路的通道區彼此隔離。第4F圖至第4J圖及第5F圖至第5J圖為在執行可選操作306期間積體電路的橫剖面圖。將積體電路的通道區彼此隔離之步驟包括以下步驟:[1]在操作304中形成的修整S/D區上方/之間沈積第一介電材料(參見第一介電材料414),[2]使第一介電材料凹陷以曝露虛擬觸點、間隔物及虛擬觸點上的硬光罩以及待蝕刻的閘極(參見閘極560B),[3]選擇性地蝕刻積體電路的通道區之間的閘極材料,以形成單獨的閘極(參見閘極560B1及560B2),[4]用第二介電材料(參見第二介電材料515)填充相鄰(例如,新劃分的)閘極之間的開口,及[5]使第二介電材料凹陷以曝露閘極及積體電路的S/D區之間的保護層。
沈積第一介電材料之步驟包括與上述介電材料的CVD沈積有關的步驟。在一些實施例中,第一介電材料為二氧化矽層、旋塗式玻璃、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、硼磷矽玻璃(borophosphosilicate glass,BPSG)或與方法300兼容的一些其他介電材料。
使第一介電材料凹陷以曝露虛擬觸點、間隔物及虛擬觸點上的硬光罩且曝露待蝕刻的閘極之步驟包括與上述介電材料的選擇性回蝕有關的操作。在一些實施例中,第一介電材料由選擇性的濕式或水性蝕刻製程移除。在一些實施例中,使用稀氫氟酸/硫酸(HF/H 2SO 4)混合物來移除第一介電材料,從而在虛擬觸點的側面上留下間隔物且使虛擬觸點遠端處的硬光罩完好無損。進行使第一介電材料凹陷之步驟,直至曝露出通道區中的閘極,而不曝露積體電路的S/D區(參見下面的第4G圖及第5G圖)。
蝕刻閘極材料之步驟包括以下相關步驟:在晶圓的背面上沈積圖案化材料層,將圖案轉移至圖案化材料層,經由形成於圖案化材料層中的開口蝕刻閘極材料,及自積體電路的背面移除圖案化材料層。蝕刻閘極材料之步驟包括以下步驟:對閘極材料具有選擇性的各向異性蝕刻,並且其中圖案化材料層在各向異性蝕刻製程期間保護其他背面特徵。閘極材料的各向異性蝕刻將閘極材料分成較小的部分,每一部分在其中具有通道,且用以在完成時便獨立地連接至背面互連結構。
用第二介電材料填充閘極材料的較小部分之間的開口之步驟包括與沈積如上所述的介電材料有關的步驟。在一些實施例中,藉由磊晶沈積來沈積第二介電材料。在一些實施例中,藉由原子層沈積來沈積第二介電材料。在一些實施例中,藉由化學氣相沈積來沈積第二介電材料。在一些實施例中,第二介電材料為二氧化矽、旋塗式玻璃、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、硼磷矽玻璃(borophosphosilicate glass,BPSG)等。
使第二介電材料凹陷之步驟包括以下步驟:執行蝕刻或凹陷製程,該蝕刻或凹陷製程與對第一介電材料層執行的凹陷製程相似。然而,第二介電層的凹陷包括更強的蝕刻劑,以不僅移除閘極下方的第二介電材料(例如,超出閘極/虛擬觸點介面的底部),而且移除虛擬觸點側面的間隔物材料。在執行可選操作306之後,積體電路的S/D區保持由第二介電材料覆蓋。當不執行可選操作306時,積體電路的S/D區保持由第一介電材料覆蓋。
第4F圖至第4J圖及第5F圖至第5J圖為在執行可選操作306期間積體電路的橫剖面圖。
在第4F圖中,第一介電材料414沈積在S/D區405與406之間以及虛擬觸點403A與403B之間。第一介電材料414經平坦化以曝露硬光罩4MA及4MB的遠端以及間隔物412A~412D的末端。第一介電材料414提供積體電路400中的S/D區的穩定性或保護以及電隔離。
在第5F圖中,第一介電材料514沈積在虛擬觸點403A與403B之間。第一介電材料414經平坦化以曝露硬光罩5MA及5MB的遠端以及間隔物412A~412D的末端。
在第4G圖中,第一介電材料414已經凹陷,以曝露虛擬觸點側面上的間隔物412A~412D。S/D區405及406保持由第一介電材料覆蓋。
在第5G圖中,自間隔物512A~512D的側面完全移除第一介電材料514,從而曝露閘極材料560。
在第4H圖中,與第4G圖中的橫剖面圖相比,積體電路400的橫剖面圖保持不變。
在第5H圖中,在通道區508與通道區510之間蝕刻了開口501,從而形成兩個閘極:抵靠虛擬觸點503A的閘極560B2及抵靠虛擬觸點503B的閘極560B1。通道區508的側壁與間隔物512B的側壁對準,並且通道區510的側壁與間隔物512C的側壁對準。
第4I圖為在第一介電材料414、間隔物412A~412D及硬光罩4MA及4MB上沈積第二介電材料515之後的積體電路400的橫剖面圖。在第4I圖中,第二介電材料515經平坦化,並且曝露硬光罩4MA及4MB的遠端。
第5I圖為在通道區508與510之間以及閘極560B1及560B2、間隔物512A~512D及硬光罩5MA及5MB上方沈積第二介電材料515之後的積體電路500的橫剖面圖。在第4I圖中,第二介電材料515經平坦化以曝露硬光罩5MA及5MB的遠端。
在第4J圖中,自虛擬觸點403A及403B的側面、硬光罩4MA及4MB的側面以及第一介電材料414的表面移除了積體電路400的間隔物412A~412D。
在第5J圖中,自虛擬觸點503A及503B的側面以及硬光罩5MA及5MB的側面移除了間隔物512A~512D,從而曝露閘極560B1及560B2。
根據一些實施例,方法300包括操作308,其中在積體電路的背面上形成絕緣光罩。第4K圖及第5K圖為積體電路的實施例的橫剖面圖,其中絕緣光罩形成在虛擬觸點及積體電路的背面上方。操作308的步驟包括:[1]在第一介電材料、閘極、第二介電材料、虛擬觸點的側面及硬光罩上沈積保形絕緣層(例如,參見保形絕緣層416及保形絕緣層516),[2]在保形絕緣層上沈積絕緣材料(參見絕緣材料418、518),及[3]藉由蝕刻製程使絕緣材料凹陷,以曝露虛擬觸點上方的保形絕緣層的遠端部分。
沈積保形絕緣層之步驟包括與以上給出的步驟相似的步驟:在虛擬觸點及硬光罩上沈積間隔物材料。在一些實施例中,保形絕緣層為間隔物材料,諸如氮化矽或與方法300兼容的任何其他間隔物材料。間隔物材料藉由化學氣相沈積(chemical vapor deposition,CVD)製程,諸如電漿增強CVD (plasma enhanced CVD,PECVD)、低壓CVD (low pressure CVD,LPCVD)等,或用於在第一介電材料、閘極材料及第二介電材料上沈積保形層的任何其他製程。在一些實施例中,沈積在保形絕緣層上的絕緣材料為二氧化矽、BPSG、旋塗式玻璃、低k介電材料或與選擇性回蝕兼容而不會損壞或穿透虛擬觸點及硬光罩(當虛擬觸點的底部存在硬光罩時)上方的保形絕緣材料的一些其他介電材料中的一者。在一些實施例中,絕緣材料由CVD、旋塗或回流製程或與方法300兼容的一些其他沈積製程來沈積。保形絕緣層保留在積體電路中,且在方法300的操作期間進行較小的調整(從而形成開口、自開口處修整)。
在保形絕緣材料上沈積絕緣材料之步驟包括與原子層沈積、化學氣相沈積(CVD)或與在積體電路的背面上沈積介電材料之步驟兼容的其他沈積技術相關的步驟。在一些實施例中,較厚地沈積絕緣材料,以覆蓋虛擬觸點的末端及沈積在該些末端上的保形絕緣材料,並且使絕緣材料變薄或凹陷,以曝露虛擬觸點(或在一些實施例中,基板材料的鰭片)的遠端(及側壁的顯著部分)。
在一些實施例中,使絕緣材料凹陷之步驟包括以下步驟:執行液體或水性蝕刻製程,其中蝕刻劑對絕緣材料具有選擇性的,並且以極慢的速率移除保形絕緣層。在一些實施例中,使絕緣材料凹陷之步驟包括以下步驟:執行對保形絕緣層上方的絕緣材料有選擇性的電漿蝕刻製程。在一些實施例中,用於使絕緣材料凹陷的水溶液包括稀氫氟酸、稀硫酸、鹽酸或其他酸或蝕刻劑,該些酸或蝕刻劑以使絕緣材料的蝕刻速率比保形絕緣層的蝕刻速率高的方式被混合。
在第4K圖及第5K圖中,已經沈積了保形絕緣層,並且已經沈積了第一絕緣材料並凹陷,以曝露由保形絕緣層覆蓋的虛擬觸點的遠端部分。在虛擬觸點的側面上並抵靠主動層101的保形絕緣層保留在最終積體電路中,以使背面電極及導電材料與背面觸點及電源軌電隔離。保形絕緣層及第一絕緣材料包含抵靠主動區域的底部的絕緣光罩。
第4K圖至第5K圖為在執行操作308期間積體電路400及積體電路500的橫剖面圖。
在第4K圖中,保形絕緣層416在第一介電材料414的曝露表面上,在虛擬觸點403A及403B的側面上以及在硬光罩4MA及4MB的側面及末端上。絕緣材料118已沈積在保形絕緣層416的曝露表面上,並且經凹陷以曝露虛擬觸點403A及403B的遠端部分,且曝露保形絕緣層416。絕緣材料418的曝露表面與虛擬觸點403B的遠端與硬光罩4MB之間的介面相距偏移距離429。
在第5K圖中,保形絕緣層516在閘極560B1及560B2的曝露表面上,並且在通道區508與510之間的第二介電材料515上。絕緣材料518已沈積在保形絕緣層516的曝露表面上,且經凹陷以曝露虛擬觸點503A、503B的遠端部分。絕緣材料518的曝露表面與虛擬觸點503B的遠端與硬光罩5MB之間的介面相距偏移距離529。
方法300包括操作310,其中穿過絕緣光罩形成開口。如上文針對操作308所述,絕緣光罩包括至少保形絕緣層及沈積在該保形絕緣層上的第一絕緣材料。操作310包括以下步驟:[1]在絕緣光罩層上方產生圖案化的光罩層,及[2]移除絕緣光罩層的一部分。在一些實施例中,穿過絕緣光罩層的開口曝露出硬光罩及在圖案化光罩層中的開口內曝露的保形絕緣層下方的虛擬觸點(參見下面的第4L圖及第5L圖)。在一些實施例中,穿過絕緣光罩層的開口曝露閘極(參見下面的第5T圖)。
保形絕緣層中的開口的圖案對應於至積體電路的S/D區的背面觸點的圖案(其中一個汲極區連接至另一汲極區)或對應於積體電路的閘極/通道區的背面觸點的圖案。在一些實施例中,保形絕緣層中的開口的圖案對應於積體電路的所主動極/汲極區。在一些實施例中,積體電路的部分源極/汲極區具有保形絕緣層中的相應開口,並且積體電路的部分源極/汲極區不具有保形絕緣層中的相應開口。保形絕緣層中的開口的圖案與積體電路的頂面互連結構的佈局有關,以便在背面或頂面上為每一S/D區及每一閘極提供電性連接。
圖案化材料層沈積在絕緣光罩上方,並且在圖案化材料層中產生圖案以曝露保形絕緣層的在積體電路的虛擬觸點上方的部分。在一些實施例中,圖案開口曝露虛擬觸點之間並與閘極相鄰的保形絕緣材料(參見第5T圖,圖案化材料540)。根據一些實施例,圖案化材料層為光阻劑層,並且藉由曝露光阻劑並顯影光阻劑以移除部分光阻劑層而在該光阻劑層中產生圖案。在一些實施例中,圖案化材料層與紫外線或電子束微影術兼容,並且使用本領域已知的技術產生圖案,該些技術與回應於紫外線及電子束圖案轉移的材料兼容。
在一些實施例中,移除絕緣光罩層的一部分的製程包括以下步驟:執行電漿蝕刻製程,以便曝露用於絕緣光罩層的支撐件(例如,開口內的虛擬觸點及硬光罩,如第5L圖中所示或開口內的閘極材料,如第5T圖所示)。根據一些實施例,移除絕緣光罩層的一部分的製程包括以下步驟:執行濕式蝕刻製程,其中蝕刻劑化學物質對保形絕緣層具有選擇性的,並且對於支撐體的材料具有低移除率。在一些實施例中,使用電漿蝕刻製程來打開絕緣光罩層。根據一些實施例,與電漿蝕刻製程離子轟擊具有破壞閘極材料表面並導致侵蝕的趨勢相比,執行濕式蝕刻製程以選擇性地打開保形絕緣層之步驟損壞閘極材料的可能性較小。此外,與電漿蝕刻相比,一些濕式蝕刻製程在移除材料時具有更高的選擇性。在一些實施例中,藉由改變蝕刻溶液的蝕刻劑的溫度及濃度來調節隔離光罩材料的總蝕刻速率。操作310亦包括與自絕緣光罩上方移除圖案化材料層,以曝露開口周圍的絕緣光罩相關聯的步驟。
在第4L圖中,在保形絕緣層416及絕緣材料418上沈積圖案化材料層420。在圖案化材料層420中形成了開口421,並且對保形絕緣層416進行蝕刻,以形成開口417,該開口417曝露出硬光罩4MA及虛擬觸點403A。用於背面互連的開口不跨越虛擬觸點的整個長度,但跨越虛擬觸點的與單個S/D區或單個閘極相鄰的部分。
在第5L圖中,圖案化材料層520已沈積在保形絕緣層516及絕緣材料518上。開口521已形成在圖案化材料層520中,並且保形絕緣層516被蝕刻,以形成開口517,硬光罩5MA及虛擬觸點503A經由該開口517曝露。
根據一些實施例,方法300包括操作312,其中將導電材料沈積在絕緣光罩上方及絕緣光罩的開口中。在一些實施例中,將導電材料直接沈積在積體電路背面的絕緣光罩上(參見第4M圖、第5M圖及第5Q圖)。在第4M圖及第5M圖中,將導電材料沈積在絕緣光罩上方及在操作310期間產生的絕緣光罩的開口中。根據一些實施例,在絕緣光罩上方沈積導電材料之步驟包括濺射製程及/或金屬材料的電沈積。在一些實施例中,沈積導電材料之步驟包括以下步驟:沈積種晶層以促進具有均勻覆蓋及減少缺陷的電沈積。根據一些實施例,導電材料包括鎢、鈷、鈦、鉑、鈀、鉭、鋁及其合金,或與沈積至虛擬觸點之間的空間中而不產生空隙兼容的其他材料。
在一些實施例中,在絕緣光罩上方以及在絕緣光罩的開口中沈積導電材料之步驟包括使導電材料凹陷以具有垂直尺寸的進一步步驟,該垂直尺寸小於延伸遠離絕緣材料的虛擬觸點的垂直尺寸。在一些實施例中,操作312包括在導電材料上沈積第二絕緣材料層的步驟(在凹陷以具有如上所述較小的垂直尺寸之後)。第二絕緣材料層將導電材料電隔離或封裝在兩層絕緣材料之間,以防止侵蝕及對背面互連形成短路。在一些實施例中,在沈積第二絕緣材料層之後,使用化學機械研磨步驟將積體電路平坦化,以產生用於後續操作的平坦表面。平坦化步驟移除硬光罩的末端的保形絕緣層,以曝露硬光罩及任何剩餘的支撐材料(參見第2圖中的S/D區之間以及背面觸點1BB與2BB之間的第一主動區域162A)以用於後續操作。
在第4M圖中,在絕緣材料418的頂部沈積了導電材料層427。導電材料層包括位於虛擬觸點403A與虛擬觸點403B之間的背面互連426、位於虛擬觸點403A的與背面互連426相對的一側上的導電材料427B,及位於虛擬觸點403B的與背面互連426相對的一側上的導電材料427A。背面互連426直接抵靠虛擬觸點403A。在一些實施例中,背面互連426抵靠小於曝露在開口417內的虛擬觸點403A的整個側面。在一些實施例中,背面互連426直接抵靠曝露在開口417內的虛擬觸點403A的整個側面。在一些實施例中,背面互連亦抵靠虛擬觸點403A的整個側面及硬光罩4MA的側面。第二絕緣材料419沈積在導電材料層427及保形絕緣層416上。
第二絕緣材料419經平坦化以曝露硬光罩4MA及4MB的遠端,從而將保形絕緣層分成多個部分:保形絕緣層部分416A在虛擬觸點403B的第一側上(抵靠導電材料427B)、保形絕緣層部分416B在虛擬觸點403B的第二側上且抵靠背面互連426。保形絕緣層部分416B在絕緣材料418下方自虛擬觸點403B延伸至第一側虛擬觸點403A。保形絕緣層部分416C在虛擬觸點403A的第二側且抵靠導電材料527B,並在絕緣材料518下方抵靠第一介電材料414延伸。
在第5M圖中,具有相似功能及描述的結構為第4M圖的元件,該些元件具有相同的標識數字,遞增了100。在第5M圖中,在平坦化第二絕緣材料519之後,曝露硬光罩5MA及5MB。導電材料層包括在虛擬觸點503A與虛擬觸點503B之間的背面互連526、在虛擬觸點503A的與背面互連526相對的一側上的導電材料527B,及在虛擬觸點503B的與背面互連526相對的一側上的導電材料527A。背面互連526直接抵靠虛擬觸點503A。在一些實施例中,背面互連526抵靠小於在開口517內曝露的虛擬觸點503A的整個側面。在一些實施例中,背面互連526直接抵靠在開口517內曝露的虛擬觸點503A的整個側面。在一些實施例中,背面互連亦抵靠在虛擬觸點503A的整個側面及硬光罩505A的側面上。第二絕緣材料519沈積在導電材料層527及保形絕緣層516上。
第二絕緣材料519經平坦化以曝露硬光罩505A及505B的遠端,從而將保形絕緣層分成多個部分:保形絕緣層部分516A在虛擬觸點503B的第一側上(抵靠導電材料527B)、保形絕緣層部分516B在虛擬觸點503B的第二側上且抵靠背面互連526。保形絕緣層部分516B在絕緣材料518下方自虛擬觸點503B延伸至第一側虛擬觸點503A。保形絕緣層部分516C在虛擬觸點503A的第二側且抵靠導電材料527B,並在絕緣材料518下方抵靠閘極560B2延伸。
方法300包括操作311,其中導電材料沈積在閘極上且沈積在穿過絕緣光罩的開口中。在方法300的操作308的實施例中,其中穿過絕緣光罩的開口形成在虛擬觸點之間並曝露閘極,在閘極上沈積導電阻障層以將訊號自背面互連傳輸至閘極且在閘極中啟動一或多個通道。根據一些實施例,導電阻障層包含磊晶生長的半導體材料,諸如矽、矽鍺或本領域中使用的其他半導體材料。在一些實施例中,導電襯墊沈積在開口中且抵靠閘極,以阻礙導電阻障層材料擴散至閘極中。在一些實施例中,導電阻障層為導電襯墊材料(例如,金屬氮化物),該導電襯墊材料阻礙背面互連的導電材料擴散至閘極中。
第5T圖為根據一些實施例的在執行操作311之後的積體電路500的橫剖面圖。在第5T圖中,圖案化材料層540沈積在絕緣光罩(保形絕緣層516及絕緣材料518)上以及在虛擬觸點503A與503B之間形成於該絕緣光罩中的開口521上。絕緣材料518及保形絕緣層516自開口521內的閘極560B移除,並且導電阻障層532沈積在虛擬觸點503A與503B之間並且直接在閘極560B上。在一些實施例中,導電阻障層為電性連接至積體電路的閘極560B的背面閘極觸點。根據一些實施例,導電阻障層532包含磊晶生長的半導體材料,諸如矽、矽鍺或本領域中使用的其他半導體材料。導電阻障層保護閘極560B免受金屬在互連中擴散的污染,該互連形成於導電阻障層532的底面上。在一些實施例中,導電阻障層為沈積的金屬層,諸如矽、鎢、鈦、鈷、鎳、鉑、鈀及其合金,以及與方法300的性能兼容的其他金屬。在一些實施例中,導電阻障層為金屬的金屬氮化物,諸如矽、鎢、鈦、鈷、鎳、鉑、鈀及其合金,以及與方法300的性能兼容的其他金屬。在一些實施例中,介電阻障層沈積在閘極560B上,以防止金屬擴散至閘極560B中。介電阻障層包括諸如矽、鎢、鈦、鈷、鎳、鉑、鈀及其合金的材料的氮化物,以及用於閘極的其他氮化物。
根據一些實施例,方法300包括操作314,其中形成背面觸點。在第4N圖及第4O圖中,背面觸點4AA及4BB抵靠主動層的S/D區,並且背面觸點4AA在執行操作314之後電性連接至背面互連426。形成背面觸點之步驟包括以下步驟:[1]在第二絕緣材料上沈積光罩層,[2]在光罩層中產生圖案以曝露用於背面觸點的位置,[3]執行蝕刻製程以移除圖案化光罩層內的多個曝露位置處的虛擬觸點(或支撐材料的曝露部分),同時保留支撐材料的未曝露部分,及[4]在藉由移除支撐材料的曝露部分而形成的開口中沈積導電觸點材料。在開口中沈積導電觸點材料之後,背面觸點將積體電路的主動層的元件彼此電性連接。第6A圖至第11圖為積體電路佈局中的背面互連的非限制性實例。
如上所述,或在第14圖的討論中,沈積光罩層並在光罩層中產生圖案以曝露用於背面觸點的位置。移除虛擬觸點的蝕刻製程為各向異性電漿蝕刻製程或選擇性濕式蝕刻製程,經由圖案化的光罩層中的開口,並曝露S/D區的底部(參見第4N圖的S/D區405)及/或通道區(參見第5N圖的通道區508)中的閘極的底部。將導電觸點材料沈積在開口中之步驟藉由電鍍法、濺射或形成觸點的一些其他技術(在觸點主體內形成極少的空隙或沒有空隙)來執行。
在一些實施例中,由於在根據電路規格的背面互連結構的層中支撐材料使背面觸點彼此電隔離,因此在操作314期間將虛擬觸點或支撐材料鰭片留在原處。在一些實施例中,在圖案化、蝕刻掉虛擬觸點,以及用介電材料填充藉由移除虛擬觸點而形成的開口的單獨循環中移除虛擬觸點,以便在背面觸點之間提供增強的電隔離。
在一些實施例中,製造背面觸點之步驟包括進一步處理步驟,其中移除或修改導電觸點材料的遠端,以將觸點與包括背面電源軌的積體電路的其餘部分密封或隔離。在第4O圖中,背面觸點4AA包括抵靠隔離栓塞4CC上的觸點。
在第4N圖中,積體電路400含有直接連接至背面互連426及S/D區405的背面觸點4AA。背面觸點4BB電性連接至S/D區406,但與導電材料層427電隔離。藉由蝕刻製程移除了積體電路背面上的虛擬觸點,以形成開口,在該開口中沈積了導電觸點材料。用於移除硬光罩及虛擬觸點的蝕刻製程包括以下步驟:[1]遮蔽積體電路的背面以僅曝露虛擬觸點的一部分用於單個裝置互連,[2]執行蝕刻製程以移除虛擬觸點的曝露部分,及[3]在將導電觸點材料沈積至由此形成的開口中之前移除光罩層。
根據一些實施例,導電觸點材料為諸如鎢、鉭、鈦、鉑、鈀、鎳或其合金的金屬,或用於與半導體裝置的主動區域相鄰的互連的其他導電金屬。在一些實施例中,藉由濺射或電沈積將導電觸點材料沈積至開口中。
在將導電觸點材料沈積至開口中之後,將背面平坦化以曝露第二絕緣材料419。第二絕緣材料419電隔離背面互連426與背面電源軌的直接物理接觸(參見下面的第4P圖)。
在第5N圖中,積體電路500含有直接連接至背面互連526及閘極560B2的背面觸點5AA。背面觸點5BB電性連接至S/D區506及閘極560B1。積體電路背面上的虛擬觸點藉由蝕刻製程移除,以形成開口,在該開口中沈積了導電觸點材料。用於移除硬光罩及虛擬觸點的蝕刻製程包括以下步驟:[1]遮蔽積體電路的背面以僅曝露虛擬觸點的一部分用於單個裝置互連,[2]執行蝕刻製程以移除虛擬觸點的曝露部分,及[3]在將導電觸點材料沈積至由此形成的開口中之前移除光罩層。
根據一些實施例,導電觸點材料為諸如鎢、鉭、鈦、鉑、鈀、鎳或其合金的金屬,或用於與半導體裝置的主動區域相鄰的互連的其他導電金屬。在一些實施例中,藉由濺射或電沈積將導電觸點材料沈積至開口中。
在將導電觸點材料沈積至開口中之後,將背面平坦化以曝露第二絕緣材料519。第二絕緣材料519電隔離背面互連526與背面電源軌的直接物理接觸(參見下面的第5P圖)。
第4O圖為積體電路400的橫剖面圖,其中背面觸點4AA的遠端部分由隔離栓塞4CC替代,其中隔離栓塞4CC防止電流在背面電源軌與背面觸點4AA之間流動。隔離栓塞包含形成在觸點的遠端上的氮化物、碳化物或氧化物材料,或形成在凹陷開口中的一些其他絕緣材料。背面觸點4BB電性連接至S/D區406,並且能夠與背面電源軌抵靠第二絕緣材料419進行電性連接。
在第5O圖中,隔離栓塞5CC抵靠背面觸點5AA的底端,並且直接抵靠在閘極560B2下方的膜堆疊的底部處的第二絕緣材料519上。背面觸點5AA直接連接至背面互連526,並且藉由隔離栓塞5CC電隔離以免與背面電源軌接觸。背面觸點5BB電性連接至閘極560B1且用以電性連接至背面電源軌。
根據一些實施例,方法300包括操作316,其中背面電源軌電性連接至至少一個背面觸點。藉由將金屬層沈積在積體電路的背面上、在毯覆金屬層上形成圖案化光罩,及執行蝕刻製程以移除毯覆金屬層的曝露部分,從而在積體電路的背面上(例如,抵靠第二絕緣材料及/或背面觸點的遠端)形成背面電源軌。圖案化光罩以具有複數個線或墊,使得光罩線對應於來自積體電路的頂面的背面電源軌及背面觸點或電力傳輸通孔的位置。藉由電漿或濕式蝕刻製程移除毯覆金屬層的曝露部分,以曝露第二絕緣材料(參見第4P圖中的第二絕緣材料119)。用於製造背面電源軌的金屬層包括鎢、鈦、鉑、鈀、鈷、鋁或與積體電路電晶體區中的導電線兼容的另一金屬。
第4P圖及第5P圖為根據一些實施例的積體電路的橫剖面圖。如上所述,第4P圖及第5P圖為在執行方法300的操作316之後的積體電路400及積體電路500的視圖。第4P圖為在沈積背面電源軌530及531之後的積體電路400的橫剖面圖,該些背面電源軌530及531沈積在第二絕緣材料419及隔離栓塞4CC上。第5P圖為積體電路500的橫剖面圖,其中隔離栓塞5CC抵靠背面電源軌530及背面觸點5AA,並且背面電源軌531電性連接至背面觸點5BB。
第5Q圖為積體電路500在保形絕緣層516中沒有開口的位置處的橫剖面圖。在第5Q圖中,背面互連526D與背面觸點及閘極電隔離。背面觸點5AA藉由隔離栓塞5CC與背面電源軌530電隔離。背面觸點5BB電性連接至背面電源軌531及閘極560B2。在第5Q圖中,背面互連526藉由保形絕緣層516與觸點5EE及5FF電隔離。
如上所述,在操作310中,方法300的一些實施例包括以下步驟:形成穿過絕緣光罩層的開口以曝露閘極。第5R圖至第5U圖為根據一些實施例的在執行方法300期間積體電路500的橫剖面圖。在第5R圖至第5U圖中,單個背面互連在虛擬觸點503A與503B之間,且用以電啟動通道區508及通道區510兩者中的通道。第5R圖至第5U圖的元件類似於積體電路500的結構及功能,為方便起見,在第5A圖至第5P圖中描述的結構及功能具有相同的標識數字。
第5R圖為根據一些實施例的在執行操作304之後的積體電路500的橫剖面圖。為方便起見,給出了第5R圖,並且第5R圖的描述對應於以上對第5E圖的描述。
第5S圖為如上所述的在執行操作308之後的積體電路500的橫剖面圖。為方便起見,給出了第5S圖,並且第5S圖的描述對應於以上給出的第5K圖的描述。
第5T圖為積體電路500的橫剖面圖,其中形成穿過絕緣光罩的開口曝露閘極560B的一部分。在第5T圖中,圖案化材料層540沈積在絕緣光罩(保形絕緣層516及絕緣材料518)上以及在虛擬觸點503A與503B之間形成於該絕緣光罩中的開口521上。絕緣材料518及保形絕緣層516自開口521內的閘極560B移除,並且導電阻障層532沈積在虛擬觸點503A與503B之間且直接在閘極560B上。在一些實施例中,導電阻障層為電性連接至積體電路的閘極560B的背面閘極觸點。根據一些實施例,導電阻障層532包含磊晶生長的半導體材料,諸如矽、矽鍺或本領域中使用的其他半導體材料。導電阻障層保護閘極560B免受金屬在互連中擴散的污染,該互連形成於導電阻障層532的底面上。在一些實施例中,導電阻障層為沈積的金屬層,諸如矽、鎢、鈦、鈷、銅、鎳、鉑、鈀及其合金,以及與方法300的性能兼容的其他金屬。在一些實施例中,導電阻障層為金屬的金屬氮化物,諸如矽、鎢、鈦、鈷、鎳、鉑、鈀及其合金,以及與方法300的性能兼容的其他金屬。在一些實施例中,介電阻障層沈積在閘極560B上,以防止金屬擴散至閘極560B中。介電阻障層包括諸如矽、鎢、鈦、鈷、銅、鎳、鉑、鈀及其合金的材料的氮化物,以及用於閘極的其他氮化物。
在第5U圖中,在絕緣材料層518、導電阻障層532及保形絕緣層516上沈積了導電材料層527。減薄導電材料層,以使得導電材料層的垂直尺寸小於虛擬觸點的遠端與導電阻障層532的底端之間的虛擬觸點的垂直尺寸。第二絕緣材料層519沈積在導電材料層527及背面互連526上。由於背面互連526位於通道區508與通道區510之間,穿過背面互連526的訊號能夠觸發電流同時流過兩個通道區中的通道。
第6A圖及第6B圖為根據一些實施例的積體電路600的佈局視圖。第6A圖為積體電路佈局的頂視圖,並且第6B圖為背面積體電路佈局。在積體電路600中,複數個導電線606沿著VDD線602及VSS線604的長度以彼此隔開的間距691定位。在一些實施例中,導電線為在積體電路的主動區域上延伸的折線。第一閘極608及第二閘極610位於積體電路中,並且藉由頂面觸點609電性連接至折線。積體電路600中的單元具有在垂直於積體電路的VDD線602及VSS線604的方向上與導線606的長度相對應的單元高度690。在積體電路600中,複數個源極/汲極區電性連接至VDD及VSS線,但為了清楚起見而省略。
在第6B圖中,VDD線602及VSS線604在積體電路上水平延伸,其中複數個背面通孔665A、665B電性連接至VDD線602,並且複數個背面通孔665C、665D及665B電性連接至VSS線604。背面互連670沿與VDD線602及VSS線604相同的方向延伸,並且將背面通孔665D電性連接至背面通孔665B。在積體電路中,與VDD線602相鄰的主動區域(第一主動區域620)具有主動區域寬度602W。積體電路的P型摻雜區域與N型摻雜區域之間的空間具有第一高度655,並且第二主動區域622具有第二主動區域寬度603W。根據一些實施例,第一主動區域620為N型主動區域,並且第二主動區域為P型主動區域。第一區域668A為絕緣光罩的區域,該區域藉由蝕刻製程開口以形成背面通孔665D。第二區域668B為絕緣光罩的區域,該區域藉由蝕刻製程開口以形成背面通孔665B。
第7圖為包括背面互連740的積體電路700的背面佈局的視圖。背面互連740將背面觸點730B電性連接至背面觸點730D。背面觸點730B電性連接至VDD線702,並且背面觸點730D電性連接至VSS線704。折線706在積體電路佈局中的位置描繪了背面觸點730B與背面觸點730D之間的空間量。在第7圖中,背面互連740電橫向連接至背面互連的相對側上的背面觸點。在一些實施例中,背面互連將背面觸點電性連接至背面互連的同一側上的電晶體。
第8圖為具有背面互連840的積體電路800的背面佈局的視圖。VDD線802延伸穿過與VSS線804平行的積體電路。背面互連840在與VDD線802及VSS線804相同的方向上延伸,而不直接電接觸VDD線或VSS線。在積體電路800中,背面互連840在具有背面觸點830A~830F的積體電路的垂直結構中處於相同位準。背面互連840自大致折線806A延伸至大致折線806F,在折線806B~806E下方交叉。背面互連840電性連接背面觸點830A、背面觸點830C及背面觸點830D。背面觸點830B、背面觸點830E及背面觸點830F與背面互連840電隔離。在積體電路800中,藉由背面互連840電性連接或位於背面互連840的同一側上的所有觸點均電性連接至同一背面電源軌:VDD線802。在一些實施例中,背面互連連接複數個背面互連,該些背面互連交替地連接至背面互連的一側,然後連接至背面互連的另一側。在積體電路800中,藉由背面互連電性連接的背面觸點彼此分開至少一個折線間距,如上面在第6A圖中所述。
第9圖為根據一些實施例的積體電路900的背面佈局的視圖。在積體電路900中,背面互連940將背面觸點930A電性連接至背面觸點930C。背面觸點930A及背面觸點930B電性連接至VDD線902,並且背面觸點930C及背面觸點930D電性連接至VSS線904。積體電路900的橫剖面圖類似於第4O圖中的積體電400路的橫剖面圖,除了積體電路400的背面互連426電性連接背面觸點4AA及背面觸點4BB兩者之外。
第10A圖為根據一些實施例的積體電路1000的背面互連的佈局視圖,該積體電路1000的背面互連將一或多個S/D區電性連接至積體電路的閘極。積體電路1000的元件具有與積體電路900的元件相同的功能及結構,該些元件具有相同標識數字,遞增了100。
在積體電路1000中,背面互連1040將背面觸點1030D及背面觸點1030B電性連接至閘極觸點1030F。根據一些實施例,背面觸點1030D及背面觸點1030B在背面互連的同一側上。在一些實施例中,在背面互連的兩側上的複數個背面觸點電性連接至背面互連。在一些實施例中,閘極觸點1030F為如上文在第5R圖至第5U圖中所描述的導電阻障層(參見導電阻障層532)。
第10B圖為根據一些實施例的積體電路1080的佈局視圖。積體電路1080包括閘極1005A~1005C及源極/汲極區1010A~1010D。背面互連1070將源極/汲極區1010A電性連接至閘極1005C,並且橫越而不連接至閘極1005B。
第10C圖為根據一些實施例的積體電路1081的佈局視圖。積體電路1081的元件具有與積體電路1080的元件相同的結構及功能,該些元件具有相同的標識數字,遞增了10。在積體電路1081中,背面互連1071電性連接至第一源極/汲極區1020A及第二源極/汲極區1020C,並且橫越而不連接至閘極1015B以電性連接至閘極1015C。
第10D圖為根據一些實施例的積體電路1082的佈局視圖。積體電路1082的元件具有與積體電路1080的元件相同的結構及功能,該些元件具有相同的標識數字,遞增了20。在積體電路1082中,背面互連1073將第一源極/汲極區1030A電性連接至閘極1025B,而未在積體電路的任何其他閘極下方穿過。
第10E圖為根據一些實施例的積體電路1083的佈局視圖。積體電路1083的元件具有與積體電路1080的元件相同的結構及功能,該些元件具有相同的標識數字,遞增了30。在積體電路1083中,背面互連1074將單個閘極(閘極1035C)電性連接至單個S/D區(源極/汲極區1040A)並且在閘極1035B的下方穿過但不電性連接,該閘極1035B位於閘極1035C與源極/汲極區1040A之間。
第11圖為根據一些實施例的積體電路的傳輸閘極1100的電路圖。與在頂面互連結構的同一層中連接電晶體對的傳輸閘極相比,在兩個電晶體之間具有頂面互連且在其他兩個電晶體之間具有背面互連的傳輸閘極在積體電路佈局中使用的面積更少。此外,具有頂部互連及背面互連的傳輸閘極不太容易出現使裝置無法操作的缺陷。傳輸閘極1100包括第一P型電晶體1102、第二P型電晶體1106、第一N型電晶體1104及第二N型電晶體1108。根據本領域中已知的實施例,第一P型電晶體1102的閘極A1藉由頂面互連電性連接至第二N型電晶體1108的閘極A2。在一些實施例中,第一P型電晶體1102的閘極與第二N型電晶體1108的閘極之間的連接包括第一P型電晶體的第一閘極觸點、第二P型電晶體的第二閘極觸點及跨越第一閘極觸點與第二閘極觸點之間的空間的金屬線(金屬零線)。
根據本領域中已知的實施例,第二P型電晶體1106的閘極B1藉由背面互連電性連接至第一N型電晶體1104的閘極B2。在一些實施例中,第二P型電晶體的閘極與第一N型電晶體的閘極之間的連接包括第二P型電晶體閘極的第一背面觸點、第一N型電晶體的第二背面觸點及跨越第一背面觸點與第二背面觸點之間的空間的背面觸點。
第12圖為根據本揭示內容的至少一個實施例的半導體裝置1200的方塊圖。
在第12圖中,除其他之外,半導體裝置1200包括基板1201,該基板1201上具有電路巨集(以下稱為巨集) 1202。在一些實施例中,巨集1202為複數個背面觸點與半導體裝置1200的汲極之間的背面互連佈置。在一些實施例中,巨集1202為除SRAM巨集以外的巨集。除其他之外,巨集1202包括佈線佈置1204。導致佈線佈置1204的佈局圖的實例包括第6A圖至第11圖的每一者中的佈線佈置佈局圖。
第13圖為根據一些實施例的電子設計自動化(electronic design automation,EDA)系統1300的方塊圖。
在一些實施例中,EDA系統1300為通用計算裝置,包括硬體處理器1302及非暫時性電腦可讀儲存媒體 (儲存媒體) 1304。除其他之外,電腦可讀儲存媒體1304被編碼為(即儲存)電腦程式碼1306(即一組電腦可執行指令)。由硬體處理器1302執行電腦程式碼1306表示(至少部分地表示)一種EDA工具,該EDA工具根據一或多種方法(例如,在此所述的製程及/或方法)實現例如本文所述的方法的一部分或全部。
硬體處理器1302經由匯流排1308電耦合至電腦可讀儲存媒體1304。硬體處理器1302亦藉由匯流排1308電耦合至I/O介面1310。網路介面1312亦經由匯流排1308電性連接至硬體處理器1302。網路介面1312連接至網路1314,使得硬體處理器1302及電腦可讀儲存媒體1304能夠經由網路1314連接至外部元件。硬體處理器1302用以執行在電腦可讀儲存媒體1304中編碼的電腦程式碼1306,以使EDA系統1300可用於執行所提及之製程及/或方法的一部分或全部。在一或多個實施例中,硬體處理器1302為中央處理單元(central processing unit,CPU)、多處理器、分散式處理系統、特定應用積體電路(application specific integrated circuit,ASIC)及/或合適的處理單元。
在一或多個實施例中,電腦可讀儲存媒體1304為電子系統、磁力系統、光學系統、電磁系統、紅外線系統及/或半導體系統(或設備或裝置)。例如,電腦可讀儲存媒體1304包括半導體或固態記憶體、磁帶、可移動電腦磁片、隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read-only memory,ROM)、剛性磁碟及/或光碟。在使用光碟的一或多個實施例中,電腦可讀儲存媒體1304包括唯讀光碟記憶體(compact disk-read only memory,CD-ROM)、光碟讀/寫器(compact disk-read/write,CD-R/W)及/或數位視訊光碟(digital video disc,DVD)。
在一或多個實施例中,電腦可讀儲存媒體1304儲存電腦程式碼1306,該電腦程式碼1306用以使EDA系統1300 (其中這種執行代表(至少部分地代表)EDA工具)可用於執行所提及之製程及/或方法的一部分或全部。在一或多個實施例中,電腦可讀儲存媒體1304亦儲存有助於執行所提及之製程及/或方法的一部分或全部的資訊。在一或多個實施例中,電腦可讀儲存媒體1304儲存標準單元庫1307,該標準單元庫1307包括本文揭示的這些標準單元。
EDA系統1300包括I/O介面1310。I/O介面1310耦合至外部電路。在一或多個實施例中,I/O介面1310包括鍵盤、小鍵盤、滑鼠、軌跡球、觸控板、觸控螢幕及/或遊標方向鍵,用於將資訊及命令傳達至硬體處理器1302。
EDA系統1300亦包括耦合至硬體處理器1302的網路介面1312。網路介面1312允許EDA系統1300與連接一或多個其他電腦系統的網路1314通訊。網路介面1312包括無線網路介面(諸如藍芽、無線網路(WIFI)、全球互通微波存取(Worldwide Interoperability for Microwave Access,WiMAX)、通用封包無線服務(General Packet Radio Service,GPRS)或寬頻碼分多址(Wideband Code Division Multiple Access,WCDMA))或有線網路介面(諸如ETHERNET、USB或IEEE-1364)。在一或多個實施例中,在兩個或兩個以上系統1300中實現所提及之製程及/或方法的一部分或全部。
EDA系統1300用以經由I/O介面1310接收資訊。經由I/O介面1310接收的資訊包括指令、資料、設計規則、標準單元庫及/或藉由硬體處理器1302進行處理的其他參數中的一或多者。資訊經由匯流排1308傳送至硬體處理器1302。EDA系統1300用以經由I/O介面1310接收與使用者介面(user interface,UI)有關的資訊。資訊作為使用者介面(user interface,UI) 1352儲存於電腦可讀儲存媒體1304中。
在一些實施例中,所提及之製程及/或方法的一部分或全部實現為用於由處理器執行的獨立軟體應用程式。在一些實施例中,所提及之製程及/或方法的一部分或全部實現為軟體應用程式,該軟體應用程式作為附加軟體應用程式的一部分。在一些實施例中,所提及之製程及/或方法的一部分或全部實現為軟體應用程式的外掛程式。在一些實施例中,所提及之製程及/或方法中的至少一者實現為軟體應用程式,該軟體應用程式作為EDA工具的一部分。在一些實施例中,所提及之製程及/或方法的一部分或全部實現為EDA系統1300使用的軟體應用程式。在一些實施例中,使用工具(諸如購自CADENCE DESIGN SYSTEMS公司的VIRTUOSO®)或另一合適的佈局產生工具來產生包括標準單元的佈局圖。
在一些實施例中,製程實現為儲存在非暫時性電腦可讀記錄媒體中的程式的功能。非暫時性電腦可讀記錄媒體的實例包括但不限於外部/可移動及/或內部/內置儲存器或記憶體單元,例如,諸如DVD的光碟、諸如硬碟的磁碟、諸如ROM、RAM、記憶卡等的半導體記憶體中的一或多者。
第14圖為根據一些實施例的積體電路(IC)製造系統1400及與其相關聯的IC製造流程的方塊圖。在一些實施例中,基於佈局圖,使用IC製造系統1400製造(A)一或多個半導體光罩或(B)半導體積體電路層中的至少一個組件中的至少一者。
在第14圖中,IC製造系統1400包括在設計、開發及製造週期及/或與製造IC裝置1460有關的服務彼此相互作用的實體,諸如設計公司1420、光罩室1430及IC製造商/製造者(「晶圓廠」) 1450。IC製造系統1400中的實體藉由通訊網路連接。在一些實施例中,通訊網路為單個網路。在一些實施例中,通訊網路為各種不同的網路,諸如內部網路及網際網路。通訊網路包括有線及/或無線通訊通道。每一實體與一或多個其他實體彼此相互作用,並向一或多個其他實體提供服務及/或自其接收服務。在一些實施例中,設計公司1420、光罩室1430及IC晶圓廠1450中的兩者或更多者由單個較大公司擁有。在一些實施例中,設計公司1420、光罩室1430及IC晶圓廠1450中的兩者或更多者在公用設施中共存並使用公用資源。
設計公司(或設計團隊) 1420產生IC設計佈局圖1422。IC設計佈局圖1422包括設計用於IC裝置1460的各種幾何圖案。幾何圖案對應於構成待製造的IC裝置1460的各種組件的金屬、氧化物或半導體層的圖案。各個層組合形成各種IC特徵。例如,IC設計佈局圖1422的一部分包括各種IC特徵,諸如主動區、閘極、源極及汲極、層間互連的金屬線或通孔以及用於接合墊的開口,將形成於半導體基板(例如矽晶圓)及設置於半導體基板上的各種材料層中。設計公司1420實施適當的設計程序以形成IC設計佈局圖1422。設計程序包括邏輯設計、實體設計或位置及佈線中的一或多者。IC設計佈局圖1422呈現在具有幾何圖案資訊的一或多個資料檔案中。例如,IC設計佈局圖1422可以GDSII檔案格式或DFII檔案格式表達。
光罩室1430包括光罩資料準備1432及光罩製造1444。光罩室1430使用IC設計佈局圖1422來製造一或多個光罩1445,以根據IC設計佈局圖1422來製造IC裝置1460的各個層。光罩室1430執行光罩資料準備1432,其中IC設計佈局圖1422翻譯為代表性資料檔案(representative data file,RDF)。光罩資料準備1432為光罩製造1444提供RDF。光罩製造1444包括光罩寫入器。光罩寫入器將RDF轉換為基板上的影像,諸如光罩(網線) 1445或半導體晶圓1453。IC設計佈局圖1422由光罩資料準備1432操縱以符合光罩寫入器的特定特性及/或IC晶圓廠1450的要求。在第14圖中,光罩資料準備1432及光罩製造1444被示為單獨的元件。在一些實施例中,光罩資料準備1432及光罩製造1444可統稱為光罩資料準備。
在一些實施例中,光罩資料準備1432包括光學鄰近校正(optical proximity correction,OPC),該OPC使用微影術增強技術來補償影像誤差,諸如可能由衍射、干涉、其他處理效果等引起的影像誤差。OPC調整IC設計佈局圖1422。在一些實施例中,光罩資料準備1432包括其他解析度增強技術(resolution enhancement technique,RET),諸如離軸照明、次級解析輔助特徵、相轉移光罩、其他合適的技術等或其組合。在一些實施例中,亦使用反微影術技術(inverse lithography technology,ILT),該ILT技術將OPC視為反成像問題。
在一些實施例中,光罩資料準備1432包括光罩規則核對器(mask rule checker,MRC),該光罩規則核對器使用一組光罩建立規則來核對已在OPC中處理過的IC設計佈局圖1422,該光罩建立規則含有某些幾何及/或連通性限制以確保足夠邊界,從而解決半導體製造製程等中的變化性。在一些實施例中,MRC修改IC設計佈局圖1422以補償光罩製造1444期間的限制,此舉可以取消由OPC執行之修改的一部分以滿足光罩建立規則。
在一些實施例中,光罩資料準備1432包括微影術製程核對(lithography process checking,LPC),該LPC模擬將由IC晶圓廠1450實施以製造IC裝置1460的處理。LPC基於IC設計佈局圖1422來模擬該處理以建立模擬製造裝置,諸如IC裝置1460。LPC模擬中的處理參數可包括與IC製造週期的各種製程相關的參數、與用於製造IC的工具相關的參數及/或製造製程的其他態樣。LPC考慮了各種因素,諸如航空影像對比度、焦點深度(depth of focus,DOF)、光罩誤差增強因素(mask error enhancement factor,MEEF)、其他合適的因素等或其組合。在一些實施例中,在藉由LPC建立了模擬製造裝置之後,若模擬裝置在形狀上不夠接近以滿足設計規則,則重複OPC及/或MRC以進一步完善IC設計佈局圖1422。
應當理解,為了清楚起見,已經簡化了光罩資料準備1432的以上描述。在一些實施例中,光罩資料準備1432包括諸如邏輯操作(logic operation,LOP)之類的附加特徵,以根據製造規則來修改IC設計佈局圖1422。另外,可以各種不同的順序來執行在光罩資料準備1432期間應用於IC設計佈局圖1422的製程。
在光罩資料準備1432之後以及在光罩製造1444期間,基於修改的IC設計佈局圖1422來製造光罩1445或一組光罩1445。在一些實施例中,光罩製造1444包括基於IC設計佈局圖1422進行一或多次微影術曝光。在一些實施例中,基於修改的IC設計佈局圖1422,使用電子束或多個電子束的機構在光罩(光罩或網線) 1445上形成圖案。光罩1445可以各種技術形成。在一些實施例中,使用二元技術形成光罩1445。在一些實施例中,光罩圖案包括不透明區及透明區。用於曝光已經塗覆在晶圓上的影像敏感材料層(例如,光阻劑)的輻射束(諸如紫外線(ultraviolet,UV)束)被不透明區阻擋並且透射穿過透明區。在一個實例中,光罩1445的二元光罩版本包括透明基板(例如,熔融石英)及塗覆在二元光罩的不透明區中的不透明材料(例如,鉻)。在另一實例中,使用相轉移技術形成光罩1445。在光罩1445的相轉移光罩(phase shift mask,PSM)版本中,形成在相轉移光罩上的圖案中的各種特徵用以具有適當的相差以增強解析度及成像品質。在各種實例中,相轉移光罩可以為衰減的PSM或交替的PSM。由光罩製造1444產生的光罩用於各種製程中。例如,在離子佈植製程中使用此光罩,以在半導體晶圓1453中形成各種摻雜區,在蝕刻製程中使用此光罩,以在半導體晶圓1453中形成各種蝕刻區,及/或在其他合適的製程中使用。
IC晶圓廠1450包括晶圓製造1452。IC晶圓廠1450為包括用於製造各種不同IC產品的一或多個製造設施的IC製造企業。在一些實施例中,IC晶圓廠1450為半導體鑄造廠。例如,可能存在用於複數個IC產品的前端製造(前端製程(front-end-of-line,FEOL)製造)的製造設施,而第二製造設施可為IC產品的互連及封裝提供後端製造(後端製程(back-end-of-line,BEOL)製造),並且第三製造設施可為鑄造企業提供其他服務。
IC晶圓廠1450使用由光罩室1430製造的光罩1445來製造IC裝置1460。因此,IC晶圓廠1450至少間接地使用IC設計佈局圖1422來製造IC裝置1460。在一些實施例中,半導體晶圓1453由IC晶圓廠1450使用光罩1445製造,以形成IC裝置1460。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖1422進行一或多次微影術曝光。半導體晶圓1453包括矽基板或在其上形成有材料層的其他合適的基板。半導體晶圓1453進一步包括各種摻雜區、介電特徵、多層互連等中的一或多者(在隨後的製造步驟中形成)。
關於積體電路(IC)製造系統(例如,第14圖的IC製造系統1400)以及與其相關聯的IC製造流程的細節例如在2016年2月9日授權的美國專利第9,256,709號、2015年10月1日發佈的美國授權前公告第20150278429號、2014年2月6日發佈的美國授權前公告第20140040838號及2007年8月21日授權的美國專利第7,260,442號中找到,其全部內容以引用的方式併入本文中。
本揭示內容的態樣涉及一種製造積體電路的方法,該方法包括以下操作:在絕緣光罩中蝕刻開口,以曝露積體電路的背面上的第一虛擬觸點;將導電材料沈積至開口,其中導電材料接觸第一虛擬觸點的側壁;使導電材料凹陷,以曝露第一虛擬觸點的末端;在開口中的導電材料上方沈積絕緣材料;自絕緣光罩移除第一虛擬觸點以形成第一觸點開口;及在第一觸點開口中形成第一導電觸點,第一導電觸點電性連接至開口中的導電材料。在一些實施例中,製造絕緣光罩之步驟進一步包括以下步驟:蝕刻支撐材料以形成第一虛擬觸點;及在第一虛擬觸點的多個側壁上沈積第一介電材料。在一些實施例中,該方法包括以下操作:藉由磊晶生長來生長積體電路的第一汲極區及第二汲極區,其中在第一虛擬觸點的曝露端上的第一區域處,用磊晶材料生長第一汲極區,並且在第一虛擬觸點的曝露端上的第二區域處,用磊晶材料生長第二汲極區;及使支撐材料變薄,以曝露第一虛擬觸點及第一介電材料。在一些實施例中,該方法包括以下操作:移除第一介電材料,以曝露第一虛擬觸點的多個側壁。在一些實施例中,該方法包括以下操作:在第一虛擬觸點的該些側壁上沈積間隔物。在一些實施例中,該方法包括以下步驟:修整第一汲極區及第二汲極區,以使第一虛擬觸點上的間隔物的側壁與第一汲極區的側壁及第二汲極區的側壁對準。在一些實施例中,該方法包括以下步驟:藉由蝕刻第一介電材料來曝露第一虛擬觸點;在第一虛擬觸點上方沈積保形絕緣層;在開口中的保形絕緣層上沈積第一絕緣層;及在第一汲極區正下方的開口中曝露第一虛擬觸點的側壁。在一些實施例中,該方法包括以下步驟:在開口中抵靠第一虛擬觸點形成互連結構。在一些實施例中,該方法包括以下步驟:在互連結構上方沈積頂部絕緣層。
本揭示內容的態樣涉及一種裝置,該裝置包括:具有第一汲極區的第一電晶體;具有第二汲極區的第二電晶體,第一電晶體及第二電晶體位於積體電路的主動層中;及位於主動層的背面上的第一互連結構,第一互連結構包含:電性連接至第一汲極區的第一背面觸點;電性連接至第二汲極區的第二背面觸點;及背面互連,該背面互連電性連接至第一背面觸點的側壁及第二背面觸點的側壁。在一些實施例中,裝置包括:至少一個背面電源軌,該背面電源軌電性連接至第一背面觸點及第二背面觸點中之一者;及第二互連結構,該第二互連結構電性連接至第一電晶體及位於主動層的與第一互連結構相對的一側上的頂面電源軌。在一些實施例中,裝置包括:位於第一互連結構與含有第一電晶體及第二電晶體的層之間的絕緣層,其中絕緣層包含間隔物。在裝置的一些實施例中,第一互連結構的背面互連在背面互連的同一側電性連接至第一背面觸點及第二背面觸點。在裝置的一些實施例中,第一互連結構的背面互連在背面互連的相對側電性連接至第一背面觸點及第二背面觸點。在裝置的一些實施例中,第一互連結構包含背面通孔,該背面通孔將背面電源軌電性連接至頂面電源軌。在裝置的一些實施例中,第一互連結構進一步包含背面VDD線及背面VSS線。在裝置的一些實施例中,第二互連結構電性連接第一電晶體的第一源極區。
本揭示內容的態樣涉及一種積體電路的傳輸閘極,該傳輸閘極包括:位於主動層中的第一P型電晶體及第二P型電晶體;位於主動層中的第一N型電晶體及第二N型電晶體;位於主動層的第一側上的頂部互連;及位於主動層的第二側上的背面互連,第二側與主動層的第一側相對,其中頂部互連將第一P型電晶體的閘極電性連接至第二N型電晶體的閘極,且背面互連將第二P型電晶體的閘極電性連接至第一N型電晶體的閘極。在傳輸閘極的一些實施例中,第一P型電晶體及第二P型電晶體電性連接至背面互連。在裝置的一些實施例中,第一背面觸點電性連接至背面互連及第二P型電晶體的閘極,並且第二背面觸點電性連接至背面互連及第一N型電晶體的閘極。在裝置的一些實施例中,背面觸點連接至積體電路的VDD線。
上文概述了數個實施例的特徵,使得本領域技術人員可以更好地理解本揭示內容的各態樣。本領域技術人員應理解,本領域技術人員可以容易地將本揭示內容用作設計或修改其他製程及結構的基礎,以實現與本文介紹的實施例相同的目的及/或實現相同的優點。本領域技術人員亦應認識到,該些等效構造不脫離本揭示內容的精神及範疇,並且在不脫離本揭示內容的精神及範疇的情況下,該些等效構造可以進行各種改變、替代及變更。
100:積體電路 101:主動層 102A、102B:支撐材料 103:介電材料 104A~104C:S/D區 105:電晶體 106A~106C:S/D區 108A~108C:背面觸點 109A、109B:通道 115:介電材料 116:保形絕緣層 116A~116D:保形絕緣層部分 118:絕緣材料 119:第二絕緣材料 119A、119B:介電材料 126:背面互連 127A、127B:導電材料 130:背面電源軌 131:電源軌 160A、160B1、160B2、160C:閘極 162A:第一主動區域 162B:第二主動區域 166:介電材料層 167、168:觸點 169:金屬線 170:金屬線 193~196:參考線 198:頂部方向 199:底部方向 1BB、2BB、3BB:背面觸點 1CC:隔離栓塞 1DD:隔離栓塞 200:積體電路 208A~208D:通孔 212A~212C:佈局縫隙 226A~226C:背面互連 230A~230D:背面電源軌 262A~262D:主動區域 300:方法 302:操作 303:操作 304:操作 306:操作 308:操作 310:操作 311:操作 312:操作 314:操作 316:操作 400:積體電路 401:主動層 402:基板 403A、403B:虛擬觸點 404:介電材料 405、406:S/D區 40A、40B:厚度 40C、40D:寬度 412A~412D:間隔物 414:第一介電材料 416:保形絕緣層 416A~416C:保形絕緣層部分 417:開口 418:絕緣材料 41A、41B:厚度 41C、41D:寬度 420:圖案化材料層 421:開口 426:背面互連 427A、427B:導電材料 429:偏移距離 4AA、4BB:背面觸點 4CC:隔離栓塞 4MA、4MB:硬光罩 500:積體電路 501:開口 502:支撐材料 503A、503B:虛擬觸點 504:介電材料 505A、505B:硬光罩 506:S/D區 508、510:通道區 509、511:通道 512A~512D:間隔物 515:第二介電材料 516:保形絕緣層 516A~516C:保形絕緣層部分 517:開口 518:絕緣材料 519:第二絕緣材料 520:圖案化材料層 521:開口 526:背面互連 527A、527B:導電材料 529:偏移距離 530:背面電源軌 531:背面電源軌 560B、560B1、560B2:閘極 5AA、5BB:背面觸點 5CC:隔離栓塞 5EE、5FF:觸點 5MA、5MB:硬光罩 600:積體電路 602:VDD線 602W:主動區域寬度 603W:第二主動區域寬度 604:VSS線 606:導電線 608:第一閘極 610:第二閘極 620:第一主動區域 622:第二主動區域 655:第一高度 656:VB間距 665:VB寬度 665A~665D:背面通孔 668A:第一區域 668B:第二區域 670:背面互連 690:單元高度 691:間距 700:積體電路 702:VDD線 704:VSS線 730B、730D:背面觸點 740:背面互連 800:積體電路 804:VSS線 806A~806F:線 830A~830F:背面觸點 840:背面互連 900:積體電路 902:VDD線 904:VSS線 930A~930D:背面觸點 940:背面互連 1000:積體電路 1005AA~1005C:閘極 1010A~1010D:源極/汲極區 1015B、1015C:閘極 1020A:第一源極/汲極區 1020C:第二源極/汲極區 1025B:閘極 1030A:第一源極/汲極區 1030B、1030D:背面觸點 1030F:閘極觸點 1035B、1035C:閘極 1040:背面互連 1040A:源極/汲極區 1070、1071、1074:背面互連 1080~1083:積體電路 1100:傳輸閘極 1102:第一P型電晶體 1104:第一N型電晶體 1106:第二P型電晶體 1108:第二N型電晶體 1200:半導體裝置 1201:基板 1202:巨集 1204:佈線佈置 1300:EDA系統 1302:硬體處理器 1304:儲存媒體 1306:電腦程式碼 1307:標準單元庫 1308:匯流排 1310:I/O介面 1312:網路介面 1314:網路 1352:使用者介面 1400:IC製造系統 1420:設計公司 1422:IC設計佈局圖 1430:光罩室 1432:光罩資料準備 1444:光罩製造 1445:光罩 1450:IC晶圓廠 1452:晶圓製造 1453:半導體晶圓 1460:IC裝置 A1、A2:閘極 A-A':截面線 B1、B2:閘極 B-B':截面線 C-C':截面線
第1A圖至第1C圖為根據一些實施例的積體電路的底視圖。 第1D圖至第1F圖為根據一些實施例的積體電路的橫剖面圖。 第2圖為根據一些實施例的積體電路的底視圖。 第3圖為根據一些實施例的製造積體電路的方法的流程圖。 第4A圖至第4P圖為根據一些實施例的在各個生產階段經由積體電路的源極/汲極區的橫剖面圖。 第5A圖至第5U圖為根據一些實施例的在各個生產階段經由積體電路的閘極區的橫剖面圖。 第6A圖及第6B圖為根據一些實施例的積體電路佈局的視圖。 第7圖為根據一些實施例的積體電路佈局的視圖。 第8圖為根據一些實施例的積體電路佈局的視圖。 第9圖為根據一些實施例的積體電路佈局的視圖。 第10A圖至第10E圖為根據一些實施例的積體電路佈局的視圖。 第11圖為根據一些實施例的積體電路的傳輸閘極的電路圖。 第12圖為根據一些實施例的半導體裝置的方塊圖。 第13圖為根據一些實施例的電子設計自動化(electronic design automation,EDA)系統的方塊圖。 第14圖為根據一些實施例的積體電路製造系統及與其相關聯的積體電路製造流程的方塊圖。
300:方法
302:操作
303:操作
304:操作
306:操作
308:操作
310:操作
311:操作
312:操作
314:操作
316:操作

Claims (20)

  1. 一種製造一積體電路的方法,包含: 在一絕緣光罩中蝕刻一開口,以曝露該積體電路的一背面上的一第一虛擬觸點; 將一導電材料沈積至該開口,其中該導電材料接觸該第一虛擬觸點的一側壁; 使該導電材料凹陷,以曝露該第一虛擬觸點的一末端; 在該開口中的該導電材料上方沈積一絕緣材料; 自該絕緣光罩移除該第一虛擬觸點以形成一第一觸點開口;及 在該第一觸點開口中形成一第一導電觸點,其中 該第一導電觸點的一側壁與該開口中的該導電材料接觸。
  2. 如請求項1所述之方法,進一步包含: 蝕刻一支撐材料以形成該第一虛擬觸點;及 在該第一虛擬觸點的多個側壁上沈積一第一介電材料。
  3. 如請求項2所述之方法,進一步包含: 藉由磊晶生長來生長該積體電路的一第一汲極區及一第二汲極區,其中在該第一虛擬觸點的一曝露端上的一第一區域處,用一磊晶材料生長該第一汲極區,並且在該第一虛擬觸點的該曝露端上的一第二區域處,用該磊晶材料生長該第二汲極區;及 使該支撐材料變薄,以曝露該第一虛擬觸點及該第一介電材料。
  4. 如請求項3所述之方法,進一步包含以下步驟:移除該第一介電材料,以曝露該第一虛擬觸點的多個側壁。
  5. 如請求項4所述之方法,進一步包含:在該第一虛擬觸點的該些側壁上沈積一間隔物。
  6. 如請求項5所述之方法,進一步包含:修整該第一汲極區及該第二汲極區,以使該第一虛擬觸點上的該間隔物的一側壁與該第一汲極區的一側壁及該第二汲極區的一側壁對準。
  7. 如請求項2所述之方法,進一步包含: 藉由蝕刻該第一介電材料來曝露該第一虛擬觸點; 在該第一虛擬觸點上方沈積一保形絕緣層; 在該開口中的該保形絕緣層上沈積一第一絕緣層;及 在該第一汲極區正下方的該開口中曝露該第一虛擬觸點的一側壁。
  8. 如請求項7所述之方法,進一步包含:在該開口中抵靠該第一虛擬觸點形成一互連結構。
  9. 如請求項8所述之方法,進一步包含:在該互連結構上方沈積一頂部絕緣層。
  10. 一種裝置,包含: 一第一電晶體,具有一第一汲極區; 一第二電晶體,具有一第二汲極區,該第一電晶體及該第二電晶體位於一積體電路的一主動層中;及 一第一互連結構,位於該主動層的一背面上,該第一互連結構包含: 一第一背面觸點,電性連接至該第一汲極區; 一第二背面觸點,電性連接至該第二汲極區;及 一背面互連,電性連接至該第一背面觸點的一側壁及該第二背面觸點的一側壁。
  11. 如請求項10所述之裝置,進一步包含: 至少一個背面電源軌,電性連接至該第一背面觸點及該第二背面觸點中之一者;及 一第二互連結構,電性連接至該第一電晶體及位於該主動層的與該第一互連結構相對的一側上的一頂面電源軌。
  12. 如請求項11所述之裝置,進一步包含:一絕緣層,位於該第一互連結構與含有該第一電晶體及該第二電晶體的一層之間,其中該絕緣層包含一間隔物。
  13. 如請求項12所述之裝置,其中該第一互連結構的該背面互連在該背面互連的同一側電性連接至該第一背面觸點及該第二背面觸點。
  14. 如請求項12所述之裝置,其中該第一互連結構的該背面互連在該背面互連的相對側電性連接至該第一背面觸點及該第二背面觸點。
  15. 如請求項11所述之裝置,其中該第一互連結構包含一背面通孔,該背面通孔將一背面電源軌電性連接至一頂面電源軌。
  16. 如請求項11所述之裝置,其中該第一互連結構進一步包含一背面VDD線及一背面VSS線。
  17. 如請求項11所述之裝置,其中該第二互連結構電性連接該第一電晶體的一第一源極區。
  18. 一種用於一積體電路的傳輸閘極,包含: 一第一P型電晶體及一第二P型電晶體,位於一主動層中; 一第一N型電晶體及一第二N型電晶體,位於該主動層中; 一頂部互連,位於該主動層的一第一側上;及 一背面互連,位於該主動層的一第二側上,該第二側與該主動層的該第一側相對,其中 該頂部互連將該第一P型電晶體的一閘極電性連接至該第二N型電晶體的一閘極,且 該背面互連將該第二P型電晶體的一閘極電性連接至該第一N型電晶體的一閘極。
  19. 如請求項18所述之傳輸閘極,其中該第一P型電晶體及該第二P型電晶體電性連接至該背面互連。
  20. 如權利要求19所述之傳輸閘極,進一步包含: 一第一背面觸點,電性連接至該背面互連及該第二P型電晶體的該閘極;及 一第二背面觸點,電性連接至該背面互連及該第一N型電晶體的該閘極。
TW110126145A 2021-04-27 2021-07-15 製造積體電路的方法 TW202243049A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/241,785 US20220344263A1 (en) 2021-04-27 2021-04-27 Integrated circuit with backside interconnections and method of making same
US17/241,785 2021-04-27

Publications (1)

Publication Number Publication Date
TW202243049A true TW202243049A (zh) 2022-11-01

Family

ID=82973593

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126145A TW202243049A (zh) 2021-04-27 2021-07-15 製造積體電路的方法

Country Status (3)

Country Link
US (2) US20220344263A1 (zh)
CN (1) CN114975273A (zh)
TW (1) TW202243049A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102446164B1 (ko) * 2017-12-26 2022-09-22 삼성전자주식회사 부하 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법
US10840189B2 (en) * 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US10923474B2 (en) * 2018-09-28 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having gate-all-around devices
US10950545B2 (en) * 2019-03-08 2021-03-16 International Business Machines Corporation Circuit wiring techniques for stacked transistor structures

Also Published As

Publication number Publication date
CN114975273A (zh) 2022-08-30
US20230395503A1 (en) 2023-12-07
US20220344263A1 (en) 2022-10-27

Similar Documents

Publication Publication Date Title
US10878162B2 (en) Metal with buried power for increased IC device density
JP2021524151A (ja) 3次元デバイス及びそれを形成する方法
KR102281561B1 (ko) 집적 회로
US9437481B2 (en) Self-aligned double patterning process for two dimensional patterns
TWI567575B (zh) 使用互補式電子束微影術的單向金屬層佈局
TWI770233B (zh) 積體電路結構、設計其佈局的方法及用於單元佈局設計的庫
TW201804507A (zh) 用於電子束工具之行和遮蔽器孔徑陣列及形成用於半導體結構的圖形之方法
TW201945828A (zh) 具有非對稱鏡像佈局類比單元的積體電路
TWI749513B (zh) 積體電路及其形成方法
TW202243171A (zh) 半導體元件
US9530689B2 (en) Methods for fabricating integrated circuits using multi-patterning processes
CN217983346U (zh) 集成电路装置
US20230114558A1 (en) Integrated circuit, system and method of forming the same
TW202243049A (zh) 製造積體電路的方法
US11935888B2 (en) Integrated circuit having fins crossing cell boundary
TWI781740B (zh) 積體電路及其製造方法
US12009362B2 (en) Method of making amphi-FET structure and method of designing
US11764213B2 (en) Amphi-FET structure, method of making and method of designing
US11508659B2 (en) Interconnect structure in semiconductor device and method of forming the same
US20230275018A1 (en) Metal lines of hybrid heights
WO2023123189A1 (en) Three-dimensional nand memory device and method of forming the same
US20230067952A1 (en) Semiconductor device segmented interconnect
US11569168B2 (en) Integrated circuit, system and method of forming the same
KR20230015835A (ko) 집적 회로 및 그 형성 방법
TW202310230A (zh) 半導體裝置