TW201945828A - 具有非對稱鏡像佈局類比單元的積體電路 - Google Patents

具有非對稱鏡像佈局類比單元的積體電路 Download PDF

Info

Publication number
TW201945828A
TW201945828A TW108108708A TW108108708A TW201945828A TW 201945828 A TW201945828 A TW 201945828A TW 108108708 A TW108108708 A TW 108108708A TW 108108708 A TW108108708 A TW 108108708A TW 201945828 A TW201945828 A TW 201945828A
Authority
TW
Taiwan
Prior art keywords
unit
cell
cells
line
active area
Prior art date
Application number
TW108108708A
Other languages
English (en)
Inventor
楊宇滔
周文昇
彭永州
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201945828A publication Critical patent/TW201945828A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Abstract

根據本發明的一些實施例,在一第一積體電路行中,一第一單元主動區域頂部邊緣與一第一阻障線分隔開一第一分隔距離,一第一單元主動區域底部邊緣與一第二阻障線分隔開一第二分隔距離,一第二單元主動區域頂部邊緣與一第三阻障線分隔開該第二分隔距離,且一第二主動區域底部邊緣與一第四阻障線分隔開該第一分隔距離。在一第二行中,一第三單元主動區域頂部邊緣與一第五阻障線分隔開該第一距離,且一第三單元主動區域底部邊緣與一第六阻障線分隔開一第三距離。該第一分隔距離及該第三分隔距離不同於該第二分隔距離。該第一阻障線與該第五阻障線對準。

Description

具有非對稱鏡像佈局類比單元的積體電路
本發明實施例係有關具有非對稱鏡像佈局類比單元的積體電路。
積體電路含有一或多個半導體裝置。積體電路由稱作佈局圖之平面視圖表示,該等圖含有關於定位於其中之半導體裝置的元件之大小、位置及定向的資訊。積體電路係使用單元或功能元件之群組(其執行半導體裝置之低層級功能)來製造。單元為根據半導體裝置之所要設計及所要製造規劃的標準或常規單元,該製造規劃平衡基板上之半導體裝置大小與同設計及製造積體電路相關聯的成本。
單元根據單元之大小、功能或其他態樣組織成庫。庫中之標準單元係類比或數位。標準單元具有與庫之其他單元相同的至少一個尺寸,諸如單元之標準長度。庫之標準單元促進半導體裝置之佈局並藉由使用預特徵化標準單元(具有已知效能特性及在積體電路之基板上的規則單元位置)減小設計積體電路的複雜性。具有常規單元或非標準單元與標準單元之半導體裝置的佈局亦比僅具有標準單元之半導體裝置的佈局更複雜。半導體裝置設計的減小之複雜性係所需結果。
具有不同於標準單元尺寸之尺寸的常規單元或非標準單元由於製造誤差而具有缺陷或與積體電路中之所要電氣效能參數有偏差的增大之風險。結構缺陷及/或偏離積體電路之效能參數增大所述積體電路之製造成本,且導致過早裝置故障、升高之功率消耗或不規則裝置效能。減小之製造缺陷層級係積體電路製造製程之所需結果。
本發明的一實施例係關於一種積體電路,其包含:
一第一單元,其在一第一行中,該第一單元具有在一第一方向上之一第一長度、在一第一單元頂部末端處之一第一阻障線、在一第一單元底部末端處之一第二阻障線、在該第一阻障線與該第二阻障線之間的一第一單元主動區域、在該第一單元主動區域之一頂部邊緣與該第一阻障線之間的一第一分隔距離,及在該第一單元主動區域之一底部邊緣與該第二阻障線之間的一第二分隔距離;
一第二單元,其在該第一行中,該第二單元具有在該第一方向上之該第一長度、在一第二單元頂部末端處之一第三阻障線、在一第二單元底部末端處之一第四阻障線,及在該第三阻障線與該第四阻障線之間的一第二單元主動區域、在該第二單元主動區域之一頂部邊緣與該第三阻障線之間的該第二分隔距離,及在該第二單元主動區域之一底部邊緣與該第四阻障線之間的該第一分隔距離;
一第三單元,其在不同於該第一行之一第二行中,其中該第三單元具有:在該第一方向上之一第二長度,該第二長度不同於該第一長度;在一第三單元頂部末端處之一第五阻障線;在一第三單元底部末端處之一第六阻障線;及在該第五阻障線與該第六阻障線之間的一第三單元主動區域;在該第三單元主動區域之一頂部邊緣與該第五阻障線之間的該第一分隔距離;及在該第三單元主動區域之一底部邊緣與該第六阻障線之間的一第三分隔距離,其中
該第一分隔距離及該第三分隔距離不同於該第二分隔距離,
該第一阻障線在該第一方向上與該第五阻障線對準,且
該第四阻障線在該第一方向上與該第六阻障線對準。
本發明的一實施例係關於一種製造一積體電路的方法,其包含:
將一材料層沈積至一基板上;
將一第一圖案自一第一圖案化模板轉印至該材料層之一頂部表面;及
將該第一圖案蝕刻至該材料層中,其中該第一圖案包含在一第一行中之一第一單元及一第二單元及在一第二行中之一第三單元及一第四單元的阻障線,其中一第一單元主動區域頂部邊緣在一第一方向上與一第三單元主動區域頂部邊緣對準,且一第二單元主動區域底部邊緣在該第一方向上與一第四單元主動區域頂部邊緣對準,且其中該第三單元及該第四單元為相對於該第一方向之垂直不對稱單元。
本發明的一實施例係關於一種積體電路,其包含:
一第一單元,其具有在一第一方向上之一第一單元長度,該第一單元具有在一第一阻障線與一第二阻障線之間具有第一數目個鰭片的一第一單元主動區域,該第一阻障線距該第一單元主動區域一第一距離,且該第二阻障線距該第一單元主動區域一第二距離,該第一距離及該第二距離係不同距離;及
一第二單元,其具有在該第一方向上之一第二單元長度,該第二單元具有在一第三阻障線與一第四阻障線之間具有第二數目個鰭片的一第二單元主動區域,該第三阻障線及該第四阻障線距該第二單元主動區域不同距離,該第三阻障線在該第一方向上與該第一阻障線對準,其中該第二單元長度為該第一單元長度的3倍。
以下揭示內容提供用於實施所提供之標的物之不同特徵的許多不同實施例或實例。下文描述組件、值、操作、材料、配置或類似者的特定實例以簡化本揭示。當然,此等組件、值、操作、材料及配置僅為實例且不意欲為限制性的。預期其他組件、值、操作、材料、配置及其類似者。舉例而言,在以下描述中,第一特徵在第二特徵上方或上之形成可包括第一特徵及第二特徵直接接觸地形成之實施例,且亦可包括額外特徵可在第一特徵與第二特徵之間形成,使得第一特徵及第二特徵可不直接接觸之實施例。另外,本揭示內容可在各種實例中重複參考標號及/或字母。此重複係出於簡單性及清晰之目的且本身不指示所論述之各種實施例及/或組態之間的關係。
另外,諸如「在...下方」、「在下方」、「下部」、「在上方」、「上部」或其類似者之空間相對術語可在本文中為易於描述而使用以描述如諸圖中所說明一個元件或特徵與另一(些)元件或特徵的關係。除圖式中所描繪之定向以外,空間相對術語意欲涵蓋裝置在使用或操作中之不同定向。設備可以其他方式定向(旋轉90度或處於其他定向)且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
具有以行配置的個別單元之積體電路包括垂直對稱的單元,及垂直不對稱的單元。垂直對稱單元在單元主動區域中之鰭片與切割摺線(例如在積體電路中之主動區域之間的氧化絕緣結構)之間具有相同數量分隔。垂直不對稱單元在單元主動區域之鰭片與頂部及底部切割摺線之間具有不同數量分隔。具有垂直不對稱性之單元具有與IC之其他行的單元中之切割摺線對準的切割摺線。具有垂直不對稱性之單元具有沿鰭片之方向與IC之其他行中的單元之主動區域邊界及/或邊緣對準的主動區域邊界及/或邊緣。一些單元之垂直不對稱性發生在IC之行中的毗鄰單元對中:具有垂直不對稱性之兩個單元具有鏡像佈局及在單元主動區域與單元切割摺線之間的間隔。
圖1為根據本發明之至少一個實施例的半導體裝置100之方塊圖。在圖1中,半導體裝置100尤其包括電路巨集(在下文中稱為巨集) 102。在一些實施例中,巨集102為電晶體巨集。在一些實施例中,巨集102為除電晶體巨集外的巨集。巨集102尤其包括一或多個標準單元適配FinFET配置104A。在一些實施例中,巨集102尤其包括一或多個配置104A及一或多個配置104B。在包括一或多個配置104A及一或多個配置104B之一些實施例中,配置104A不同於配置104B。配置104A及104B中之每一者的實例包括基於圖3至圖6中之每一者中展示的對應佈局圖製造的半導體裝置中之配置或其類似者。
積體電路包括經組態以執行預定電路功能的電路組件之群組。此等積體電路(IC)功能之實例包括接收信號、發送信號、IC之組件之間及其他IC上的通信、儲存資料、執行計算,及管理IC功能性(記憶體控制器、IC時序電路元件及其他類似功能性)。電路組件之群組可經預組態為在積體電路製造製程之前在積體電路佈局製程中配置的標準單元。標準單元使用電路設計之預定區塊或標準單元促進簡化之電路效能模擬。標準單元庫之一些實施例單純地包括數位電路組件。標準單元庫之一些實施例單純地包括類比電路組件。一些標準單元庫包括經組態以在單一積體電路中共同工作的數位與類比電路組件之混合物。
積體電路製造涉及使用單元庫中之標準單元來簡化積體電路之設計製程。在一些實施例中,使用庫中之標準單元的簡化設計製程限制製造商之最大化積體電路之電路組件密度的能力。標準單元具有在第一方向上之標準單元長度及在第二方向(不同於第一方向)上之標準單元寬度以使得標準單元之單元邊界與其他標準單元之單元邊界對準(該等單元邊界形成規則網格,且該等單元主動區域均勻分佈於標準單元邊界之規則網格內),且使得標準單元內之單元組件具有與單元邊界之標準分隔或間隔。在一些實施例中,第二方向垂直於第一方向。標準單元大小係積體電路設計及製造中所需以便簡化積體電路之列及行中的相鄰單元之鄰接。標準大小單元在標準單元之區域內含有用以形成電路元件之某一數目個主動鰭片或主動線。然而,積體電路之一些電路元件不使用與標準大小單元中存在之(「正常」數目個鰭片)相同數目個鰭片以便起作用。一些電路元件使用較少數目個鰭片(「低」數目個鰭片),且一些電路元件使用較大數目個鰭片(「高」數目個鰭片)。此等不同大小單元具有在第一方向上之不同單元長度,該第一方向跨越鰭片在基板上擴展所藉以之方向或垂直於該方向延伸。具有不同單元長度之鄰接單元在其他行中之單元元件對準(在第二方向上,沿鰭片之方向)方面呈現一些困難。在一些實施例中,需要對準的單元係在毗鄰行中。在一些實施例中,需要對準的單元係在由另一行分隔開之行中。具有具有不同單元長度之單元的積體電路有時將相同類型單元對準至沿第一方向(垂直於鰭片)之行或列(與鰭片平行)中。單元元件未對準問題出現在一行中之單元的長度不同於不同行中之單元的長度時,在單元具有沿第一方向之對稱佈局時,如下文所描述。
單元庫內之單元具有具有可預測及恆定效能及干擾積體電路之其他部分的已知層級的預先組態佈局。積體電路設計旨在儘可能地減小干擾,及將電路元件之效能維持在所要參數內。為保持所完成電路中之預期範圍內的單元之效能特性,基線分隔距離存在於單元之組件與電路之毗鄰單元之電路元件之間。基線分隔距離為單元邊界與電路單元內電路之元件之間的內部分隔距離。
垂直對稱單元具有在單元主動區域與單元之頂部及底部邊緣之間的相同距離。在一些實施例中,垂直對稱單元具有在單元主動區域與與行內之單元共用的單元邊界(例如單元之頂部及底部邊緣)之間的一個分隔距離,及在單元主動區域與相鄰行之單元邊界之間的不同分隔距離。在一些實施例中,垂直對稱單元具有在單元主動區域與全部單元邊界之間的單一分隔距離。
單元之垂直對稱性與單元長度無關。在一些實施例中,具有相同單元長度之垂直對稱單元具有單元主動區域與單元之頂部及邊界之間的不同分隔距離。具有不同單元長度之垂直對稱單元通常具有不同主動區域長度,及在主動區域與單元行中的單元之頂部及底部邊緣之間的不同垂直分隔距離。製造商儘可能使用標準單元長度以儘可能地減小單元之主動區域中之單元元件的干擾或耦合,並簡化積體電路中之單元的佈局。
當單元形成於積體電路中時,複數個線經蝕刻至半導體基板中以準備用於單元形成。在一些實施例中,半導體基板為矽基板,但其他基板包括於本揭示內容之範疇內。基板可為基本半導體材料、合成半導體材料及/或合金半導體材料中的一者。基本半導體材料之實例包括(但不限於)單晶矽(Si)、多晶矽(聚Si)、非晶矽(a-Si)、鍺(Ge)及/或金剛石(C)。二元化合物半導體材料之實例包括(但不限於):IV族-IV族材料,包括矽鍺(SiGe)、碳化鍺(GeC)及碳化矽(SiC);及III族-V族材料,包括砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb)。三元及四元化合物半導體材料之實例包括(但不限於)GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP。在本揭示內容內,半導體基板之線為簡單及清晰起見應被稱作摺線,但預期除多晶矽外的其他材料。
在製造製程期間,單元邊界係藉由蝕刻掉一些線,留下蝕刻區之間的單元之主動區域中的主動線之列而形成。積體電路之相鄰主動區域係藉由減小主動區域之間的串擾或無意信號傳送的隔離結構彼此分隔。一些隔離結構包括藉由將介電質材料沈積於在移除虛設或非主動線之後形成的空間中形成的介電結構。如此沈積之介電質材料將不同單元中之摺線彼此電隔離,並將同一單元內之摺線彼此電隔離。一些隔離結構包括切割摺線,或跨越基板擴展的實線中之間斷。一些切割摺線用以蝕刻摺線以形成在垂直於主動區域之線的延行方向之方向上擴展的空間或間斷。一些切割摺線用以蝕刻位於積體電路之毗鄰主動區域之間的邊界處的未摻雜摺線之一或多個長段。
一些隔離結構包括在積體電路之主動區域之間的阻障線,或摺線。阻障線為未摻雜摺線,類似於將一個主動區域中之摻雜摺線與毗鄰主動區域之摻雜摺線實體分隔的閘極結構之摻雜摺線。阻障線平行於形成積體電路之主動區域之部分的積體電路之所摻雜摺線擴展。阻障線具有沿阻障線之中間向下、平行於阻障線之邊緣及平行於毗連阻障線之積體電路之主動區域中的所摻雜摺線之中心而擴展的中心。主動區域與主動區域之單元邊界處之阻障線之間的分隔距離係使用以下方程式1藉由將鰭片間距乘以表示鰭片之數目的整數及加上對應於單一鰭片之寬度一半的寬度來判定:
方程式(1)
其中SD=分隔距離,p =鰭片間距,w =鰭片寬度,且n =1、2、3...(最初存在於主動區域之邊緣與單元邊緣之間的鰭片之數目)。
如本文所論述,術語「第二方向」指代與主動區域之阻障線及鰭片之縱向軸線對準的方向,且術語「第一方向」對應於跨越積體電路之主動線的阻障線或鰭片的垂直於該第二方向之方向。單元經配置於沿第一方向擴展並在第二方向上彼此毗連的行中。行邊界亦沿第一方向擴展。阻障線在第二方向上擴展。切割摺線為區別積體電路之主動區域的阻障線(未摻雜摺線)或摻雜摺線之矽材料中的間斷的形狀。阻障線對應於在第二方向上擴展之單元邊界。因此,術語「頂部」及「底部」係指沿第一方向之位置,且術語「左」及「右」係指沿第二方向之相對於積體電路之主動區域的中心的位置。術語「垂直」指代相對於第一方向的定向,且術語「側向」或「水平」係指相對於第二方向之定向。單元長度及主動區域長度係沿第一方向量測,且單元寬度係沿第二方向量測。單元之主動區域垂直地位於單元之頂部與底部阻障線之間。無論在第一方向上或在第二方向上,相鄰單元共用單元邊界。兩個相鄰主動區域之間的阻障線具有對應於兩個相鄰主動區域之共用單元邊界的中心線。切割摺線具有對應於沿第二方向彼此毗連的主動區域之截短摺線之間的間隙之中心的中心線。切割摺線之中心線對應於兩個相鄰主動區域之共用單元邊界。
本揭示內容係關於單元陣列中之不對稱單元,其中第一不對稱單元與具有跨越共用單元邊界鏡像第一不對稱單元之佈局的佈局之另一不對稱單元垂直地配置。鏡像或倒置單元形成鏡像單元對。在行中配置的垂直不對稱單元之鏡像對中之分隔距離經調節以對準鏡像單元對中之主動區域的邊緣與側向相鄰行中之主動區域的邊緣,以改良單元元件之對準。藉由改良側向相鄰行中之單元主動區域的對準,積體電路之製造得以簡化,積體電路之良率增加,及/或積體電路之效能規範更接近於所要規範。下文給出如何嚴格依循積體電路之側向毗鄰單元(其中側向毗鄰單元具有不同單元長度)中的單元對稱性的清楚呈現以展示單元元件之側向未對準如何發生。
圖2為具有具有在第一方向101上所量測之單元長度及在第二方向103上所量測之單元寬度的對稱標準單元的積體電路200之平面視圖。積體電路200包括在行A中之具有第一單元長度206M及標準單元周邊206p的標準單元206。標準單元206之頂部邊緣206t對應於第一切割摺線208之中心線208L。標準單元206之底部邊緣206b對應於第二切割摺線210之中心線210L。標準單元206包括具有彼此平行並平行於標準單元206之頂部邊緣206t及底部邊緣206b的頂部邊緣212t及底部邊緣212b之主動區域212。頂部邊緣206t及頂部邊緣212t分隔開第一分隔距離214 (亦稱為均勻分隔距離或共同分隔距離)。底部邊緣206b及底部邊緣212b亦分隔開分隔距離214。因為分隔距離214係在頂部邊緣206t及212t與底部邊緣206b及212b兩者之間,所以標準單元206係垂直地對稱。標準單元206具有自頂部邊緣206t至底部邊緣206b量測之第一單元長度206M。中心線206L係在頂部邊緣212t與底部邊緣212b之間等距(參見距離212d),及在頂部邊緣206t與底部邊緣206b之間等距(距離212d+分隔距離214)。
積體電路200包括在毗連行A之行B中的標準單元220及230。在行B中,在標準單元220之頂部處的切割摺線222對應於與行A之切割摺線208相同的線,且在標準單元230之底部處的切割摺線232對應於與行A中之切割摺線210相同的切割摺線。在行B中,標準單元220及標準單元230共用切割摺線226。切割摺線226之中心線226L延伸穿過標準單元206之中心(例如中心線206L),及標準單元206內的主動區域212之中心(例如中心線212L)。
在標準單元220中,頂部邊緣220t與切割摺線222之中心線222L一致,且底部邊緣220b與切割摺線226之中心線226L一致。中心線226L亦與標準單元230之頂部邊緣230t及對稱單元206之中心線206L一致。標準單元220包括在切割摺線222與226之間的具有主動區域頂部邊緣224t及主動區域底部邊緣224b之主動區域224,其中主動區域頂部邊緣224t係在主動區域底部邊緣224b與切割摺線226之間。標準單元220具有單元周邊220p,及為單元長度206L之長度一半的單元長度220M。
標準單元230具有單元周邊230p,及為單元長度206L之長度一半的單元長度230M。標準單元230之頂部邊緣230t與切割摺線226之中心線226L及標準單元206之中心線206L一致。標準單元230之底部邊緣230b與切割摺線232之中心線232L一致。標準單元230含有具有頂部邊緣234t及底部邊緣234b之第二主動區域234,其中頂部邊緣234t係在底部邊緣234b與切割摺線226之間。
標準單元220及230具有相同佈局:主動區域224居中定位於在切割摺線222與226之間的標準單元220內,且其中主動區域234居中定位於在切割摺線226與232之間的標準單元230內。因此,在第一方向上之在對稱單元220或230中之任一者的邊緣與在行頂部、行底部處或在行中心處的最接近切割摺線之中心線之間的分隔距離係相同距離。因此,以下各者中之每一者分隔開分隔距離240:中心線222L與頂部邊緣224t、中心線226L與底部邊緣224b、中心線226L與頂部邊緣234t,及中心線232L與底部邊緣234b。因為對稱單元220及230兩者對稱,所以該等單元跨越中心線226L彼此鏡像。
標準單元220及230個別地垂直對稱。每一單元之主動區域位於單元之中心處,且主動區域與單元之至少頂部及底部邊緣之間的均勻分隔距離經保持。然而,由於依循此共同設計規則,標準單元206中之主動區域212的邊緣未與標準單元220及230之邊緣224t及226b對準。與頂部邊緣224t相比,標準單元206之頂部邊緣212t距共用中心線208L/222L更遠(例如第一分隔距離214大於分隔距離240)。與底部邊緣234b相比,底部邊緣212b距共用中心線220L/232L更遠(第一分隔距離214大於分隔距離240)。第一分隔距離214與分隔距離240之間的差為標準單元206與單元220及230之間的偏移距離242。
如圖2中所示,IC設計中之主動區域偏移或邊緣未對準由於在自圖案化模板至積體電路的圖案轉印方面之困難而產生裝置效能問題或製造問題。圖案化模板包括用於將圖案資訊轉印至塗佈於積體電路之基板上之層的光微影遮罩或光罩。在積體電路或製造積體電路之方法的一些實施例中,主動區域偏移或邊緣未對準小於光波長。以保持根據所規劃積體電路佈局之毗鄰特徵之指定尺寸為代價,製造圖案轉印模板(包括光微影遮罩)之方法易於保持轉印模板上的毗鄰特徵之對準。在第二方向上之單元元件的未對準導致製造製程期間缺陷之增大之風險。遮罩及圖案轉印問題導致在第一方向上太窄或太寬之摺線或其他單元特徵。在一些情況下,此未對準/尺寸偏差導致線傾斜、增強之斷裂、過多切換次數、長閘極長度等。
在一些實施例中,諸如如圖3A中所示,積體電路包括不同大小之標準單元。標準單元之陣列包括標準類比單元,亦稱作「完整大小」或「標準」單元,其具有在第一方向上之標準長度及在第二方向上之標準寬度。第一方向及第二方向本文中應如圖3A中所示解譯。一些標準單元庫及基於其之積體電路包括具有為標準單元長度整數倍之單元長度的單元。一些標準單元庫及基於其之積體電路包括具有為單元庫之標準長度L的非整數倍的單元長度的單元。單元長度係在第一方向101上量測。在一些實施例中,單元庫之標準單元具有標準長度L,且庫中之其他單元具有單元長度L2(其中L2=0.5L),及/或長度L3(其中L3=1.5L)。儘管標準單元長度之非整數倍(例如L2=0.5L,及L3=1.5L),但標準單元長度之其他非整數倍亦預想用於屬於本揭示內容之範疇內的標準庫中之單元。在標準單元及基於其之積體電路的一些實施例中,一些單元具有為標準長度整數倍的單元長度。此等「整數倍」標準單元之佈局比「非整數倍」單元長度單元之佈局更簡單,此係因為「整數倍」單元其中保持與庫之標準單元中發現的相同之分隔距離,此係因為單元之頂部及底部邊緣與積體電路中之標準單元的傳統網格化佈局平滑對準。標準單元與非標準單元之長度的比率不需要對應於在第二方向上彼此毗連的標準與非標準單元中之主動區域的長度之比率。亦在本揭示內容之範疇內預想其他非整數倍單元長度標準單元(例如2.5、3.5等)。
圖3A為根據一些實施例之積體電路300中的類比單元之陣列的平面視圖。在圖3A中,根據本發明之一些實施例配置複數個標準單元。積體電路300中之一些標準單元係對稱的,且一些單獨不對稱,但經成對配置以彼此具有鏡像對稱性或倒置之對稱性且具有單元元件與不同行中之單元的側向對準。積體電路300之單元具有在第二方向上之單元寬度301。在一些實施例中,積體電路之單元全部具有相同單元寬度。在一些實施例中,積體電路之單元在不同行中具有不同寬度。在一些實施例中,一些行之單元與另一行之單元共用共同單元寬度,且兩個行彼此毗連。在一些實施例中,一些行之單元與另一行之單元共用共同單元寬度,且兩個行藉由具有具有不同單元寬度之單元的行彼此分隔。積體電路300之單元經配置成如下四行。第一行302A具有兩個單元:具有主動區域304A之類比單元304,及具有主動區域306A之類比單元306。在第一行302A中之單元304及306藉由在第一方向101上之在行的頂部處的最頂端切割摺線(或阻障線) 308、第二阻障線(或中心阻障線) 310及最底端阻障線312定界。第一行302A中展示之單元為垂直不對稱單元(個別地),但該對展現以中心阻障線310為中心的鏡像對稱(或倒置對稱)。
標準單元之行中的單元對之鏡像對稱性導致單元之鏡像對中的頂部單元及底部單元中之每一者具有相同單元長度、相同大小主動區域,及對稱集中於的共用阻障線(單元之鏡像對之中心阻障線)。在單元之鏡像對中,鏡像單元邊界(共用中心阻障線之中心線)與鏡像對中之單元的主動區域之最接近邊緣之間的分隔距離與每一單元中相同。類似地,單元之主動區域的邊緣與與鏡像單元邊界相對之邊界之間的分隔距離(亦稱為外部分隔距離)在彼此單元中相同,且不同於內部分隔距離。
積體電路300中之單元經分成多個單元區塊。在行302A中,單元304及單元306關於中心阻障線310對稱且包含第一單元區塊B1。如下文所描述,陣列中之其他單元經分成多個單元區塊,諸如區塊B2、B3及B4。區塊包括單一單元、垂直對稱之一對單元(諸如單元304及306),或垂直對稱之多對單元(諸如單元328、330、332、334、336及338)。區塊B4具有等於單元之標準長度的嵌段長度。區塊B2及B3具有等於單元之標準長度1.5倍的嵌段長度。區塊B1具有等於標準長度之長度3倍的嵌段長度。單元區塊通常具有為類比單元之陣列的標準單元長度之整數倍(1×、2×、3×等)或標準單元長度之非整數倍(0.5×、1.5×等)的嵌段長度。單元之鏡像對的嵌段長度與該鏡像對單元及不同行中之單元中的分隔距離之對稱性圖案相關,如下文描述。
在對稱單元中,當參考單元之元件時術語「頂部」及「底部」係指在單元之中心線的相對側的元件,其中該等元件沿第一方向分隔。在單元對中,術語「頂部」及「底部」保持與用於相對於如圖3A中所描述的第一及第二方向之對稱單元之定向相同的定向。術語「最頂端」及「最底端」係指在第一方向上彼此在最大距離處的單元對之元件。舉例而言,在行302A中,該單元對包括單元304及306,且阻障線308為最頂端阻障線,阻障線312為最底端阻障線,且阻障線310為單元之鏡像對的中心阻障線。在橫跨多行的單元之群組的論述中,術語「最頂端」及「最底端」通常保持經保留用於在單一行中的單元之對或群組的含義,亦即在距彼此最大距離處的單元元件。「最頂端」及「最底端」亦可關於重複區塊之單元元件,或鏡像單元對(當第一行中之多個鏡像對在單個單元之長度內彼此垂直毗連時),或第二行中之具有不同單元長度之單個鏡像單元對而使用。(例如參見行302A及302B中之單元,其中標準單元328及338為行中之最頂端及最底端單元,且切割摺線314及336為與行302A中之單元304及306共用的最頂端及最底端阻障線段,但在行302b中之最頂端鏡像單元對(包含單元328及330)內,最頂端及最底端阻障線為切割摺線314及318。
積體電路300包括第二行302B,其包括垂直不對稱單元之三個鏡像對:第一鏡像單元對包括單元328及330;第二鏡像單元對包括單元332及334,且第三鏡像單元對包括單元336及338。第一鏡像單元對包括頂部阻障線214、中心阻障線316及底部阻障線318。第二鏡像單元對包括頂部阻障線318、中心阻障線320及底部阻障線322。第三鏡像單元對包括頂部阻障線322、中心阻障線324及底部阻障線326。阻障線經標識為「頂部」抑或「中心」抑或「底部」取決於鏡像單元對中之單元對的主動區域之位置。因此,雖然一線為一個鏡像單元對中之頂部阻障線,但同一線為在第一鏡像單元對上方或沿第一方向距第一鏡像單元對較遠的鏡像單元對中之底部阻障線。舉例而言,阻障線318為用於單元230之底部阻障線,及用於單元332之頂部阻障線,用於第一鏡像對單元328/330之最底端阻障線,及用於鏡像對單元332/334之最頂端阻障線。貫穿本揭示內容遵循用於參考阻障線之此定則。對於第一鏡像對單元328/330,中心阻障線316毗連小於單元之主動區域與外部阻障線314/318之間的外部分隔距離的內部分隔距離。類似地,對於第二鏡像對單元332/334,靠近中心阻障線320之內部分隔距離小於在單元之主動區域與外部阻障線318/322之間的外部分隔距離,且對於第三鏡像對單元336/340,靠近中心阻障線324之內部分隔距離小於單元之主動區域與外部阻障線322/326之間的外部分隔距離。
積體電路300含有具有三個對稱標準單元344、346及350之第三行302C。單元344具有頂部阻障線340及底部阻障線342,單元346具有頂部阻障線342及底部阻障線348,且單元350具有頂部阻障線348及底部阻障線352。底部阻障線352為與行302B及302A之阻障線326及阻障線312相同的切割摺線。頂部阻障線340為與行302B之阻障線314及行302A之阻障線308相同的切割摺線。在第一方向上之在單元344、346及350之每一主動區域與在單元之頂部邊緣或底部邊緣處的每一切割摺線之間的分隔距離為相同分隔距離,或(對稱標準單元之)均勻分隔距離。
單元344為本文中展示的積體電路300之最簡單區塊,單個單元在第一方向上具有等於嵌段長度B4之標準單元長度,其中主動區域在第一方向上對稱地位於單元之頂部與底部阻障線/邊緣之間。行302B中之垂直不對稱單元328及330為鏡像對單元,包含具有嵌段長度B4之單元的第二區塊。不對稱單元328及330 (亦稱作第一鏡像對單元,如上文所描述)中之每一者具有為標準長度之一半及嵌段長度B4之一半的單元長度。根據一些實施例,單元區塊包含具有共用中心阻障線或鏡像單元邊界(諸如不對稱單元328與330之間的阻障線316)的鏡像單元對。因此,第二鏡像對單元332/334為具有中心阻障線320及嵌段長度B4的單元之區塊,且第三鏡像對單元336/338為具有中心阻障線324及嵌段長度B4之單元的另一區塊。對於單元之每一區塊,或對於每一鏡像對單元,在行302b中,內部分隔距離不同於區塊或鏡像單元對之外部分隔距離。
積體電路300含有具有兩個垂直不對稱單元356及360 (類似於行302A中之單元/鏡像對單元(亦即單元304及單元306)的區塊之垂直不對稱單元)之第四行302D。單元356具有位於頂部阻障線354與中心阻障線358之間的主動區域,且單元360具有位於頂部阻障線358與362之間的主動區域。類似鏡像對單元304/306,中心阻障線358與主動區域之間的內部分隔距離不同於主動區域與外部阻障線354及362之間的外部分隔距離。
圖3A為具有標準單元及具有非標準(縮短或伸長)單元長度之單元的積體電路之非限制性實施例的平面視圖。在一些情況下,當製造具有非標準單元長度之單元時,在蝕刻製程期間修整阻障線。經修整線造成寄生電容、功率消耗、用於含有單元之電路元件的較慢雙態觸發時間,及/或裝置損害,此係因為修整之線對製造中的傾斜及斷裂敏感。經修整線亦干擾單元中之非修整線的摻雜,從而產生切換次數及/或經過單元之洩漏電流的不均勻分佈。圖3B為類似於圖3A之彼等單元的單元之一些實施例的非限制性表示之平面視圖。圖3B為單元之陣列370的平面視圖,該陣列包括三個單元:單元370A,其具有標準單元長度380;及單元370B及370C,其具有縮短之單元長度381。縮短之單元長度為標準單元長度380之一半。單元長度係在第一方向101上量測。單元370A具有為與單元370B共用之頂部阻障線的頂部阻障線371。單元370A具有為與單元370C共用之底部阻障線的底部阻障線371。單元370B及370C由中心阻障線373分隔開。單元370A係藉由阻障線374與單元370B及370C分隔。阻障線374分隔單元陣列370的單元之行。
線375A至375K沿第二方向103擴展。線係藉由將複數個鰭片蝕刻於諸如半導體基板之基板中而形成,如本文所描述。主動區域係藉由形成主動區域之間的隔離結構而由複數個鰭片形成。在一些實施例中,介電質阻障係藉由在基板中蝕刻一開口至大於基板中之鰭片之深度的一深度,及用介電質材料填充該開口(如同一些渠溝隔離技術)而形成。單元370A具有在阻障線371與372之間的包括線段375A至375K之主動區域376。主動區域376之每一線段為無修整之原始鰭片寬度。主動區域376對稱地位於阻障線371與372之間。
單元370B具有包括線段375A至375E之主動區域377。主動區域377對稱地位於阻障線371與373之間。主動區域377中之線段375A至375D為原始寬度鰭片,而線段375E-2為經修整線段。主動區域376中之線段375E-1為原始寬度鰭片。單元370C包括具有線段375G至375L之主動區域378。主動區域378對稱地位於阻障線373與372之間。線段375H至375K為原始寬度鰭片,而線段375G-2為經修整線段。如同線段375E-1,主動區域376中之線段375G-1為原始寬度鰭片。主動區域377及378藉由在第一方向上修改阻障線373之寬度以消除經修整線段375E-2及375G-2而轉換為單元370B及370C中之垂直不對稱主動區域。因此,在主動區域377及387之頂部及底部邊緣的原始寬度鰭片在消除經修整線段之單元中具有比留存經修整線段之單元中更均勻之摻雜特性及電學性質。
圖4至圖6為強調本發明之態樣的積體電路300之細節的平面視圖。積體電路300為本發明之標的物之非限制性實施例,且包括對稱及垂直不對稱單元之不同配置的其他實施例預期在本揭示內容之範疇內。積體電路300中描繪之元件僅關於積體電路中之單元之間的關係而示範,且並不意欲不包括亦符合本文提供之描述的其他組態或佈局。圖4至圖6說明積體電路300中之一些配置單元,包括積體電路300內之垂直對稱單元及/或垂直不對稱單元(亦即,鏡像對)。圖4至圖6中展示之配置說明具有不同單元長度之單元的主動區域之對準,及積體電路之主動區域與阻障線之間的分隔距離的細節。
圖4為根據一些實施例之積體電路300中之單元的區塊400之平面視圖。單元之區塊包括行302B中之不對稱單元328及330,及行302C中之對稱單元344,如上文圖3A中所描繪。如上文所描述,單元328及330為垂直不對稱單元。在垂直對稱單元328及330中,主動區域並未在單元之頂部阻障線與底部阻障線之間均勻間隔開。實情為,單元328及330為共用鏡像單元邊界316L之鏡像單元對。單元328及330之主動區域在單元區域內垂直地偏移以比頂部阻障線314 (對於單元328)或底部阻障線318 (對於單元330)更接近於中心阻障線316。
行302C中之單元344為具有單元長度402之對稱單元。對稱單元344具有頂部邊緣344t、底部邊緣344b及沿第二方向103之單元中心線344L。對稱單元344具有一具有對應於單元中心線344L之主動區域中心線345L的主動區域345。主動區域345具有主動區域頂部邊緣345t及主動區域底部邊緣345b。對稱單元344具有頂部阻障線340 (具有頂部阻障線中心線340L),底部阻障線342 (具有底部阻障中心線342L)。單元344具有在單元頂部邊緣344t (其與單元頂部阻障中心線340L一致)與主動區域頂部邊緣345t之間的第一分隔距離404,及在單元底部邊緣344b (其與單元底部阻障中心線342L一致)與主動區域底部邊緣345b之間的第一分隔距離404。
在行302B中,單元328具有頂部阻障線314 (具有頂部阻障中心線314L,其對應於對稱單元344之頂部阻障中心線340L)、對應於阻障線316 (具有阻障中心線316L,其對應於對稱單元344之主動區域中心線345L)之底部阻障線,及具有主動區域頂部邊緣329t及主動區域底部邊緣329b之單元主動區域329。阻障線316為垂直不對稱單元330之頂部阻障線。阻障線316具有對應於單元頂部邊緣330t之阻障中心線316L。單元330具有底部阻障線318 (具有底部阻障中心線318L,其對應於單元344之單元底部阻障中心線342L)。單元330亦具有一具有主動區域頂部邊緣331t及主動區域底部邊緣331b之單元主動區域331。垂直不對稱單元328及330具有單元長度406、外部分隔距離405及內部分隔距離408。單元長度係自單元頂部邊緣至單元底部邊緣量測。單元長度406為對稱單元344之單元長度402之長度的一半。對於單元328,外部分隔距離405對應於主動區域頂部邊緣329t與單元頂部邊緣330t之間的距離。對於單元330,外部分隔距離405對應於主動區域底部邊緣331b與單元底部邊緣330b之間的距離。內部分隔距離408對應於主動區域底部邊緣329b與單元底部邊緣328b (對於單元328)之間的距離,並對應於主動區域頂部邊緣331t與單元頂部邊緣330t (對於單元330)之間的距離。在垂直不對稱單元中,內部分隔距離及外部分隔距離係不同距離。在一些實施例中,內部分隔距離大於外部分隔距離。在一些實施例中,內部分隔距離小於外部分隔距離。
單元庫內之單元根據單元之主動區域內的鰭片(轉換為主動裝置或電路元件之摺線)之數目具有不同單元長度。相較於標準長度單元中之鰭片的數目,「短」單元在主動區域中具有小數目個鰭片或摺線,而「長」或大單元在其主動區域中具有大數目個鰭片或摺線。在跨越行邊界(諸如圖4,行邊界401)緊鄰標準或長單元定位短單元係長或標準單元之中心線對應於兩個短單元之間的共用阻障線的中心線。當短單元之長度為標準單元長度之偶數分數(1/2、1/4等)時,標準單元主動區域之中心線與較短單元長度單元之間的共用阻障線(或鏡像阻障線)之中心線對準。參見例如圖4中的中心線316L及344L。)諸如圖4的單元328及330之垂直不對稱單元已垂直地偏移主動區域以對準垂直不對稱單元中之主動區域邊緣與另一行中之對稱單元中的主動區域頂部邊緣或主動區域底部邊緣,經調整以修改內部分隔距離308使得足以對準主動區域頂部邊緣329t與主動區域頂部邊緣345t,及主動區域邊緣332b與主動區域底部邊緣345b。藉由對準每一行中(例如行302B與302C之間)之主動區域的邊緣,組成垂直對稱及垂直不對稱單元中之主動區域的線並不未對準,且已改良裝置良率及裝置效能。
圖5為根據一些實施例之積體電路300中的對稱及垂直不對稱單元之區塊500的平面視圖。雖然圖4運用標準單元(單元344)及兩個「短」單元(具有小於標準單元長度402之單元長度的單元)展示鏡像單元對稱性(亦稱作倒置單元對稱性),但圖5包括以下配置:在行302C中之多個標準單元(單元344、346及350)緊鄰兩個「長」單元(具有大於標準單元長度之單元長度)(單元356及360),同時保持在每一行之最頂端及最底端單元中之最頂端與最底端主動區域邊緣之間的對準。
單元344、346及350為定位於行302C中之積體電路300的對稱標準單元。單元344、346及350中之每一者的主動區域之頂部及底部邊緣距單元邊界(在量測分隔距離所針對的主動區域上方或下方的阻障線之中心線)第一分隔距離520。因此,分隔距離520存在於主動區域頂部邊緣345t與中心線340L、主動區域頂部邊緣347t與中心線342L、主動區域頂部邊緣351t與中心線348L之間,及在主動區域底部邊緣345b與中心線342L、主動區域底部邊緣347b與中心線348L及主動區域底部邊緣351b與中心線352L之間。單元344、346及350具有單元長度502。單元長度係在(對於單元344)單元頂部邊緣344t與單元底部邊緣344b、(對於單元346)單元頂部邊緣346t與單元底部邊緣346b,及(對於單元350)單元頂部邊緣350t與單元底部邊緣350b之間量測。在一些實施例中,單元長度502為標準單元長度402。單元344、346及350中之每一者具有在單元之阻障線之間的對稱主動區域。
單元356及360具有大於單元長度502之單元長度504。單元長度504大於單元長度502 1.5倍,此係因為單元344及單元356共用頂部阻障線(340/354)及頂部邊界,且因為單元350及360共用底部阻障線(352及362)及底部邊界。單元356及360共用邊界線358。共用之邊界線(或鏡像邊界線) 358用以量測中心線358L與主動區域底部邊緣357b(對於單元356),及中心線358L與主動區域頂部邊緣361t (對於單元360)之間的內部分隔距離506。阻障線354與主動區域頂部邊緣357t (對於單元356)之間及阻障線362與主動區域底部邊緣361b (對於單元360)之間的外部分隔距離不同於內部分隔距離506。在一些實施例中,外部分隔距離大於內部分隔距離。在一些實施例中,外部分隔距離小於內部分隔距離。
如上文關於圖3B所描述,具有不同於標準單元長度的單元長度的單元之主動區域具有其主動區域中之一些線被修整的風險,從而在非標準長度單元之頂部末端或底部末端處產生一些窄線段。經修整線造成寄生電容、功率消耗、用於含有單元之電路元件的較慢雙態觸發時間,及/或裝置損害,此係因為修整之線對製造中的傾斜及斷裂敏感。經修整線亦干擾單元中之非修整線的摻雜,從而產生切換次數及/或經過單元之洩漏電流的不均勻分佈。藉由調整主動區域之大小(例如在一些實施例中,藉由在阻障線形成步驟期間修改阻障線或隔離結構之寬度以消耗經修整部分),主動區域變得垂直不對稱且具有均勻寬度鰭片,從而產生具有更均勻效能特性之鰭片。藉由修改阻障線寬自積體電路移除經修整的鰭片(以包括應已被經修整鰭片佔據的區域),製造缺陷減少且產品良率增大。
圖6為根據一些實施例之積體電路300中之不對稱單元的區塊之平面視圖。行302A含有兩個單元:具有為標準單元長度1.5倍之單元長度602 (參見圖4,元件306)的垂直不對稱單元304及306,如上文所描述。行302B含有六個垂直不對稱單元,單元328、330、332、334、346及338,各自具有為標準單元長度0.5倍的單元長度603 (參見例如圖4,元件308)。垂直不對稱單元304及306包含具有比標準單元長度長3倍的嵌段長度的區塊602,且單元328、330、332、334、346及338包含三個區塊604、606及608,其組合起來具有為標準單元長度3倍的長度。因此,最頂端阻障線308及314之中心線308L/314L對應於單元328及304之頂部邊緣,及最底端阻障線312及326之中心線312L/326L對應於單元338及306之底部邊緣。儘管單元304、306、328及338中無一者為垂直對稱單元,但該等單元具有對應於對稱標準單元之標準分隔距離的外部分隔距離610 (參見例如圖3A之分隔距離304,或圖5之分隔距離520)。因此,區塊604、606及608中之每一者的外部分隔距離為相同距離610,等於積體電路300中之垂直對稱單元的標準分隔距離。另外,302B之每一或多個區塊604、606及608及行302A之區塊304及306的內部分隔距離為關於單元304及306 (線310L)、320及330 (線316L)、332及334 (線320L)及336及338 (線324L)之鏡像對的鏡像單元邊界的相同距離610。在一些實施例中,內部分隔距離610大於外部分隔距離610。在一些實施例中,內部分隔距離610小於外部分隔距離610。
如上文關於圖3B所描述,具有不同於標準單元長度的單元長度的單元之主動區域具有其主動區域中之一些線被修整的風險,從而在非標準長度單元之頂部端或底部端處產生一些窄線段。經修整線造成寄生電容、功率消耗、用於含有單元之電路元件的較慢雙態觸發時間,及/或裝置損害,此係因為修整之線對製造中的傾斜及斷裂敏感。經修整線亦干擾單元中之非修整線的摻雜,從而產生切換次數及/或經過單元之洩漏電流的不均勻分佈。藉由調整主動區域之大小(例如在一些實施例中,藉由在阻障線形成步驟期間修改阻障線或隔離結構之寬度以消耗經修整部分),主動區域變得垂直不對稱且具有均勻寬度鰭片,從而產生具有更均勻效能特性之鰭片。藉由修改阻障線寬自積體電路移除經修整的鰭片(以包括應已被經修整鰭片佔據的區域),製造缺陷減少且產品良率增大。
圖7為根據本發明之一些實施例之半導體裝置700的橫截面圖。裝置700及製造裝置之方法800的描述在下文並行進行。圖8為製造具有具有跨越阻障線鏡像對稱性之垂直不對稱單元之積體電路的方法800之流程圖。方法800包括操作810,其中形成積體電路之基板層702。根據一些實施例,基板材料層包括(但不限於):基本半導體材料、化合物半導體材料及/或合金半導體材料。基本半導體材料之實例包括(但不限於)單晶矽(Si)、多晶矽(聚Si)、非晶矽(a-Si)、鍺(Ge)及/或金剛石(C)。二元化合物半導體材料之實例包括(但不限於):IV族-IV族材料,包括矽鍺(SiGe)、碳化鍺(GeC)及碳化矽(SiC);及III族-V族材料,包括砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb)。三元及四元化合物半導體材料之實例包括(但不限於)GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP。
在一些實施例中,併入於基板702中之該(等)半導體層係使用合適之技術或方法形成,該技術或方法包括(但不限於)金屬有機汽相磊晶法(MOVPE)、分子束磊晶法(MBE)、氫化物汽相磊晶法(HVPE)、液相磊晶法(LPE)、金屬有機分子束磊晶法(MOMBE)、原子層沈積(ALD)及/或其組合。
在一些實施例中,基板材料包括絕緣材料及半導體材料兩者以形成絕緣層上半導體(SOI)基板。在一些實施例中,SOI基板包括形成於絕緣材料(諸如二氧化矽或藍寶石)上之半導體層。在一些實施例中,基板包括應變材料或磊晶生長層,其促進基板材料內之應變以修改其中之載流子遷移率。在一些實施例中,藉由添加摻雜劑至基板材料而將應變誘發於半導體材料中。
基板材料為摻雜或未摻雜基板材料,根據一些實施例,摻雜基板材料包括至少一個p型及/或n型摻雜劑,此取決於用於在基板上製造之半導體裝置的功能性及/或效能目標參數。術語「p型」指代雜質至產生價電子之缺陷的純質半導體之添加。p型摻雜劑(亦即雜質)的實例包括但不限於硼、二氟化硼、鎵及銦。「n型」指代貢獻自由電子至純質半導體的雜質之添加。n型摻雜劑(亦即雜質)之實例包括但不限於銻、砷及磷。在一些實施例中,半導體基板702摻雜有p型摻雜劑,諸如硼或二氟化硼。
方法800亦包括操作820,其中圖案經轉印至基板層之頂部表面。在一些實施例中,圖案經轉印至光阻層。在一些實施例中,在使用光阻層之蝕刻製程之後,圖案經轉印至一硬或軟遮罩層,該硬或軟遮罩層適合於將圖案轉印至基板材料。根據實施例,遮罩層包括光阻層、硬遮罩層、軟遮罩層、混合式硬/軟遮罩層(雙層),其中個別膜適合於抵抗用於基板材料的隨後施加之蝕刻製程的蝕刻化學物質。在一些實施例中,圖案包括對應於半導體基板702之鰭片706的圖案。
遮罩材料之類型及遮罩層厚度係藉由後續蝕刻製程期間圖案轉印至的基板材料之化學組成物調節。合適之遮罩材料包括但不限於聚醯亞胺、氧化矽、氮化矽、氮氧化矽、碳氧化矽或其組合,以及有機或混合(有機/無機)感光材料或對電子束磊晶或其他圖案轉印方法敏感的材料之組合。
根據方法之實施例藉由氣相沈積(包括化學氣相沈積(CVD)、物理氣相沈積(PVD)、電漿增強式化學氣相沈積(PECVD))、磊晶生長、濺鍍或其他合適沈積法來沈積遮罩材料。
至遮罩層的圖案轉印係藉由光微影術、電子束圖案化技術或熟習此項技術者已知之其他圖案轉印方法而完成。圖案轉印之光微影方法包括以下操作:將光阻材料沈積(藉由例如,旋塗)於基板材料上、烘焙(以驅出溶劑)該光阻材料、對準基板與光微影工具之經照射圖案化元件、將光阻層曝露至來自微影工具之照射、顯影經曝露光阻膜以曝露基板材料之第一部分,及在圖案自光阻轉印至另一遮罩(例如硬遮罩或軟遮罩)或基板中之前清潔光阻材料以移除缺陷。用於轉印圖案至光阻材料的圖案化模板包括光微影術光罩,其選擇性地與來自光微影術或電子束工具之照射相互作用以建立相長及相消干涉之圖案,及阻擋或允許至光阻或其他感光性材料上的光/電子之通過以接收在圖案化模板之遮罩或光罩圖案上預測的圖案。
方法800進一步包括操作830,其中圖案經蝕刻於基板材料中。根據一些實施例,蝕刻為乾式蝕刻或電漿蝕刻製程,其中氣態或電漿物質經導引至基板之曝露部分上以與其中不存在遮幕材料的基板材料反應。根據一些實施例,蝕刻為一濕式蝕刻製程,其中晶圓浸入於具有移除基板之曝露部分的反應性試劑之溶液中,或藉由該溶液塗佈。在電漿蝕刻中,遮罩層係使用由包含至少一個含鹵素氣體之氣體混合物產生的電漿來蝕刻,且可包括CF4 、SF6 、NF3 、Cl2 、CCl2 F2 、SiCl4 、BCl2 或其一組合中之一或多者。根據被蝕刻之基板材料,濕式蝕刻包括含有反應物質之液體溶液,該等反應物質消耗其中不存在遮罩層之經曝露基板材料。濕式蝕刻製程有時包括水。根據一些實施例,濕式蝕刻製程在經施加至晶圓表面以蝕刻部分基板材料之反應物溶液中不含水。濕式蝕刻製程包括檸檬酸(C6 H8 O7 )、過氧化氫(H2 O2 )、硝酸(HNO3 )、硫酸(H2 SO4 )、鹽酸(HCl)、乙酸(CH3 CO2 H)、氫氟酸(HF)、緩衝氫氟酸(BHF)、磷酸(H3 PO4 )、氟化銨(NH4 F)氫氧化鉀(KOH)、乙二胺鄰苯二酚(EDP)、氫氧化四甲銨(TMAH)或其一組合中之一或多者,其藉由將基板(在半導體晶圓上)浸入於貯槽中或藉由將蝕刻劑溶液噴射於表面上而施加至表面。根據一些實施例,濕式蝕刻製程包括中和步驟及/或沖洗步驟以停止晶圓表面上之化學反應及/或自晶圓表面移除反應物質以停止基板移除。
在蝕刻之後,鰭片706具有匹配蝕刻之前基板材料之組成物的組成物。在一些操作期間,絕緣材料707沈積至鰭片706之間的空間708中。在一些操作中,絕緣材料707凹陷以使得下部部分706A藉由絕緣材料707遮罩,而上部部分706B未藉由絕緣材料遮罩。經曝露上部部分706B經受摻雜或植入以便修改半導體材料之曝露部分的電特性以便調節單元之電特性。在摻雜或植入經曝露上部部分706B之後,緊鄰鰭片706形成深隔離結構710。深開口形成於基板中,具有在基板702中之大於鰭片706之間的空間708之深度的深度。深開口接著以絕緣材料來填充以電隔離鰭片與積體電路之相鄰單元中的鰭片。在沈積用於深隔離結構710的絕緣材料之後,絕緣材料係如上文所描述運用濕式或電漿蝕刻而凹陷,且跨越鰭片706之經曝露上部部分706B形成閘極電極712。
用於具有單一大小類比單元之積體電路的其他製造製程、佈局及製造的簡化可藉由使用類比單元之元件的對稱間隔來達成。類比單元元件之對稱佈局指示每一單元長度及每一單元長度在毗鄰/鄰接單元之間係相同的,且每一類比單元之主動區域與在第一方向(跨越主動區域邊界處之阻障線)及第二方向(平行於阻障線)上之分隔類比單元主動區域的共同線性邊緣對準。類比單元對稱佈局包括類比單元主動區域之一側與最接近於彼類比單元主動區域之阻障線或隔離結構之間的類似分隔。此類對稱類比單元佈局簡化製造,此係因為光微影遮罩得以簡化,且因為用以產生亞微影解析度特徵的心軸可經產生而跨越積體電路中之類比單元的陣列具有較小線寬變化。鄰接類比單元(亦即,彼此毗連而沒有介入阻障線或隔離結構的單元)自動地對準阻障線及主動區域單元特徵兩者。然而,依賴於僅僅單一大小之類比單元就積體電路設計而言可有限制性。
圖9為根據一些實施例的電子設計自動化(EDA)系統900之方塊圖。本文中所描述的根據一或多個實施例的產生單元佈局圖之方法可例如使用根據一些實施例之EDA系統900實施。在一些實施例中,EDA系統900為包括硬體處理器902及非暫時性電腦可讀儲存媒體904之通用計算裝置。儲存媒體904尤其編碼有(亦即,儲存)電腦程式碼906,亦即可執行指令集。指令906藉由硬體處理器902來執行表示(至少部分)一EDA工具,該EDA工具實施例如本文根據一或多個(下文中,所提及製程及/或方法)所描述的方法之一部分或全部。
處理器902經由匯流排908電耦接至電腦可讀取儲存媒體904。處理器902亦藉由匯流排908電耦接至I/O介面910。網路介面912亦經由匯流排908電連接至處理器902。網路介面912連接至網路914,使得處理器902及電腦可讀儲存媒體904能夠經由網路914連接至外部元件。處理器902經組態以執行編碼於電腦可讀儲存媒體904中之電腦程式碼906,以便使得系統900可用於執行所提及製程及/或方法之一部分或全部。在一或多個實施例中,處理器902為中央處理單元(CPU)、多處理器、分佈式處理系統、特殊應用積體電路(ASIC)及/或合適之處理單元。
在一或多個實施例中,電腦可讀儲存媒體904為電子系統、磁性系統、光學系統、電磁系統、紅外系統及/或半導體系統(或設備或裝置)。舉例而言,電腦可讀儲存媒體904包括半導體或固態記憶體、磁帶、可移式電腦磁片、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、硬磁碟及/或光碟。在使用光碟的一或多個實施例中,電腦可讀儲存媒體904包括緊密光碟唯讀記憶體(CD-ROM)、緊密光碟-讀取/寫入(CD-R/W)及/或數位視訊光碟(DVD)。
在一或多個實施例中,儲存媒體904儲存電腦程式碼906,電腦程式碼906組態以使得系統900 (其中此類執行(至少部分地)表示EDA工具)將可用於執行所提及製程及/或方法之一部分或全部。在一或多個實施例中,儲存媒體904亦儲存促進執行所提及製程及/或方法之一部分或全部的資訊。在一或多個實施例中,儲存媒體904儲存包括如本文所揭示之此類標準單元的標準單元之庫907。
EDA 系統900包括I/O介面910。I/O介面910耦接至外部電路。在一或多個實施例中,I/O介面910包括用於將資訊及命令傳達至處理器902的鍵盤、小鍵盤、滑鼠、軌跡球、軌跡墊、觸控螢幕及/或游標方向按鍵。
EDA系統900亦包括耦接至處理器902的網路介面912。網路介面912允許系統900與網路914通信,一或多個其他電腦系統連接至該網路。網路介面912包括無線網路介面,諸如藍芽(BLUETOOTH)、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如乙太網、USB或IEEE-1364。在一或多個實施例中,所提及製程及/或方法之一部分或全部實施於兩個或大於兩個系統900中。
系統900經組態以經由I/O介面910接收資訊。經由I/O介面910接收的資訊包括藉由處理器902處理的指令、資料、設計規則、標準單元之庫及/或其他參數中的一或多者。資訊經由匯流排908傳送至處理器902。EDA系統900經組態以經由I/O介面910接收與UI相關的資訊。資訊作為使用者介面(UI) 942而儲存於電腦可讀媒體904中。
在一些實施例中,所提及的製程及/或方法之一部分或全部實施為供由處理器執行的獨立軟體應用程式。在一些實施例中,所提及製程及/或方法之一部分或全部實施為軟體應用程式,該軟體應用程式為額外軟體應用程式之一部分。在一些實施例中,所提及的製程及/或方法之一部分或全部實施為軟體應用程式之插件。在一些實施例中,所提及的製程及/或方法中之至少一者實施為軟體應用程式,該軟體應用程式為EDA工具之一部分。在一些實施例中,所提及的製程及/或方法之一部分或全部實施為軟體應用程式,該軟體應用程式由EDA系統900使用。在一些實施例中,使用工具來產生包括標準單元之佈局圖,該工具諸如可購自益華電腦股份有限公司(CADENCE DESIGN SYSTEMS,Inc.)的VIRTUOSO®或另一合適之佈局產生工具。
在一些實施例中,製程實現為儲存於非暫時性電腦可讀記錄媒體中的程式之功能。非暫時性電腦可讀記錄媒體之實例包括但不限於外部/可移除及/或內部/內建式儲存器或記憶體單元,例如,光碟(諸如DVD)、磁碟(諸如硬碟)、半導體記憶體(諸如ROM、RAM、記憶體卡)及類似者中之一或多者。
圖10為根據一些實施例之積體電路(IC)製造系統1000及與其相關聯的IC製造流程之方塊圖。在一些實施例中,基於佈局圖,使用製造系統1000製造(A)一或多個半導體遮罩或(B)半導體積體電路層中之至少一個組件中的至少一者。
在圖10中,IC製造系統1000包括實體,諸如設計室1020、遮罩室1030及IC製造商/製造器(「工廠」) 1050,該等實體在與製造IC裝置1060相關的設計、開發及製造循環及/或服務中彼此相互作用。系統1000中之實體藉由通信網路連接。在一些實施例中,通信網路為單個網路。在一些實施例中,通信網路為多種不同網絡,諸如企業內部網路及網際網路。通信網路包括有線及/或無線通信通道。每一實體與其他實體中之一或多者相互作用且將服務提供至其他實體中之一或多者及/或自其他實體中之一或多者接收服務。在一些實施例中,單個更大公司擁有設計室1020、遮罩室1030及IC工廠1050中之兩者或大於兩者。在一些實施例中,設計室1020、遮罩室1030及IC工廠1050中之兩者或大於兩者共存於公共設施中且使用公共資源。
設計室(或設計組) 1020產生IC設計佈局圖1022。IC設計佈局圖1022包括針對IC裝置1060設計的各種幾何圖案。幾何圖案對應於組成將被製造的IC裝置1060之各種組件的金屬層、氧化物層或半導體層的圖案。各種層組合以形成各種IC特徵。舉例而言,IC設計佈局圖1022之一部分包括待形成於半導體基板(諸如矽晶圓)及安置於該半導體基板上的各種材料層中的各種IC特徵,諸如主動區域、閘極電極、源極及汲極、層間互連件之金屬線或通孔,及接合墊之開口。設計室1020實施恰當設計程序以形成IC設計佈局圖1022。設計程序包括邏輯設計、實體設計或佈局及佈線中之一或多者。IC設計佈局圖1022呈現於具有幾何圖案的資訊之一或多個資料檔案中。舉例而言,IC設計佈局圖1022可以GDSII檔案格式或DFII檔案格式表達。
遮罩室1030包括資料準備1032及遮罩製造1044。遮罩室1030使用IC設計佈局圖1022來製造一或多個遮罩1045,該一或多個罩幕待用於根據IC設計佈局圖1022製造IC裝置1060之各種層。遮罩室1030執行遮罩資料準備1032,其中IC設計佈局圖1022被轉譯成代表性資料檔案(「RDF」)。遮罩資料準備1032將RDF提供至遮罩製造1044。遮罩製造1044包括遮罩寫入器。遮罩寫入器將RDF轉換為諸如遮罩(光罩) 1045或半導體晶圓1053的基板上的影像。設計佈局圖1022由遮罩資料準備1032操縱以遵從遮罩寫入器的特定特性及/或IC工廠1050的要求。在圖10中,將遮罩資料準備1032及遮罩製造1044說明為單獨的元件。在一些實施例中,遮罩資料準備1032及遮罩製造1044可統稱為遮罩資料準備。
在一些實施例中,遮罩資料準備1032包括光學近接校正(OPC),該光學近接校正使用微影增強技術以補償影像誤差,諸如可起因於繞射、干擾、其他製程效應及類似者的彼等影像誤差。OPC調整IC設計佈局圖1022。在一些實施例中,遮罩資料準備1032包括其他解析度增強技術(RET),諸如離軸照明、亞解析度輔助特徵、相移遮罩、其他合適的技術,及類似技術或其組合。在一些實施例中,亦使用反向微影技術(ILT),其將OPC視為反向成像問題。
在一些實施例中,遮幕資料準備1032包含遮幕規則檢查器(MRC),其檢查IC設計佈局圖1022,該IC設計佈局圖在OPC中已藉由一組遮幕創建規則經受處理,該遮幕創建規則含有特定幾何及/或連接限制以確保充足裕度,從而考慮半導體製造製程的可變性及類似者。在一些實施例中,MRC在遮罩製造1044期間修改IC設計佈局圖1022以補償侷限性,其可復原由OPC執行的修改的部分以便符合遮罩創建規則。
在一些實施例中,遮罩資料準備1032包括模擬將藉由IC工廠1050實施以製造IC裝置1060之處理的微影製程檢查(LPC)。LPC基於IC設計佈局圖1022模擬此處理以產生模擬製造之裝置,諸如IC裝置1060。LPC模擬中的處理參數可包括與IC製造循環之各種製程相關聯的參數、與用以製造IC的工具相關聯的參數,及/或製造製程之其他態樣。LPC考慮多個因素,諸如空間影像對比度、聚焦深度(「DOF」)、遮罩誤差增強因子(「MEEF」)、其他合適因素,及類似者或其組合。在一些實施例中,在模擬製造的裝置已藉由LPC產生之後,若模擬裝置在形狀上並不足夠緊密以滿足設計規則,則OPC及/或MRC重複以進一步優化IC設計佈局圖1022。
應理解,遮罩資料準備1032之以上描述已出於清晰目的而簡化。在一些實施例中,資料準備1032包括諸如邏輯操作(LOP)的額外特徵以根據製造規則修改IC設計佈局圖1022。另外,在資料準備1032期間應用於IC設計佈局圖1022的製程可以各種不同次序執行。
在遮罩資料準備1032之後及在遮罩製造1044期間,基於經修改IC設計佈局圖1022製造遮罩1045或遮罩1045之群組。在一些實施例中,遮罩製造1044包括基於IC設計佈局圖1022執行一或多個微影曝露。在一些實施例中,電子束(e-beam)或多電子束之機構用以基於經修改IC設計佈局圖1022在遮罩(例如光遮罩或光罩) 1045上形成圖案。遮罩1045可以各種技術形成。在一些實施例中,遮罩1045使用二進位技術形成。在一些實施例中,遮幕圖案包括不透明區域及透明區域。諸如紫外光(UV)射束之用以曝露已塗佈於晶圓上之影像敏感材料層(例如光阻)的輻射束係藉由不透明區域阻擋並透射穿過透明區域。在一個實例中,遮罩1045之二進位遮罩版本包括透明基板(例如,熔融石英)及塗佈於二進位遮罩的不透明區域中的不透明材料(例如,鉻)。在另一實例中,遮罩1045使用相移技術形成。在遮罩1045之相移遮罩(PSM)版本中,形成於相移遮罩上的圖案中的各種特徵經組態以具有恰當相位差,從而提高解析度及成像品質。在各種實例中,相移遮幕可為衰減PSM或交錯PSM。藉由遮罩製造1044產生的遮罩用於各種製程。舉例而言,此(等)遮罩用於離子植入製程以形成半導體晶圓1053中之各種摻雜區域,用於蝕刻製程以形成半導體晶圓1053中之各種蝕刻區域,及/或用於其他合適之製程。
IC工廠1050包含晶圓製造1052。IC工廠1050為IC製造企業,其包括用於製造各種不同IC產品之一或多個製造設施。在一些實施例中,IC工廠1050為半導體鑄造廠。舉例而言,可能存在用於多種IC產品的前端製造(前段製程(FEOL)製造)的製造設施,而第二製造設施可為IC產品的互連及封裝提供後端製造(後段製程(BEOL)製造),且第三製造設施可為鑄造廠企業提供其他服務。
IC工廠1050使用由遮罩室1030製造的遮罩1045以製造IC裝置1060。因此,IC工廠1050至少間接地使用IC設計佈局圖1022以製造IC裝置1060。在一些實施例中,半導體晶圓1053藉由IC工廠1050使用遮罩1045製造以形成IC裝置1060。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖1022執行一或多個微影曝露。半導體晶圓1053包括矽基板或其上形成有材料層的其他恰當基板。半導體晶圓1053進一步包括多個摻雜區域、介電特徵、多層互連件及其類似者(形成於後續製造步驟處)中的一或多者。
關於積體電路(IC)製造系統(例如圖10之系統1000)及與其相關聯之IC製造流程的細節係例如在2016年2月9日核准的美國專利第9,256,709號、2015年10月1日公開之美國核准前公開案第20150278429號、2014年2月6日公開之美國核准前公開案第20140040838號,及2007年8月21日核准之美國專利第7,260,442號中發現,該等案中之每一者的全文以引用的方式併入本文中。
在一些積體電路中,類比單元在類比單元之阻障線之間具有不同單元長度。標準單元之單元長度亦稱作標準長度。非標準單元之單元長度可為標準長度之分數(例如0.5, 0.3,...)或可為標準長度之非整數倍(例如1.5, 2.5,...)。在具有複數個類比單元(其中一些具有標準單元大小,且其中一些具有不同單元長度(分數長度,或非整數倍長度))之積體電路中,兩個毗鄰單元(在第二方向上)之阻障線或隔離結構有時對準,但藉由阻障線/隔離結構接界的主動區域具有在第一方向上之不同長度。當單元在第一方向上的單元長度為毗鄰單元中之若干鰭片的結果時,高鰭片區域(例如具有較大數目個鰭片之主動區域)在一個邊緣處與較低鰭片區域(例如「標準單元」或「正常單元」)對準。在積體電路之一些實施例中,一行中之若干高鰭片主動區域毗連毗鄰行中之不同數目個標準單元主動區域,且第一數目個高鰭片主動區域或單元之總長度與毗鄰行中之第二數目個標準單元相同。每一行中之單元的最頂端與最底端阻障線對準,而每一行中之中間阻障線或隔離結構僅僅有時與毗鄰行中之阻障線或隔離對準。對稱佈局發生在毗鄰行中之每一主動區域(或主動區域之部分)之數目及大小關於定位於兩個行之主動區域的頂部阻障線與底部阻障線之間之中間位置的中心線對稱時。頂部阻障線與底部阻障線之間的中心線稱作鏡像線。
為了對準主動區域之邊緣或邊界與積體電路之不同行中的主動區域之邊緣或邊界,在積體電路之單一單元內,在單元之頂部邊緣與底部邊緣之間單元之主動區域的故意垂直不對稱性,促進積體電路製造製程之較高良率並減少積體電路中之單元元件之間的尺寸變化。垂直不對稱單元以鏡像對稱性(亦稱作倒置對稱性)跨越共用或鏡像單元邊界成對配置以促進減小缺陷製造製程並簡化具有具有垂直不對稱性之單元的積體電路之佈局。
本揭示內容之一些態樣係關於一種積體電路,其包含:在單元之第一行中的一第一單元,該第一單元具有在第一方向上之第一長度、在第一單元頂部末端處之一第一阻障線、在第一單元底部末端處之一第二阻障線、在該第一阻障線與該第二阻障線之間的一第一單元主動區域、在第一單元主動區域之頂部邊緣與第一阻障線之間的第一分隔距離,及在第一單元主動區域之底部邊緣與第二阻障線之間的第二分隔距離;在單元之第一行中的第二單元,該第二單元具有在第一方向上之第一長度、在第二單元頂部末端處之第三阻障線、在第二單元底部末端處之第四阻障線、及在第三阻障線與第四阻障線之間的第二單元主動區域、在第二單元主動區域之頂部邊緣與第三阻障線之間的第二分隔距離,及在第二單元主動區域之底部邊緣與第四阻障線之間的第一分隔距離;來自單元之第一行的在第二方向上之第三單元,該第二方向不同於該第一方向,第三單元係在第二行中並具有在第一方向上之第二長度,該第二長度不同於該第一長度、在第三單元頂部末端處的第五阻障線、在第三單元底部末端處之第六阻障線、及在第五阻障線與第六阻障線之間的第三單元主動區域、在第三單元主動區域之頂部邊緣與第五阻障線之間的第一分隔距離、及在第三單元主動區域之底部邊緣與第六阻障線之間的第三分隔距離,其中第一分隔距離及第三分隔距離不同於第二分隔距離。在一些實施例中,第一分隔距離等於第三分隔距離。在一些實施例中,第二分隔距離大於第一分隔距離。在一些實施例中,第二分隔距離小於第一分隔距離。在一些實施例中,第三分隔距離小於第二分隔距離。在一些實施例中,第二單元長度為第一單元長度兩倍。在一些實施例中,第一單元長度為第二單元長度1.5倍。在一些實施例中,第一分隔距離、第二分隔距離及第三分隔距離為不同距離。在一些實施例中,電路進一步包含在第二行中之第四單元,該第四單元具有在第一方向上之第二長度、在第四單元頂部末端處之第七阻障線、在第四單元底部末端處之第八阻障線、及在第七阻障線與第八阻障線之間的第四單元主動區域、在第四單元主動區域之頂部邊緣與第七阻障線之間的第三分隔距離,及在第四單元主動區域之底部邊緣與第八阻障線之間的第一分隔距離,其中第一分隔距離及第三分隔距離不同於第二分隔距離。在一些實施例中,第一阻障線沿第二方向與第五阻障線對準,且第四阻障線沿第二方向與第八阻障線對準。
本揭示內容之一些態樣係關於一種製造積體電路之方法,其包含:將材料層沈積於基板上;將第一圖案自第一圖案化模板轉印至材料層之頂部表面;及將第一圖案蝕刻於材料層中,其中第一圖案包含第一行中的第一單元及第二單元以及第二行中的第三單元及第四單元之阻障線,其中第一單元主動區域頂部邊緣在第一方向上與第三單元主動區域頂部邊緣對準,且第二單元主動區域底部邊緣沿第一方向與第四單元主動區域頂部邊緣對準,且其中第三單元及第四單元為相對於第一方向垂直不對稱之單元。在一些實施例中,該方法進一步包含將第二圖案自第二圖案化模板轉印至材料層之頂部表面,其中第二圖案對應於積體電路之電晶體的鰭片之陣列。在一些實施例中,該方法進一步包含運用介電質材料填充鰭片之間的空間。在一些實施例中,該方法進一步包含將絕緣材料沈積於積體電路之第一單元、第二單元、第三單元及第四單元的阻障線中。在一些實施例中,該方法進一步包含將至少一個摻雜劑沈積於鰭片之陣列的鰭片中。在一些實施例中,沈積至少一個摻雜劑進一步包含將摻雜劑植入於鰭片之頂部部分中以形成鰭片之通道區。在一些實施例中,該方法進一步包含調節兩個毗鄰單元之間的阻障線之寬度以自積體電路之單元的主動區域消除修整線。
本揭示內容之一些態樣係關於一積體電路,該積體電路具有:具有在第一方向上之第一單元長度之第一單元,該第一單元具有在第一阻障線與第二阻障線之間具有第一數目個鰭片的第一單元主動區域,該第一阻障線距第一單元主動區域第一距離,且該第二阻障線距第一單元主動區域第二距離,第一距離及第二距離為不同距離;及具有在第一方向上之第二單元長度之第二單元,該第二單元具有在第三阻障線與第四阻障線之間具有第二數目個鰭片的第二單元主動區域,該第三阻障線及該第四阻障線距第二單元主動區域不同距離,其中第二單元長度為第一單元長度之1/3。在一些實施例中,電路進一步包含具有第一單元長度之第三單元、在第五阻障線與第二阻障線之間具有第一數目個鰭片的第三單元主動區域,第五阻障線距第三單元主動區域第一距離,且第二阻障線距第三單元主動區域第二距離。
前文概述若干實施例之特徵以使得熟習此項技術者可更佳地理解本揭示內容之態樣。熟習此項技術者應理解,其可易於使用本揭示內容作為設計或修改用於實現本文中所引入之實施例的相同目的及/或達成相同優點的其他程序及結構之基礎。熟習此項技術者亦應認識到,此類等效構造並不脫離本揭示內容之精神及範疇,且熟習此項技術者可在不脫離本揭示內容的精神及範疇之情況下在本文中進行作出各種改變、替代及更改。
100‧‧‧半導體裝置
101‧‧‧第一方向
102‧‧‧巨集
103‧‧‧第二方向
104A‧‧‧配置
104B‧‧‧配置
200‧‧‧積體電路
206‧‧‧標準單元
206b‧‧‧底部邊緣
206L‧‧‧中心線
206M‧‧‧第一單元長度
206p‧‧‧標準單元周邊
206t‧‧‧頂部邊緣
208‧‧‧第一切割摺線
208L‧‧‧中心線
210‧‧‧第二切割摺線
210L‧‧‧中心線
212‧‧‧主動區域
212A‧‧‧距離
212b‧‧‧底部邊緣
212L‧‧‧中心線
212t‧‧‧頂部邊緣
214‧‧‧第一分隔距離
220‧‧‧標準單元
220b‧‧‧底部邊緣
220M‧‧‧單元長度
220p‧‧‧單元周邊
220t‧‧‧頂部邊緣
222‧‧‧切割摺線
222L‧‧‧中心線
224‧‧‧主動區域
224b‧‧‧主動區域底部邊緣
224t‧‧‧主動區域頂部邊緣
226‧‧‧切割摺線
226L‧‧‧中心線
230‧‧‧標準單元
230b‧‧‧底部邊緣
230M‧‧‧單元長度
230p‧‧‧單元周邊
230t‧‧‧頂部邊緣
232‧‧‧切割摺線
232L‧‧‧中心線
234‧‧‧第二主動區域
234b‧‧‧底部邊緣
234t‧‧‧頂部邊緣
240‧‧‧分隔距離
242‧‧‧偏移距離
300‧‧‧積體電路
302A‧‧‧第一行
302B‧‧‧第二行
302C‧‧‧第三行
302D‧‧‧第四行
304‧‧‧類比單元
306‧‧‧類比單元
308‧‧‧最頂端切割摺線/阻障線/內部分隔距離
308L‧‧‧中心線
310‧‧‧第二阻障線/中心阻障線
310L‧‧‧線
312‧‧‧最底端阻障線/阻障線
312L‧‧‧中心線
314‧‧‧切割摺線/最頂端阻障線/頂部阻障線
314L‧‧‧中心線
316‧‧‧中心阻障線
316L‧‧‧阻障中心線
318‧‧‧切割摺線/底部阻障線
318L‧‧‧底部阻障中心線
320‧‧‧中心阻障線
320L‧‧‧線
322‧‧‧底部阻障線
324‧‧‧中心阻障線
324L‧‧‧線
326‧‧‧底部阻障線
326L‧‧‧中心線
328‧‧‧單元
328b‧‧‧單元底部邊緣
329b‧‧‧主動區域底部邊緣
330‧‧‧單元
330b‧‧‧單元底部邊緣
330t‧‧‧單元頂部邊緣
331‧‧‧單元主動區域
331t‧‧‧主動區域頂部邊緣
332‧‧‧單元
332b‧‧‧主動區域邊緣
334‧‧‧單元
336‧‧‧單元
338‧‧‧單元
340‧‧‧頂部阻障線及底部阻障線
340L‧‧‧頂部阻障線中心線
342‧‧‧底部阻障線/頂部阻障線
342L‧‧‧底部阻障中心線
344‧‧‧單元
344b‧‧‧底部邊緣
344L‧‧‧單元中心線
344t‧‧‧頂部邊緣
345‧‧‧主動區域
345b‧‧‧主動區域底部邊緣
345L‧‧‧主動區域中心線
345t‧‧‧主動區域頂部邊緣
346‧‧‧單元
346b‧‧‧單元底部邊緣
346t‧‧‧單元頂部邊緣
347‧‧‧單元
347b‧‧‧主動區域底部邊緣
347t‧‧‧主動區域頂部邊緣
348‧‧‧頂部阻障線/底部阻障線
348L‧‧‧中心線
350‧‧‧單元
350b‧‧‧單元底部邊緣
350t‧‧‧單元頂部邊緣
351‧‧‧單元
351b‧‧‧主動區域底部邊緣
351t‧‧‧主動區域頂部邊緣
352‧‧‧底部阻障線
352L‧‧‧中心線
354‧‧‧頂部阻障線外部阻障線
356‧‧‧單元
357‧‧‧單元
357b‧‧‧主動區域底部邊緣
357t‧‧‧主動區域頂部邊緣
358‧‧‧中心阻障線/頂部阻障線
358L‧‧‧中心線
360‧‧‧單元
362‧‧‧頂部阻障線/外部阻障線
370‧‧‧單元之陣列
370A‧‧‧單元
370B‧‧‧單元
370C‧‧‧單元
371‧‧‧底部阻障線
372‧‧‧阻障線
373‧‧‧中心阻障線
374‧‧‧阻障線
375A‧‧‧線段
375B‧‧‧線段
375C‧‧‧線段
375D‧‧‧線段
375E‧‧‧線段
375E1‧‧‧線段
375E2‧‧‧線段
375F‧‧‧線段
375G‧‧‧線段
375G1‧‧‧線段
375G2‧‧‧線段
375H‧‧‧線段
375I‧‧‧線段
375J‧‧‧線段
375K‧‧‧線段
376‧‧‧主動區域
377‧‧‧主動區域
378‧‧‧主動區域
380‧‧‧標準單元長度
381‧‧‧縮短之單元長度
400‧‧‧區塊
401‧‧‧行邊界
402‧‧‧單元長度
404‧‧‧第一分隔距離
405‧‧‧外部分隔距離
406‧‧‧單元長度
408‧‧‧內部分隔距離
500‧‧‧區塊
502‧‧‧單元長度
504‧‧‧單元長度
506‧‧‧內部分隔距離
520‧‧‧第一分隔距離
602‧‧‧單元長度/區塊
603‧‧‧單元長度
604‧‧‧區塊
606‧‧‧區塊
608‧‧‧區塊
610‧‧‧外部分隔距離
700‧‧‧半導體裝置
702‧‧‧基板層
706‧‧‧鰭片
706A‧‧‧下部部分
706B‧‧‧上部部分
707‧‧‧絕緣材料
708‧‧‧空間
710‧‧‧深隔離結構
712‧‧‧閘極電極
800‧‧‧方法
810‧‧‧操作
820‧‧‧操作
830‧‧‧操作
900‧‧‧電子設計自動化(EDA)系統
902‧‧‧硬體處理器
904‧‧‧非暫時性電腦可讀儲存媒體
906‧‧‧電腦程式碼/指令
907‧‧‧庫
910‧‧‧I/O介面
912‧‧‧網路介面
914‧‧‧網路
942‧‧‧使用者介面(UI)
1000‧‧‧積體電路(IC)製造系統
1020‧‧‧設計室
1022‧‧‧IC設計佈局圖
1030‧‧‧遮罩室
1032‧‧‧資料準備
1044‧‧‧遮罩製造
1045‧‧‧遮罩
1050‧‧‧IC製造商/製造器(「工廠」)
1052‧‧‧晶圓製造
1053‧‧‧半導體晶圓
1060‧‧‧IC裝置
A‧‧‧行
B‧‧‧行
B1‧‧‧第一單元區塊
B2‧‧‧區塊
B3‧‧‧區塊
B4‧‧‧區塊
當結合附圖閱讀時,自以下詳細描述最佳地理解本揭示內容之態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,可出於論述清楚起見,而任意地增大或減小各種特徵之尺寸。
圖1為根據本發明之一些實施例的半導體裝置之方塊圖。
圖2為根據一些實施例之積體電路的相鄰對稱單元之平面視圖。
圖3A至圖3B為根據一些實施例之積體電路中的單元之平面視圖。
圖4為根據一些實施例之積體電路中的單元之區塊的平面視圖。
圖5為根據一些實施例之積體電路中的對稱且垂直不對稱單元之區塊的平面視圖。
圖6為根據一些實施例之積體電路中的不對稱單元之區塊的平面視圖。
圖7為根據一些實施例之積體電路中的半導體裝置之橫截面圖。
圖8為根據一些實施例之製造積體電路的方法之流程圖。
圖9為根據一些實施例之用於設計積體電路佈局設計的系統之示意圖。
圖10為根據一些實施例之用於製造積體電路的製造系統之方塊圖。

Claims (1)

  1. 一種積體電路,其包含: 一第一單元,其在一第一行中,該第一單元具有在一第一方向上之一第一長度、在一第一單元頂部末端處之一第一阻障線、在一第一單元底部末端處之一第二阻障線、在該第一阻障線與該第二阻障線之間的一第一單元主動區域、在該第一單元主動區域之一頂部邊緣與該第一阻障線之間的一第一分隔距離,及在該第一單元主動區域之一底部邊緣與該第二阻障線之間的一第二分隔距離; 一第二單元,其在該第一行中,該第二單元具有在該第一方向上之該第一長度、在一第二單元頂部末端處之一第三阻障線、在一第二單元底部末端處之一第四阻障線,及在該第三阻障線與該第四阻障線之間的一第二單元主動區域、在該第二單元主動區域之一頂部邊緣與該第三阻障線之間的該第二分隔距離,及在該第二單元主動區域之一底部邊緣與該第四阻障線之間的該第一分隔距離; 一第三單元,其在不同於該第一行之一第二行中,其中該第三單元具有:在該第一方向上之一第二長度,該第二長度不同於該第一長度;在一第三單元頂部末端處之一第五阻障線;在一第三單元底部末端處之一第六阻障線;及在該第五阻障線與該第六阻障線之間的一第三單元主動區域;在該第三單元主動區域之一頂部邊緣與該第五阻障線之間的該第一分隔距離;及在該第三單元主動區域之一底部邊緣與該第六阻障線之間的一第三分隔距離,其中 該第一分隔距離及該第三分隔距離不同於該第二分隔距離, 該第一阻障線在該第一方向上與該第五阻障線對準,且 該第四阻障線在該第一方向上與該第六阻障線對準。
TW108108708A 2018-03-16 2019-03-14 具有非對稱鏡像佈局類比單元的積體電路 TW201945828A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862644280P 2018-03-16 2018-03-16
US62/644,280 2018-03-16
US16/219,573 US10997354B2 (en) 2018-03-16 2018-12-13 Integrated circuit with asymmetric mirrored layout analog cells
US16/219,573 2018-12-13

Publications (1)

Publication Number Publication Date
TW201945828A true TW201945828A (zh) 2019-12-01

Family

ID=67904092

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108108708A TW201945828A (zh) 2018-03-16 2019-03-14 具有非對稱鏡像佈局類比單元的積體電路

Country Status (3)

Country Link
US (3) US10997354B2 (zh)
CN (1) CN110277385A (zh)
TW (1) TW201945828A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI814351B (zh) * 2021-05-13 2023-09-01 台灣積體電路製造股份有限公司 基於混合單元的元件、佈局和方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11030381B2 (en) * 2019-01-16 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage analysis on semiconductor device
US11151297B2 (en) * 2020-02-27 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple fin count layout, method, system, and device
KR20220003360A (ko) 2020-07-01 2022-01-10 삼성전자주식회사 상이한 높이들의 셀들을 포함하는 집적 회로 및 이를 설계하는 방법
US20220405457A1 (en) * 2021-06-17 2022-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Standard Cell Design

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8799833B2 (en) * 2011-04-29 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US10192859B2 (en) * 2011-05-11 2019-01-29 Texas Instruments Incorporated Integrated circuits and processes for protection of standard cell performance from context effects
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
KR20210049252A (ko) * 2019-10-24 2021-05-06 삼성전자주식회사 반도체 소자
KR20220022499A (ko) * 2020-08-18 2022-02-28 삼성전자주식회사 반도체 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI814351B (zh) * 2021-05-13 2023-09-01 台灣積體電路製造股份有限公司 基於混合單元的元件、佈局和方法

Also Published As

Publication number Publication date
US20240095438A1 (en) 2024-03-21
US20190286783A1 (en) 2019-09-19
US20210256194A1 (en) 2021-08-19
US10997354B2 (en) 2021-05-04
US11847399B2 (en) 2023-12-19
CN110277385A (zh) 2019-09-24

Similar Documents

Publication Publication Date Title
US10878162B2 (en) Metal with buried power for increased IC device density
TW201945828A (zh) 具有非對稱鏡像佈局類比單元的積體電路
US20240086612A1 (en) Integrated circuit fin structure
KR102281561B1 (ko) 집적 회로
US11935825B2 (en) Contact structure, method, layout, and system
US20220302111A1 (en) Integrated circuit
US20210350062A1 (en) Power rail with non-linear edge
US20240096756A1 (en) Mehtod of making semiconductor device having self-aligned interconnect structure
US20220359508A1 (en) Integrated circuit having fins crossing cell boundary
US11942441B2 (en) Electrostatic discharge protection cell and antenna integrated with through silicon via
TW202249236A (zh) 半導體元件
US11081479B1 (en) Integrated circuit layout with asymmetric metal lines
TW201802879A (zh) 積體電路製造方法
US20230395503A1 (en) Method of making integrated circuit with backside interconnections
US11769772B2 (en) Integrated circuit with active region jogs
US11837598B2 (en) Semiconductor device electrostatic discharge diode
US20220414309A1 (en) Method and structure for mandrel patterning
US20230260984A1 (en) Semiconductor structure including boundary header cell and method for manufacturing the same
KR20230032840A (ko) 관통 실리콘 비아와 통합된 정전기 방전 보호 셀 및 안테나
TW202314573A (zh) 半導體結構及圖案布局的製造方法
CN114722764A (zh) 集成电路制造系统及其操作方法及集成电路结构