TW202230479A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202230479A
TW202230479A TW110133146A TW110133146A TW202230479A TW 202230479 A TW202230479 A TW 202230479A TW 110133146 A TW110133146 A TW 110133146A TW 110133146 A TW110133146 A TW 110133146A TW 202230479 A TW202230479 A TW 202230479A
Authority
TW
Taiwan
Prior art keywords
ruthenium
layer
vias
sidewalls
liner
Prior art date
Application number
TW110133146A
Other languages
English (en)
Inventor
金書正
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202230479A publication Critical patent/TW202230479A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

選擇性釕和選擇性氧化釕可用於單鑲嵌製程及/或雙鑲嵌製程,以形成電子裝置的BEOL(back end of line)金屬化層和導孔。可形成選擇性釕襯層,以實現BEOL金屬化層和導孔的低接觸電阻和低片電阻,提升BEOL金屬化層和導孔中各層和材料之間的附著力,及/或減少或消除BEOL金屬化層和導孔中的缺陷(如空隙和不連續性)。

Description

半導體裝置
本揭露實施例是關於半導體裝置,特別是關於具有氧化釕膜和釕襯層的半導體裝置及其形成方法。
後段製程(BEOL) 區域是電子裝置(例如處理器、記憶體)的區域,其中各個半導體裝置(例如電晶體、電容器、電阻器)透過金屬化層(也稱作為導線)和連接金屬化層的導孔來相互連接。金屬化層和一個或多個導孔可以在稱為雙鑲嵌製程的相同的製程期間形成。在雙鑲嵌製程中,金屬化層的導孔和溝槽使用導孔先行程序(via-first procedure)或溝槽先行程序(trench-first procedure)來蝕刻。 接著,在相同的電鍍操作(例如電鍍)中使用導電材料來填充溝槽和導孔。
本發明實施例提供一種半導體裝置,包括:雙鑲嵌結構,包含在一個或多個介電層中,包含導孔及溝槽;氧化釕膜,直接位於導孔的多個側壁,且直接位於溝槽的多個側壁;釕襯層,位於導孔的多個側壁上的氧化釕膜上,且位於溝槽的多個側壁上的氧化釕膜上;以及銅層,位於導孔中以及溝槽中的釕襯層上。
本發明實施例提供一種半導體裝置的製造方法,包括:在裝置的一個或多個介電層中形成雙鑲嵌結構,其中雙鑲嵌結構包含導孔及在導孔上的溝槽;在導孔的底表面上進行預處理操作,以使導孔的底表面成為非金屬的;在導孔的多個側壁及溝槽的多個側壁上形成釕襯層;在形成釕襯層後,在導孔的底表面上進行電漿處理操作,以使導孔的底表面成為金屬的;以及在電漿處理操作後,以銅層來填充導孔及溝槽。
本發明實施例提供一種半導體裝置的製造方法,包括:在裝置的一個或多個介電層中形成雙鑲嵌結構,其中雙鑲嵌結構包含導孔及在導孔上的溝槽;在導孔的底表面上進行預處理操作,以使導孔的底表面成為非金屬的;在預處理操作後,在導孔的多個側壁及溝槽的多個側壁上形成氧化釕膜;在形成氧化釕膜後,在導孔的底表面上進行電漿處理操作,以使導孔的底表面成為金屬的;在電漿處理操作後,在氧化釕膜及導孔的底表面上形成釕襯層;以及在溝槽中的釕襯層上形成銅層。
以下配合所附圖式詳述本揭露各實施例,以便本揭露所屬技術領域中具有通常知識者可製作及使用本揭露。在本揭露所屬技術領域中具有通常知識者應理解,他們能在閱讀本揭露後,在不脫離本揭露的範圍下將此處描述的示例進行各種改變或修改。因此,本揭露不限於在此描述和說明的示例性實施例和應用。另外,本文揭露的方法中,步驟的特定順序及/或層級僅為示例性方法。根據設計偏好,可以在本揭露的範圍內重新設置所揭露的方法或製程中步驟的特定順序或層級。因此,所屬技術領域中具有通常知識者將理解,本文揭露的方法和技術以示例順序呈現各種步驟或動作,除非另有明示,否則本揭露內容不限於所呈現的特定順序或層級。
再者,其中可能用到與空間相對用詞,例如「在…之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作程序中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。諸如「附接」、「固定」、「連接」和「互連」之類的術語是指一種關係,結構透過中間結構直接或間接地彼此固定或附接,以及可移動的或固定的附件或關係,除非另有明示。
銅已經成為BEOL金屬化層和導孔的首選材料,由於相對於其他導電材料(如鋁),銅的接觸電阻和片電阻較低。 銅的低電阻率提供較低的電阻/電容(resistance/capacitance; RC)時間常數,以及訊號在電子裝置上更快速的傳播。 然而,銅有一些缺點,如高擴散(或電遷移)率,這可導致銅原子擴散到周圍的介電材料中。 這可導致後段製程(BEOL)金屬化層和導孔的電阻率增加,從而降低電子裝置的電氣性能。 此外,擴散可導致銅原子遷移到較低的裝置層(例如,前段製程(front end of line; FEOL)層),這可導致半導體裝置故障和降低製造產量。
本文描述的各種技術包括在電子裝置的BEOL金屬化層和導孔的形成中使用釕(Ru)或釕及氧化釕(RuO x)的組合。 本文所述的技術可以作為單鑲嵌製程或雙鑲嵌製程的一部分來進行,以實現BEOL金屬化層和導孔的低接觸電阻和低片電阻(例如,相對於使用其他類型的襯層和阻障層,如氮化鉭(TaN)),以提升BEOL金屬化層和導孔中各層和材料之間的附著力,及/或減少或消除BEOL金屬化層和導孔中的缺陷(如空隙、島狀物(islands)和其他不連續性)。 這可以提高電子裝置的電氣性能,並可以提高製造產量。
第1圖是可以實施本文所述的系統及/或方法的示例環境之圖示。如第1圖所示,環境100可以包含多個半導體製程機台102-116和晶圓/晶粒運輸機台118。 多個半導體製程機台102-116可以包含沉積機台102、曝光機台104、顯影機台106、蝕刻機台108、平坦化機台110、電鍍機台112、預處理機台114、電漿機台116及/或其他類型的半導體製程機台。 在示例環境100中的機台可以被包括在半導體潔淨室、半導體製造廠、半導體製程及/或製造設施,及/或類似的地方。
沉積機台102是一種半導體製程機台,其包含半導體製程室和一個或多個能夠將各種類型的材料沉積到基板上的裝置。 在一些實施例中,沉積機台102包括旋塗機台,其能夠在如晶圓的基板上沉積光阻層。 在一些實施例中,沉積機台102包含化學氣相沉積(chemical vapor deposition; CVD)機台,例如電漿增強CVD(plasma-enhanced CVD; PECVD)機台、高密度電漿CVD(high-density plasma CVD; HDP-CVD)機台、負壓CVD(sub-atmospheric CVD; SACVD)機台、原子層沉積(atomic layer deposition; ALD)機台、電漿增強原子層沉積(plasma-enhanced atomic layer deposition; PEALD)機台或其他類型的CVD機台。 在一些實施例中,沉積機台102包括物理氣相沉積(physical vapor deposition; PVD)機台,如濺射機台或另一種類型的PVD機台。 在一些實施例中,示例環境100包含多種類型的沉積機台102。
曝光機台104是一種半導體製程機台,其能夠將光阻層暴露於輻射源,例如紫外光(ultraviolet light; UV)源(例如深紫外光源、極紫外光(extreme UV light; EUV)源及/或類似物)、X射線源、電子束(e-beam)源及/或類似物。 曝光機台104可以將光阻層暴露於輻射源,以將圖案從光罩轉移到光阻層。所述圖案可包含用於形成一個或多個半導體裝置層的圖案,可包含用於形成一個或多個半導體裝置結構的圖案,可包含用於蝕刻半導體裝置的各部分的圖案,及/或類似的圖案。 在一些實施例中,曝光機台104包含掃描器、步進機或類似類型的曝光機台。
顯影機台106是一種半導體製程機台,其能夠顯影已暴露於輻射源的光阻層,以將從曝光機台104轉移到光阻層的圖案顯影。 在一些實施例中,顯影機台106透過移除光阻層的未曝光部分來將圖案顯影。 在一些實施例中,顯影機台106透過移除光阻層的暴露部分來將圖案顯影。 在一些實施例中,顯影機台106透過使用化學顯影劑溶解光阻層的暴露或未暴露的部分來將圖案顯影。
蝕刻機台108是一種半導體製程機台,其能夠蝕刻基板、晶圓或半導體裝置的各種類型的材料。 例如,蝕刻機台108可以包含濕式蝕刻機台、乾式蝕刻機台及/或類似的機台。 在一些實施例中,蝕刻機台108包含一個填充有蝕刻劑的腔室,並且將基板放置在此腔室中一段特定的時間,以移除基板的一個或多個部分的特定數量。 在一些實施例中,蝕刻機台108可以使用電漿蝕刻或電漿輔助蝕刻來蝕刻基板的一個或多個部分,這可涉及使用電離氣體對一個或多個部分進行同位素或定向蝕刻。
平坦化機台110是能夠對晶圓或半導體裝置的各層進行拋光或平坦化的半導體製程機台。 例如,平坦化機台110可以包含化學機械平坦化(chemical mechanical planarization; CMP)機台及/或另一種類型的平坦化機台,其對沉積或電鍍材料的層或表面進行拋光或平坦化。 平坦化機台110可以用化學和機械力的組合(例如,化學蝕刻和自由研磨拋光(free abrasive polishing))對半導體裝置的表面進行拋光或平坦化。 平坦化機台110可以利用磨蝕性和腐蝕性的化學漿料與拋光墊和扣環(例如,通常比半導體裝置的直徑更大)相結合。 拋光墊和半導體裝置可由動力拋光頭壓在一起,並由固定環固定。 動力拋光頭可以用不同的旋轉軸旋轉,以移除材料,並將半導體裝置的任何不規則的表面形貌(topography)平整化,使半導體裝置平坦或平面。
電鍍機台112是一種半導體製程機台,其能夠用一種或多種金屬對基板(例如,晶圓、半導體裝置及/或類似物)或其部分進行電鍍。 例如,電鍍機台112可以包含銅電鍍裝置、鋁電鍍裝置、鎳電鍍裝置、錫電鍍裝置、複合材料或合金(例如錫銀、錫鉛及/或類似材料)電鍍裝置,及/或用於一種或多種其他類型的導電材料、金屬及/或類似類型材料的電鍍裝置。
預處理機台114是能夠使用各種類型的濕化學品及/或氣體來處理裝置的一個或多個層的表面,以置備一個或多個後續半導體製程操作的半導體製程機台。 例如,預處理機台114可以包含可以放置裝置的腔室。 此腔室可填充濕化學品及/或氣體,用於改變裝置的一個或多個層的物理及/或化學特性。
電漿機台116是半導體製程機台,例如去耦電漿源(decoupled plasma source; DPS)機台、感應耦合電漿(inductively coupled plasma; ICP)機台、變壓器耦合電漿(transformer coupled plasma; TCP)機台或其他基於電漿的半導體製程機台類型,其能夠以電漿處理裝置的一個或多個層的表面。 例如,電漿機台116可以使用電漿離子對裝置的層的表面進行濺射蝕刻或以其他方式移除材料。
晶圓/晶粒運輸機台118包含移動機器人、機械手臂、電車或軌道車及/或另一種類型的裝置,其用於在半導體製程機台102-116之間及/或往返於諸如晶圓架、儲存室及/或類似的其他位置之間運輸晶圓及/或晶粒。 在一些實施例中,晶圓/晶粒運輸機台118可以是配置為行走特定路徑的程序設計裝置,並且/或者可以半自動或自主地運行。
第1圖所示的裝置的數量和配置提供為一個或多個示例。 實際上,可以有額外的裝置、更少的裝置、不同的裝置,或與第1圖所示的裝置不同的配置。 此外,第1圖所示的兩個或更多的裝置可以在單一的裝置中實現,或者第1圖所示的單一裝置可以實現為多個、分散的裝置。 此外,或替代地,環境100的一組裝置(例如,一個或多個裝置)可以進行所述由環境100的另一組裝置進行的一個或多個功能。
第2圖是本文所述的示例裝置200的一部分之示意圖。 裝置200可以包括電子裝置,例如處理器、記憶體裝置或另一種類型的電子裝置。 如第2圖所示,裝置200可以包括各種裝置區域,例如基板210、FEOL區域220、中段製程(middle end of line; MEOL)區域230以及BEOL區域240。 基板210可包含裝置200的區域,在其區域中及/或上可形成裝置200的半導體裝置。 基板210可以包含半導體晶粒基板、半導體晶圓或另一種類型的基板,在其中及/或上可以形成半導體裝置。 在一些實施例中,基板210由矽(Si)、包括矽的材料、III-V族化合物半導體材料如砷化鎵(GaAs)、絕緣體上覆矽(silicon on insulator; SOI)或其他類型的半導體材料形成。
FEOL區域220可以在基板210中及/或上形成。FEOL區域220可包含由低k或低介電常數材料形成的介電層222,例如氧化矽(SiO x)(如二氧化矽(SiO 2))、氮化矽 (SiN x)、碳化矽 (SiC x)、氮化鈦 (TiN x)、氮化鉭 (TaN x)、氧化鉿 (HfO x)、氧化鉭 (TaO x) 或氧化鋁 (AlO x)。 FEOL區域220可更包含裝置200的半導體裝置。 半導體裝置可形成於介電層222中,並可包括電晶體、電容器、電阻器、雷射器、發光二極體(light emitting diode; LED)及/或其他類型的基於半導體的電子裝置。 包含在FEOL區域220的電晶體可以包含如平面電晶體、鰭式場效電晶體(fin field-effect transistors; FinFETs)及/或其他類型的電晶體。 鰭式場效電晶體可以包含傳統的鰭式場效電晶體、奈米片鰭式場效電晶體(nano-sheet FinFETs),奈米線鰭式場效電晶體(nano-wire FinFETs)及/或其他類型的鰭式場效電晶體。 電晶體可以包含一個或多個形成於基板210中及/或基板210上的源極區或汲極區224,以及金屬閘極226。
MEOL區域230可以形成在FEOL區域220上,並且可以將FEOL區域220電連接到BEOL區域240。 MEOL區域230可包介電層232和在介電層232中形成的接觸導孔(也稱為接觸插塞)234。 接觸導孔234可以電連接到FEOL區域220的半導體裝置的源極或汲極區224和金屬閘極226。 接觸導孔234可包含一種或多種金屬,如鎢、鈷或釕。
BEOL區域240可以形成在MEOL區域230上。 BEOL區域240可以將FEOL區域220的半導體裝置電互連,並且可以將FEOL區域220的半導體裝置與裝置200的外部封裝電連接。 BEOL區域240可包含一個或多個介電層(例如,介電層242、介電層244及/或一個或多個其他介電層)。 BEOL區域240可更包含在一個或多個介電層中形成的金屬化層和導孔。 金屬化層可以提供導孔之間的電氣連接。 電路導孔可以提供半導體裝置之間的互連。 密封環導孔可提供保護及/或隔離裝置200的內部電路,使其免受裂縫和濕氣的影響,並可電連接裝置200的多個半導體晶粒。
包含在一個或多個介電層中的單鑲嵌結構246可以作為BEOL區域240中金屬化層之間的導孔。 雙鑲嵌結構248可以作為BEOL區域240中的金屬化層和導孔。 單鑲嵌結構246和雙鑲嵌結構248可以包含各種類型的導電材料,如銅、釕或鈷。 在BEOL區域240的介電層之間可以提供蝕刻停止層(未示),以方便在BEOL區域240中形成單鑲嵌結構246和雙鑲嵌結構248。
如上所述,第2圖提供為一示例。 其他例子可能與第2圖的描述不同。
第3圖是本文所述的示例雙鑲嵌結構300之示意圖。。 雙鑲嵌結構300可以是可包含在裝置200中的雙鑲嵌結構248的一示例。 雙鑲嵌結構300可以包含溝槽302和導孔304。 導孔304可以連接到下金屬化層306,其可以由銅、鈷或其他類型的金屬材料形成。 下金屬化層306可以包含裝置200的BEOL區域240的另一個雙鑲嵌結構的溝槽、BEOL區域240的單鑲嵌結構的導孔、裝置200的MEOL區域230的接觸插塞,或其他互連類型。 在下金屬化層306和下金屬化層306上方的介電層310之間可以提供蝕刻停止層308,以促進雙鑲嵌結構300的形成。
雙鑲嵌結構300可以在介電層310中形成,並穿過蝕刻停止層308。 溝槽302可包含側壁312和底表面314。 導孔304也可以包含側壁316和底表面318。 側壁312、底表面314和側壁316可以包含雙鑲嵌結構300周圍的介電層310的一部分。
導孔304的底表面318可以包含導孔304下方的下金屬化層306的一部分。 在一些實施例中,導孔304是電路導孔。 在這些實施例中,導孔304的底表面318的寬度可以在約10奈米(nm)至約22nm的範圍內。 在一些實施例中,導孔304是一個密封環導孔。 在這些實施例中,導孔304的底表面318的寬度可以在約100奈米到約180奈米的範圍內。
氧化釕(RuO x)膜320可以包含在雙鑲嵌結構300的側壁312、底表面314和側壁316上。 氧化釕膜320可以提升周圍的介電層310與包含在雙疊層結構300的側壁312、底表面314和側壁316上以及氧化釕膜320上的釕襯層322之間的附著力。 透過這種方式,氧化釕膜320最小化及/或防止在沉積釕襯層322期間在釕襯層322中形成不連續性。 側壁312上、底表面314上和側壁316上的氧化釕膜320的厚度可以在約2埃(以減少或防止氧化釕膜320的不連續性)到約5埃(以實現雙鑲嵌結構300的低片電阻)的範圍內。
釕襯層322可作為銅(Cu)層324的擴散阻障,將此銅(Cu)層填充在釕襯層322上的雙鑲嵌結構300中(例如,在溝槽302和導孔304中)。 透過這種方式,釕襯層322減少或防止銅原子擴散到介電層310和介電層310下方的層。 此外,釕襯層322可以降低雙鑲嵌結構300的整體電阻率,因為膜釕的片電阻低於其他銅擴散阻障層,如氮化鉭(TaN)。 在側壁312、底表面314和側壁316上的釕襯層322的厚度可以在約10埃(以提供足夠的銅擴散阻障)到約35埃(以實現雙鑲嵌結構300的低片電阻)的範圍內。
在一些實施例中,如第3圖中的例子所示,氧化釕膜320和釕襯層322可以形成為從導孔304的底表面318省略。 在這些實施例中,銅層324包括直接在導孔304的底表面318上,這為雙鑲嵌結構300提供低接觸電阻。 在一些實施例中,在形成釕襯層322的製程中,剩餘的釕襯層322在導孔304的底表面318上形成。 在這些實施例中,銅層324形成在導孔304的底表面318上的剩餘的釕襯層322上。 雖然銅的接觸電阻低於釕的接觸電阻,但導孔304的整體接觸電阻仍然相對較低,因為釕的接觸電阻低於其他銅擴散阻障層,如氮化鉭(TaN)。 在底表面318上包含剩餘的釕襯層322的實施例中,底表面318上的釕襯層322的厚度可以小於導孔304側壁316上釕襯層322的厚度的50%,以實現低接觸電阻。 例如,釕襯層322的厚度可以大於0埃並且小於約8埃,以實現雙鑲嵌結構300的低接觸電阻。
如上所述,第3圖提供為一示例。 其他例子可能與第3圖的描述不同。
第4A-4G圖是本文所述的示例性實施例400之示意圖。 示例性實施例400可以是形成第3圖的雙鑲嵌結構300的一示例。 在一些實施例中,一個或多個半導體製程機台102-116進行一個或多個與第4A-4G圖有關的描述的製程及/或操作。 如第4A圖所示,雙鑲嵌結構300可以在下金屬化層306上的介電層310中形成。 蝕刻停止層308可以包含在介電層310和下金屬化層306之間,以促進雙鑲嵌結構300在介電層310中形成。
如第4B圖所示,導孔304可以形成在介電層310中。 特別是導孔304可以透過介電層310從介電層310的頂表面形成。 導孔304可以進一步形成為穿過蝕刻停止層308直到下金屬化層306。 沉積機台102可在介電層310上形成光阻層,曝光機台104可將光阻層暴露於輻射源以對光阻層進行圖案化,顯影機台106可將光阻層的部分顯影及移除以暴露圖案,而蝕刻機台108可蝕刻介電層310和蝕刻停止層308以形成穿過介電層310和蝕刻停止層308的導孔304側壁316。 可以將導孔304蝕刻至下金屬化層306上,使下金屬化層306的頂面成為導孔304的底表面318。 在一些實施例中,光阻移除機台移除光阻層的剩餘部分(例如,使用化學剝離劑及/或另一種技術)。
如第4C圖所示,溝槽302可以在導孔304上方的介電層310中形成。 特別是,溝槽302可以從介電層310的頂面形成並進入介電層310的一部分。 沉積機台102可在介電層310上形成光阻層,曝光機台104可將光阻層暴露於輻射源以對光阻層進行圖案化,顯影機台106可顯影並移除光阻層的部分以暴露圖案,而蝕刻機台108可蝕刻介電層310以在介電層310中形成溝槽302的側壁312和底表面314。 在一些實施例中,光阻移除機台移除光阻層的剩餘部分(例如,使用化學剝離劑及/或另一種技術)。
第4B圖和第4C圖繪示一示例導孔先行雙鑲嵌製程(via-first dual damascene procedure),其透過在形成溝槽302之前先形成導孔304來形成雙鑲嵌結構300。 在一些實施例中,在導孔先行雙鑲嵌製程(trench-first dual damascene procedure)中,透過在形成導孔304之前形成溝槽302(或另一種類型的雙鑲嵌程序)來形成雙鑲嵌結構300。
如第4D圖所示,可以將導孔304的底表面318修改以阻止或防止在底表面318上形成釕襯層322。 特別是,預處理機台114可以進行預處理操作以使導孔304的底表面318成為非金屬性。 預處理操作可包含將導孔304的底表面318浸泡在苯並三唑(benzotriazole; BTA)中一段時間(如1-10分鐘),以使非金屬鈍化層402形成於底表面318上。 可將底表面318浸泡在BTA中,使錯合物形成於下金屬化層306的金屬材料(如銅)與BTA之間 (complex),從而形成鈍化層402。 鈍化層402中的銅-BTA錯合物(copper-BTA complex)作為腐蝕抑制劑,並防止或阻止釕前驅物被吸收到導孔304(如下金屬化層306)的底表面318。
如第4E圖所示,釕襯層322可以形成在溝槽302的側壁312和底表面314上,以及導孔304的側壁316上。 沉積機台102可以透過進行ALD操作或CVD操作以將釕襯層322沉積在側壁312、底表面314和側壁316上。 沉積機台102可將釕襯層322形成至約10埃至約35埃的厚度。
如上所述,非金屬鈍化層402阻止或防止釕前驅物被吸收到下金屬化層306中。 因此,非金屬鈍化層402可以阻止或防止釕襯層322沉積在導孔304的底表面318上。 在一些實施例中,剩餘的釕襯層322(例如,小於約8埃)在底表面318上形成。
如第4F圖所示,在形成釕襯層322之後,可以將鈍化層402從導孔304的底表面318移除。 電漿機台116可以進行電漿處理操作,以使用基於氨的電漿、基於氧的電漿、基於氫的電漿或包含另一種離子類型的電漿將鈍化層402從底表面318上移除。 例如,電漿機台116可以用氨離子、氧離子或另一種離子類型撞擊鈍化層402,以從底表面318上濺射蝕刻鈍化層402,從而使底表面318重新成為金屬性。 可以進行退火以汽化鈍化層402的移除材料,可以從電漿機台116的處理室中將汽化的材料抽真空。 使導孔304的底表面318恢復金屬特性可以提升底表面318的銅或鈷與要填充在雙鑲嵌結構300中的銅層324之間的金屬間的附著力(metal-to-metal adhesion),這可以最小化或防止銅層324中空隙和其他缺陷的形成。
在電漿處理操作期間,電漿可透過側壁312、底表面314和側壁316上的釕襯層322穿透到周圍的介電層310。 電漿可與介電層310的低k介電材料發生反應,從而導致表面碳從介電層310中移除。 因此,側壁312、底表面314和側壁316變得富含二氧化矽(SiO 2)。 二氧化矽中的氧很容易與側壁312、底表面314和側壁316上的釕襯層322中的釕結合,以直接在介電層310和釕襯層322之間的側壁312、底表面314和側壁316上自體形成(self-formation)氧化釕膜320。 這種氧化釕膜320的自體形成提升了介電層310和釕襯層322之間的附著力,這有助於最小化或防止釕襯層322形成不連續性。
如第4G圖所示,銅層324可以在雙鑲嵌結構300的剩餘體積中形成(例如,在導孔304和溝槽302中),這使雙鑲嵌結構300被銅填充。 在一些實施例中,電鍍機台112進行電鍍操作(例如,電鍍操作或無電解電鍍操作)以使銅在導孔304和溝槽302中的釕襯層322上產生銅層324。 在一些實施例中,沉積機台102進行PVD操作以在釕襯層322上沉積銅晶種層,而電鍍機台112進行電鍍操作以在銅晶種層上形成銅層324。 在一些實施例中,在電鍍操作之前或之後進行回焊操作(reflow operation)。 回焊操作可包含加熱銅層324(例如,加熱到400攝氏度或更高)以允許銅層324流動。 這使銅層324填充任何空隙或消除任何可能在電鍍操作中形成的材料島狀物。 在一些實施例中,雙鑲嵌結構300在電鍍操作中加熱,使回焊操作和電鍍操作同時進行。 在一些實施例中,可以進行多次電鍍操作及/或多次回焊操作,以使用銅層324來填充雙鑲嵌結構300。 在電鍍操作和回焊操作之後,平坦化機台110可以進行CMP操作來平坦化銅層324。
如上所述,第4A-4G圖提供為一示例。 其他例子可能與第4A-4G圖的描述不同。在一些實施例中,與第4A-4G圖相關描述的製程技術可用於形成單鑲嵌結構,例如在接觸插塞上的M0 BEOL金屬化層(例如,最接近MEOL區域230的金屬化層)中的導孔。 在這些實施例中,導孔304的側壁316和底表面318可以在介電層310中形成,可以將底表面318修改成如上述的非金屬性,可以將釕襯層322沉積在導孔304的側壁316上。在釕襯層322(包含形成氧化釕膜320)形成之後,底表面318可以恢復成金屬性,並且導孔304可以在釕襯層322上填充銅層324。 底表面318的修改在介電層310上提供無釕襯層選擇性的同時,造成接觸插塞上的無底釕襯層(bottom-less ruthenium liner)322。
第5圖是本文所述的示例雙鑲嵌結構500之示意圖。 雙鑲嵌結構500可以是可包含在裝置200中的雙鑲嵌結構248的一示例。 雙鑲嵌結構500可以包含溝槽502和導孔504。 導孔504可以連接到下金屬化層506,其可以由銅、鈷或其他類型的金屬材料形成。 下金屬化層506可以包含裝置200的BEOL區域240的另一個雙鑲嵌結構的溝槽、BEOL區域240的單鑲嵌結構的導孔、裝置200的MEOL區域230的接觸插塞,或其他互連類型。 可以在下金屬化層506和下金屬化層506上方的介電層510之間提供蝕刻停止層508,以促進雙鑲嵌結構500的形成。
雙鑲嵌結構500可以在介電層510中形成並穿過蝕刻停止層508。 溝槽502可包含側壁512和底表面514。 導孔504也可以包含側壁516和底表面518。 側壁512、底表面514和側壁516可以包含圍繞雙鑲嵌結構500的介電層510的部分。
導孔504的底表面518可以包含導孔504下方的下金屬化層506的一部分。 在一些實施例中,導孔504是電路導孔。 在這些實施例中,對於M0層導孔,導孔504的底表面518的寬度可以在約8奈米至約12奈米的範圍內,或者對於M1-M3層導孔 (例如,M0層以上的金屬化層) ,其可以在約10奈米至約22奈米的範圍內。 在一些實施例中,導孔504是密封環導孔。 在這些實施例中,導孔504的底表面518的寬度可以在約100奈米到約180奈米的範圍內。
氧化釕(RuO x)膜520可以包含在雙鑲嵌結構500的側壁512、底表面514和側壁516上。 氧化釕膜520可以提升周圍的介電層510與包含在雙鑲嵌結構500的側壁512、底表面514和側壁516上的以及氧化釕膜520上的釕襯層522之間的附著力。 透過這種方式,氧化釕膜520減少及/或防止在沉積釕襯層522期間在釕襯層522中形成不連續性。 側壁512上、底表面514上和側壁516上的氧化釕膜520的厚度可以在約5埃(以最小化或防止氧化釕膜520的不連續性)到約10埃(以實現雙鑲嵌結構500的低片電阻)的範圍內。
釕襯層522可作為銅(Cu)層524的擴散阻障,此銅(Cu)層填充在釕襯層522上的雙鑲嵌結構500中(例如,在溝槽502和導孔504中)。 透過這種方式,釕襯層522減少或防止銅原子擴散到介電層510中和介電層510下方的層。 此外,釕襯層522可以降低雙鑲嵌結構500的整體電阻率,因為膜釕的片電阻比其他銅擴散阻障層如氮化鉭(TaN)低。 在側壁512、底表面514和側壁516上的釕襯層522的厚度可以在約5埃(以提供足夠的銅擴散阻障)到約25埃(以實現雙鑲嵌結構500的低片電阻)的範圍內。
在一些實施例中,氧化釕膜520和釕襯層522可以形成為從導孔504的底表面518省略,如第5圖的示例所示。 在這些實施例中,銅層524直接包含在導孔504的底表面518上,這為雙鑲嵌結構500提供了低接觸電阻。 在一些實施例中,在形成氧化釕膜520期間,在導孔504的底表面518上形成剩餘的氧化釕膜520,並且在形成釕襯層522期間在底表面518上形成剩餘的釕襯層。 在這些實施例中,銅層524形成在剩餘的氧化釕膜520上,剩餘的釕襯層522形成在導孔504的底表面518上。 雖然銅的接觸電阻低於釕的接觸電阻,但導孔504的整體接觸電阻仍然相對較低,因為剩餘的氧化釕很小,而且釕的接觸電阻低於其他銅擴散阻障層,如氮化鉭(TaN)。
在底表面518上包含剩餘的釕襯層522的實施例中,底表面518上的釕襯層522的厚度可以小於導孔504的側壁516上的釕襯層522的厚度的50%,以實現低接觸電阻。 例如,釕襯層522的厚度可以大於0埃且小於約10埃,以實現雙鑲嵌結構500的低接觸電阻。 在底表面518上包含剩餘的氧化釕膜520的實施例中,底表面518上的氧化釕膜520的厚度可以大於0埃且小於約3埃,以使氧化釕對雙鑲嵌結構500的接觸電阻的影響最小化。
如如上所述,第5圖提供為一示例。 其他例子可能與第5圖的描述不同。
第6A-6H圖是本文所述的示例性實施例600之示意圖。 示例性實施例600可以是形成第5圖的雙鑲嵌結構500的一示例。 在一些實施例中,一個或多個半導體製程機台102-116進行一個或多個與第6A-6H圖有關的描述的製程及/或操作。 如第6A圖所示,雙鑲嵌結構500可以在下金屬化層506上方的介電層510中形成。 蝕刻停止層508可以包含在介電層510和下金屬化層506之間,以促進雙鑲嵌結構500在介電層510中形成。
如第6B圖所示,溝槽502可以在介電層510中形成。 特別是,可以從介電層510的頂面至介電層510的一部分形成溝槽502。 沉積機台102可以在介電層510上形成光阻層,曝光機台104可以將光阻層暴露於輻射源以對光阻層進行圖案化,顯影機台106可以顯影並移除光阻層的部分以暴露圖案,而蝕刻機台108可以蝕刻介電層510以在介電層510中形成溝槽502的側壁512和底表面514。 在一些實施例中,光阻移除機台移除光阻層的剩餘部分(例如,使用化學剝離劑及/或另一種技術)。
如第6C圖所示,導孔504可以在溝槽502的底表面514的一部分中的介電層510形成。 特別是,導孔504可以從介電層510中的溝槽502的底表面514形成並穿過介電層510。 導孔504可以進一步形成,其穿過蝕刻停止層508並到下金屬化層506。 沉積機台102可在介電層510上形成光阻層,曝光機台104可將光阻層暴露於輻射源以對光阻層進行圖案化,顯影機台106可將光阻層的部分顯影並移除以暴露圖案,並且蝕刻機台108可蝕刻介電層510和蝕刻停止層508以形成穿過介電層510和蝕刻停止層508的導孔504的側壁516。 可以將導孔504蝕刻到下金屬化層506上,使得下金屬化層506的頂面是導孔504的底表面518。 在一些實施例中,光阻移除機台移除光阻層的剩餘部分(例如,使用化學剝離劑及/或另一種技術)。
第6B圖和第6C圖繪示了溝槽先行雙鑲嵌程序的例子,透過在形成導孔504之前形成溝槽502來形成雙鑲嵌結構500。 在一些實施例中,在導孔先行雙鑲嵌程序中,透過在形成溝槽502之前形成導孔504(或另一種類型的雙鑲嵌程序)來形成雙鑲嵌結構500。
如第6D圖所示,可以修改導孔504的底表面518以抵制或防止釕襯層522在底表面518上形成。 特別是,預處理機台114可以進行預處理操作以使導孔504的底表面518成為非金屬性。 此預處理操作可包含將導孔504的底表面518浸泡在苯並三唑(BTA)中一段時間,以使非金屬鈍化層602在底表面518上形成。 可以將底表面518浸泡在BTA中,這使錯合物在下金屬化層506的金屬材料(例如銅)和BTA之間形成,從而形成鈍化層602。 鈍化層602中的銅-BTA錯合物作為腐蝕抑制劑,防止或阻止釕前驅物被吸收到導孔504(如下金屬化層506)的底表面518中。
如第6E圖所示,氧化釕膜520可以形成在溝槽502的側壁512和底表面514上,以及導孔504的側壁516上。 沉積機台102可以透過進行ALD操作或CVD操作以將氧化釕膜520直接沉積在側壁512上、底表面514上和側壁516上。 沉積機台102可以在側壁512、底表面514和側壁516上形成氧化釕膜520,其厚度在約5埃至約10埃的範圍內。 氧化釕膜520可以沉積在側壁512、底表面514和側壁516上,以精確控制氧化釕膜520的形成,並最小化氧化釕膜520的厚度變化。
如上所述,非金屬鈍化層602阻止或防止釕前驅物被吸收到下金屬化層506中。 因此,非金屬鈍化層602可以阻止或防止氧化釕膜520中的釕前驅物被吸收到導孔504的底表面518中。 在一些實施例中,在底表面518上形成剩餘的氧化釕膜520(例如,小於約3埃)。
如第6F圖所示,釕襯層522可以形成在溝槽502的側壁512和底表面514上的氧化釕膜520上,以及在導孔504的側壁516上的氧化釕膜520上。 沉積機台102可以透過進行ALD操作或CVD操作來沉積釕襯層522。 沉積機台102可以在溝槽502的側壁512和底表面514上的氧化釕膜520上,以及在導孔504的側壁516上的氧化釕膜520上形成釕襯層522,其厚度在約5埃到約25埃的範圍內。
如上所述,非金屬鈍化層602阻止或防止釕前驅物被吸收到下金屬化層506中。 因此,非金屬鈍化層602可以阻止或防止釕襯層522沉積在導孔504的底表面518上。 在一些實施例中,剩餘的釕襯層522(例如,小於約10埃)形成在底表面518上。
如第6G圖所示,在形成氧化釕膜520和形成釕襯層522之後,可以將鈍化層602從導孔504的底表面518上移除。 電漿機台116可以使用基於氨的電漿、基於氧的電漿、基於氫的電漿或包括另一種離子類型的電漿來進行電漿處理操作,以將鈍化層602從底表面518移除。 例如,電漿機台116可以用氨離子、氧離子或另一種離子類型撞擊鈍化層602,以從底表面518上濺射蝕刻鈍化層602,從而使底表面518重新成為金屬性。 可以進行退火以汽化鈍化層602的移除材料,可以從電漿機台116的處理室中將汽化的材料抽真空。 使導孔504的底表面518恢復金屬特性可以提升底表面518的銅或鈷與要填充在雙鑲嵌結構500中的銅層524之間的金屬間附著力,這最小化或防止在銅層524中形成空隙、島狀物和其他缺陷。
如第6H圖所示,銅層524可以在雙鑲嵌結構500的剩餘體積中形成(例如,在導孔504和溝槽502中),這使雙鑲嵌結構500被銅填充。 沉積機台102可進行沉積操作及/或電鍍機台112可進行電鍍操作(例如,電鍍操作或無電解電鍍操作),以使銅在導孔504和溝槽502中的釕襯層522上產生銅層524。 回焊操作可包含加熱銅層524以允許銅層524流動。 這使銅層524填充任何空隙或消除任何可能在電鍍操作中形成的材料島狀物。 在一些實施例中,雙鑲嵌結構500在電鍍操作中加熱,使回焊操作和電鍍操作同時進行。 在一些實施例中,可以進行多個電鍍操作及/或多個回焊操作,以用銅層524填充雙鑲嵌結構500。 在電鍍操作和回焊操作之後,平坦化機台110可以進行CMP操作來平坦化銅層524。
如上所述,第6A-6H圖提供為一示例。 其他例子可能與第6A-6H圖的描述不同。 在一些實施例中,所述與第6A-6H圖相關的製程技術可用於形成單鑲嵌結構,例如在接觸插塞上的M0 BEOL金屬化層(例如,最接近MEOL區域230的金屬化層)中的導孔。 在這些實施例中,導孔504的側壁516和底表面518可以在介電層510中形成,可以將底表面518修改成如上述的非金屬性,可以將氧化釕膜520沉積在導孔504的側壁516上。可以將釕襯層522沉積在氧化釕膜520上,在釕襯層522形成後底表面518可以恢復為金屬性,並且導孔504可以在釕襯層522上填充銅層524。 底表面518的修改在介電層510上提供無釕襯層選擇性的同時,造成接觸插塞上的無底釕襯層522。
第7圖是本文所述的示例雙鑲嵌結構700之示意圖。 雙鑲嵌結構700可以是可包含在裝置200中的雙鑲嵌結構248的一示例。 雙鑲嵌結構700可以包含溝槽702和導孔704。 導孔704可以連接到下金屬化層706,其可以由銅、鈷或其他類型的金屬材料形成。 下金屬化層706可以包含裝置200的BEOL區域240中的另一個雙鑲嵌結構的溝槽、BEOL區域240中的單鑲嵌結構的導孔、裝置200的MEOL區域230中的接觸插塞,或其他互連類型。 在下金屬化層706和下金屬化層706上方的介電層710之間可以提供蝕刻停止層708,以促進雙鑲嵌結構700的形成。
雙鑲嵌結構700可以在介電層710中形成並穿過蝕刻停止層708。 溝槽702可包含側壁712和底表面714。 導孔704也可包含側壁716和底表面718。 側壁712、底表面714和側壁716可包含圍繞雙鑲嵌結構700的介電層710的部分。
導孔704的底表面718可以包含導孔704下方的下金屬化層706的一部分。 在一些實施例中,導孔704是電路導孔。 在這些實施例中,對於M0層導孔,導孔704的底表面718的寬度可以在約8奈米至約12奈米的範圍內,或者對於M1-M3層導孔(例如,M0層以上的金屬化層),其可以在約10奈米至約22奈米的範圍內。 在一些實施例中,導孔704是密封環導孔。 在這些實施例中,導孔704的底表面718的寬度可在約100奈米至約180奈米的範圍內。
氧化釕(RuO x)膜720可以包含在雙鑲嵌結構700的側壁712、底表面714和側壁716上。 氧化釕膜720可以提升周圍的介電層710與包含在雙鑲嵌結構700的側壁712、底表面714和側壁716上以及氧化釕膜720上的釕襯層722之間的附著力。 透過這種方式,氧化釕膜720減少及/或防止在沉積釕襯層722期間在釕襯層722中形成不連續性。 側壁712上、底表面714上和側壁716上的氧化釕膜720的厚度可以在約5埃(以最小化或防止氧化釕膜720中的不連續性)到約10埃(以實現雙鑲嵌結構700的低片電阻)的範圍內。
釕襯層722可作為銅(Cu)層724的擴散阻障,此銅(Cu)層填充在釕襯層722上的雙鑲嵌結構700中(例如,在溝槽702和導孔704中)。 透過這種方式,釕襯層722減少或防止銅原子擴散到介電層710中和介電層710下方的層。 此外,釕襯層722可以降低雙鑲嵌結構700的整體電阻率,因為膜釕的片電阻比其他銅擴散阻障層如氮化鉭(TaN)低。 在裝置200的BEOL區域240的M2層或M3層中,側壁712、底表面714和側壁716上的釕襯層722的厚度可以在約5埃(以提供足夠的銅擴散阻障)到約15埃(以實現雙鑲嵌結構700的低片電阻)的範圍內,並且在BEOL區域240的M1層中可以在約10埃到約35埃的範圍內。
釕襯層722可以進一步包含在導孔704的底表面718上,並且可以填充導孔704中的體積的一部分。 由於用於沉積導孔704中的銅層724的電鍍製程,在導孔704中沉積銅層724可能造成銅層724中的空隙、島狀物和其他不連續性。 釕襯層722可在超保形(super-conformal )(例如,由下而上)的沉積製程中形成在導孔704中,這可能導致相對於銅層724沉積製程的更少的空隙和其他不連續性,並可能實現較低的深寬比。 導孔704的底表面718上的釕襯層722的厚度可以大於在側壁712上、底表面714上和側壁716上的釕襯層722的厚度,以最小化及/或防止空隙和其他不連續性的形成,並減少要在導孔704中形成的銅層724的數量。 在側壁712、底表面714和側壁716上的釕襯層722可以形成得比導孔704的底表面718上的釕襯層722還薄,因為氧化釕膜720提升釕襯層722和介電層710之間的附著力,從而減少不連續性形成的可能性。
對於電路導孔,導孔704的底表面718上的釕襯層722的厚度可以在約20埃到約60埃的範圍內,以使釕襯層722和銅層724中形成空隙和其他不連續性的可能性最小化(例如,透過減少需要填充導孔704的銅材料的量)。 在一些實施例中,用於密封環導孔的導孔704的底表面718上的釕襯層722的厚度可以在電路導孔的底表面718上的釕襯層722的厚度的約50%至約80%的範圍內(例如,在約16埃至約48埃的範圍內)。 在一些實施例中,由於釕襯層722的超適形沉積製程,底表面718上的釕襯層722與密封環導孔704的側壁716上的釕襯層722之間的過渡角(transition angle)可在約30度至約60度的範圍內。
在一些實施例中,可以形成氧化釕膜720,以使其從導孔704的底表面718省略,如第7圖的示例子所示。 在這些實施例中,釕襯層722直接包含在導孔704的底表面718上,而銅層724部分地填充在釕襯層722上的導孔704。 在一些實施例中,在形成氧化釕膜720期間,在導孔704的底表面718上形成了剩餘的氧化釕膜720。 在底表面718上包含剩餘的氧化釕膜720的實施例中,底表面718上的氧化釕膜720的厚度可以大於0埃且小於約5埃,以使氧化釕對雙鑲嵌結構700的接觸電阻的影響最小化。
如上所述,第7圖提供為一示例。 其他例子可能與第7圖的描述不同。
第8A-8G圖是本文所述的示例實施方式之示意圖。示例性實施例800可以是形成第7圖的雙鑲嵌結構700的一示例。 在一些實施例中,一個或多個半導體製程機台102-116進行一個或多個與第8A-8G圖有關的描述的製程及/或操作。 如第8A圖所示,雙鑲嵌結構700可以在下金屬化層706上方的介電層710中形成。 蝕刻停止層708可以包含在介電層710和下金屬化層706之間,以促進在介電層710中形成雙鑲嵌結構700。
如第8B圖所示,雙鑲嵌結構700的溝槽702和導孔704可以在介電層710中形成。 如上所述,一個或多個半導體製程機台102-116可以透過進行上述的導孔先行雙鑲嵌製程、溝槽先行雙鑲嵌製程,或另一種雙鑲嵌製成來形成介電層710中的溝槽702和導孔704。
如第8C圖所示,可以將導孔704的底表面718修改以抵制或防止在底表面718上形成釕襯層722。 特別是,預處理機台114可以進行預處理操作以使導孔704的底表面718成為非金屬性。 此預處理操作可包含將導孔704的底表面718浸泡在苯並三唑(BTA)中一段時間,以使非金屬鈍化層602在底表面718上形成。 底表面718可以浸泡在BTA中,使錯合物形成於下金屬化層706的金屬材料(如銅)和BTA之間,從而形成鈍化層802。 鈍化層802中的銅-BTA錯合物作為腐蝕抑制劑,並防止或阻止釕前驅物被吸收到導孔704的底表面718(如下金屬化層706)。
如第8D圖所示,氧化釕膜720可以形成在溝槽702的側壁712和底表面714上,以及導孔704的側壁716上。 沉積機台102可以透過進行ALD操作或CVD操作將氧化釕膜720直接沉積在側壁712、底表面714和側壁716上。 沉積機台102可以在側壁712、底表面714和側壁716上形成氧化釕膜720,其厚度在約5埃至約10埃的範圍內。 氧化釕膜720可以沉積在側壁712、底表面714和側壁716上,以精確控制氧化釕膜720的形成,並最小化氧化釕膜720的厚度變化。
如上所述,非金屬鈍化層802阻止或防止釕前驅物被吸收到下金屬化層706中。 相應地,非金屬鈍化層802可以阻止或防止氧化釕膜720中的釕前驅物被吸收到導孔704的底表面718中。 在一些實施例中,在底表面718上形成剩餘的氧化釕膜720(例如,小於約5埃)。
如第8E圖所示,在形成氧化釕膜720之後,可以將鈍化層802從導孔704的底表面718上移除。 電漿機台116可以使用基於氨的電漿、基於氧的電漿、基於氫的電漿或包含另一種離子類型的電漿來進行電漿處理操作,以將鈍化層802從底表面718移除。 例如,電漿機台116可以用氨離子、氧離子或另一種離子類型撞擊鈍化層802,以從底表面718上濺射蝕刻鈍化層802,從而使底表面718重新成為金屬性。 可以進行退火以汽化鈍化層802的移除材料,可以從電漿機台116的處理室將汽化的材料抽真空。 使導孔704的底表面718恢復金屬特性,可以提升底表面718的銅或鈷與要在底表面718上形成的釕襯層722中的釕(其為過渡金屬)之間的金屬間附著力,這最小化或防止在釕襯層722中形成空隙和其他缺陷。
如第8F圖所示,釕襯層722可以在電漿處理操作之後形成在底表面718上。 釕襯層722可以形成在溝槽702的側壁712和底表面714上的氧化釕膜720上,以及在導孔704的側壁716上的氧化釕膜720上。 釕襯層722也可以直接形成在導孔704的底表面718上。 沉積機台102可以透過進行ALD操作或CVD操作來沉積釕襯層722。 沉積機台102可以在溝槽702的側壁712和底表面714上的氧化釕膜720上,以及在導孔704的側壁716上的氧化釕膜720上形成釕襯層722,其厚度在約10埃至約35埃的範圍內。 沉積機台102可以在導孔704的底表面718上形成釕襯層722,其厚度在約16埃到約60埃的範圍內。
如第8G圖所示,銅層724可以在雙鑲嵌結構700的剩餘體積中(例如,在導孔704和溝槽702中)形成在釕襯層722上,這使雙鑲嵌結構700被銅填充。 沉積機台102可進行沉積操作及/或電鍍機台112可進行電鍍操作(例如,電鍍操作或無電解鍍操作),以使銅在導孔704和溝槽702中的釕襯層722上產生銅層724。 回焊操作可包含加熱銅層724以使銅層724流動。 這使銅層724填充任何空隙或消除任何可能在電鍍操作中形成的材料島狀物。 在一些實施例中,雙鑲嵌結構700在電鍍操作中加熱,從而使回焊操作和電鍍操作同時進行。 在一些實施例中,可以進行多個電鍍操作及/或多個回焊操作,以用銅層724填充雙鑲嵌結構700。 在電鍍操作和回焊操作之後,平坦化機台110可以進行CMP操作來平坦化銅層724。
如上所述,第8A-8G圖提供為一示例。 其他例子可能與第8A-8G圖的描述不同。 在一些實施例中,所述與第8A-8G圖相關製程技術可用於形成單鑲嵌結構,例如在M0 BEOL金屬化層(例如,最接近MEOL區域230的金屬化層)。 在這些實施例中,導孔704的側壁716和底表面718可以在介電層710中形成,可以將底表面718修改成如上述的非金屬性,可以將氧化釕膜720沉積在導孔704的側壁716上。在形成氧化釕膜720後,底表面718可恢復為金屬,釕襯層722可沉積在底表面718上和側壁716上的氧化釕膜720上,並且導孔704的剩餘體積可用在釕襯層722上的銅層724填充。
第9圖是裝置900的示例元件之示意圖。 在一些實施例中,半導體製程機台102-116及/或晶圓/晶片運輸機台118中的一個或多個可以包含一個或多個裝置900及/或裝置900的一個或多個元件。 如第9圖所示,裝置900可以包含匯流排(bus)910、處理器920、記憶體930、儲存元件940、輸入元件950、輸出元件960和通訊元件970。
匯流排910包含使裝置900的元件之間進行有線及/或無線通訊的元件。 處理器920包含中央處理單元、圖形處理單元、微處理器、控制器、微控制器、數位訊號處理器、場效可規劃閘極陣列(field-programmable gate array)、特定應用積體電路及/或其他類型的處理元件。 處理器920是以硬體、韌體或硬體和軟體的組合來實現。 在一些實施例中,處理器920包含一個或多個能夠被程序設計以執行功能的處理器。 記憶體930包含隨機存取記憶體、唯讀記憶體及/或另一種類型的記憶體(例如,快閃記憶體、磁記憶體及/或光學記憶體)。
儲存元件940儲存與裝置900操作相關的資訊及/或軟體。 例如,儲存元件940可以包含硬碟驅動器、磁性磁碟機、光碟驅動器、固態磁碟機、光碟、數位多功能光碟及/或另一種類型的非暫態電腦可讀介質(non-transitory computer-readable medium)。 輸入元件950使裝置900能夠接收輸入,例如使用者輸入及/或感應輸入。 例如,輸入元件950可以包含觸控螢幕、鍵盤、鍵盤、滑鼠、按鈕、麥克風、開關、感測器、全球定位系統元件、加速度計、陀螺儀及/或致動器。 輸出元件960使得裝置900能夠提供輸出,例如透過顯示器、揚聲器及/或一個或多個發光二極體。 通訊元件970使得裝置900能夠與其他裝置進行通訊,例如透過有線連接及/或無線連接。 例如,通訊元件970可以包含接收器、發射器、收發器、數據機、網路介面卡及/或天線。
裝置900可以進行本文所述的一個或多個製程。 例如,非暫態電腦可讀介質(例如,記憶體930及/或儲存元件940)可以儲存由處理器920執行的一組指令(例如,一個或多個指令、代碼、軟體代碼及/或程序碼)。 處理器920可進行上述組指令以進行本文所述的一個或多個製程。 在一些實施例中,由一個或多個處理器920進行上述組指令,使一個或多個處理器920及/或裝置900進行本文所述的一個或多個製程。 在一些實施例中,固線式電路可代替指令或與指令結合使用以進行本文所述的一個或多個製程。 因此,本文所述的實施例不限於硬體電路和軟體的任何具體組合。
第9圖中所示的元件的數量和排列提供為一示例。 裝置900可以包含額外的元件、更少的元件、不同的元件或與第9圖所示的元件不同的配置。 此外,或替代地,裝置900的一組元件(例如,一個或多個元件)可以進行描述為由裝置900的另一組元件進行的一個或多個功能。
第10圖是與裝置中的銅互連的釕襯層相關的示例製程1000的流程圖。 在一些實施例中,第10圖的一個或多個製程區塊可由一個或多個半導體製程機台(例如,一個或多個半導體製程機台102-116)進行。 此外,或替代地,第10圖的一個或多個處理區塊可以由裝置900的一個或多個元件進行,例如處理器920、記憶體930、儲存元件940、輸入元件950、輸出元件960及/或通信元件970。
如第10圖所示,製程1000可以包含在裝置的一個或多個介電層中形成雙鑲嵌結構,其中此雙鑲嵌結構包含導孔和導孔上方的溝槽(區塊1010)。 例如,如上所述,一個或多個半導體製程機台可以在裝置(例如,裝置200)的一個或多個介電層(例如,介電層242、244、310、510及/或710)中形成雙鑲嵌狀結構(例如,雙鑲嵌狀結構248、300、500及/或700)。 在一些實施例中,雙鑲嵌結構包含導孔(例如,導孔304、504及/或704)和導孔上方的溝槽(例如,溝槽302、502及/或702)。
如第10圖進一步所示,製程1000可以包含在導孔的底表面上進行預處理操作以使導孔的底表面成為非金屬性(區塊1020)。 例如,如上所述,一個或多個半導體製程機台可以對導孔的底表面(例如,底表面318、518及/或718)進行預處理操作,以使導孔的底表面成為非金屬性。
如第10圖進一步所示,製程1000可以包含在導孔的側壁和溝槽的側壁上形成釕襯層(區塊1030)。 例如,如上所述,一個或多個半導體製程機台可以在導孔的側壁(例如側壁316、516及/或716)和溝槽的側壁(例如側壁312、512及/或712)上形成釕襯層(例如釕襯層322、522及/或722)。
如第10圖進一步所示,製程1000可以包含在形成釕襯層之後,在導孔的底表面上進行電漿處理操作,以使導孔的底表面成為金屬性(區塊1040)。 例如,如上所述,一個或多個半導體製程機台可以在形成釕襯層後,對導孔的底表面進行電漿處理操作,以使導孔的底表面成為金屬性。
如第10圖中進一步所示,製程1000可以包含在電漿處理操作之後用銅層填充導孔和溝槽(區塊1050)。 例如,如上所述,一個或多個半導體製程機台可以在電漿處理操作之後用銅層(例如,銅層324、524及/或724)填充導孔和溝槽。
製程1000可包含額外的實施例,例如任何單一的實施例或下文描述的實施例的任何組合,及/或與本文其他地方描述的一個或多個其他製程有關的實施例。
在第一實施例中,進行預處理操作,包含將導孔的底表面浸入苯並三唑(BTA)中以在導孔的底表面上形成非金屬鈍化層。 在第二實施例中,單獨或與第一實施例結合,為非金屬的導孔的底表面阻止了釕襯層的釕前驅物被吸收到導孔的底表面,並且為金屬的導孔的底表面提升了銅層與導孔的底表面之間的附著力。
在第三實施例中,單獨或與第一和第二實施例中的一個或多個結合,進行電漿處理操作,包含使用基於氨的電漿、基於氧的電漿或基於氫的電漿進行電漿處理操作,並且電漿處理操作導致電漿穿透釕襯層,以及從一個或多個介電層移除表面碳。 在第四實施例中,單獨或與一個或多個第一至第三實施例結合,從一個或多個介電層中移除表面碳造成一個或多個介電層的富氧表面,其中富氧表面促進在一個或多個介電層和釕襯層之間形成氧化釕層。
在第五實施例中,單獨或與第一至第四實施例中的一個或多個結合,製程1000包含將氧化釕膜(例如。氧化釕膜520及/或720)沈積在導孔的側壁和溝槽的側壁上,其中在導孔的側壁和溝槽的側壁上形成釕襯層,包含在導孔的側壁上的氧化釕膜和溝槽的側壁上的氧化釕膜上形成釕襯層。 在第六實施例中,單獨或與第一至第五實施例中的一個或多個結合,氧化釕膜的厚度在約5埃至約10埃的範圍內,其中釕襯層的厚度在約5埃至約25埃的範圍內。 在第七實施例中,單獨或與第一至第六實施例中的一個或多個結合,導孔的底表面的釕襯層的厚度等於或小於約8埃。
儘管第10圖顯示了製程1000的示例性區塊,但在一些實施例中,製程1000可以包含額外的區塊、更少的區塊、不同的區塊或與第10圖所述不同排列的區塊。 此外,或替代地,製程1000的兩個或更多的區塊可以平行地進行。
第11圖是與裝置中銅互連的釕襯層相關的示例製程1100的流程圖。 在一些實施例中,第11圖的一個或多個製程區塊可以由一個或多個半導體製程機台(例如,一個或多個半導體製程機台102-116)進行。 此外,或替代地,第11圖的一個或多個處理區塊可以由裝置900的一個或多個元件進行,例如處理器920、記憶體930、儲存元件940、輸入元件950、輸出元件960及/或通訊元件970。
如第11圖所示,製程1100可以包含在裝置的一個或多個介電層中形成雙鑲嵌結構,其中此雙鑲嵌結構包含導孔和導孔上方的溝槽(區塊1110)。 例如,如上所述,一個或多個半導體製程機台可以在裝置(200)的一個或多個介電層(例如,介電層242、244及/或710)中形成雙鑲嵌結構(例如,雙鑲嵌結構248及/或700)。 在一些實施例中,雙鑲嵌結構包含一個導孔(例如,導孔704)和導孔上方的溝槽(例如,溝槽702)。
如第11圖進一步所示,製程1100可以包含在導孔的底表面上進行預處理操作以使導孔的底表面成為非金屬性(區塊1120)。 例如,一個或多個半導體製程機台可以對導孔的底表面(例如,底表面718)進行預處理操作,以使導孔的底表面成為非金屬性,如上所述。
如第11圖進一步所示,製程1100可以包含在預處理操作之後,在導孔的側壁和溝槽的側壁上形成氧化釕膜(區塊1130)。 例如,如上面所述,一個或多個半導體製程機台可以在預處理操作之後,在導孔的側壁(例如,側壁716)和溝槽的側壁(例如,側壁712)上形成氧化釕膜(例如,氧化釕膜720)。
如第11圖進一步所示,製程1100可以包含在形成氧化釕膜之後,在導孔的底表面上進行電漿處理操作,以使導孔的底表面成為金屬性(區塊1140)。 例如,如上所述,一個或多個半導體製程機台可以在形成氧化釕膜之後,對導孔的底表面進行電漿處理操作,使導孔的底表面成為金屬性。
如第11圖進一步所示,製程1100可以包含在電漿處理操作之後,在氧化釕膜上和導孔的底表面上形成釕襯層(區塊1150)。 例如,如上所述,一個或多個半導體製程機台可以在電漿處理操作之後,在氧化釕膜上和導孔的底表面上形成釕襯層(例如釕襯層722)。
如第11圖進一步所示,製程1100可以包含在溝槽中的釕襯層上形成銅層(區塊1160)。 例如,如上所述,一個或多個半導體製程機台可以在溝槽中的釕襯層上形成銅層(例如,銅層724)。
製程1100可含額外的實施例,例如下文描述的任何單一實施例或任何實施例的組合及/或與本文其他地方描述的一個或多個其他製程相關的實施例。
在第一個實施例中,為金屬性的導孔的底表面提升釕襯層和導孔的底表面之間的附著力。 在第二種實施例中,單獨或與第一種實施例結合,為非金屬性的導孔的底表面阻止氧化釕膜的釕前驅物被吸收到導孔的底表面。 在第三個實施例中,單獨或與第一和第二實施例中的一個或多個結合,製程1100包含在導孔中部分地形成銅層。 在第四個實施例中,單獨或與第一至第三實施例中的一個或多個結合,形成釕襯層,包含在導孔的底表面上形成釕襯層,其厚度約等於或大於氧化釕膜上的釕襯層的厚度。
儘管第11圖顯示了製程1100的示例區塊,但在一些實施例中,製程1100可以包含比第11圖所述的更多的區塊、更少的區塊、不同的區塊、或不同排列的區塊。 此外,或替代地,製程1100的兩個或更多的區塊可以平行地進行。
如此一來,釕和氧化釕可用於單鑲嵌製程及/或雙鑲嵌製程,以形成電子裝置的BEOL金屬化層和導孔。 形成釕襯層可使BEOL金屬化層和導孔達到低接觸電阻和低片電阻,提升BEOL金屬化層和導孔中各層和材料之間的附著力,及/或減少或消除BEOL金屬化層和導孔中的缺陷(如空隙和不連續性)。 這可以提高電子裝置的電氣性能,並可以提高製造產量。
如上文更詳細地描述,本文所述的一些實施例提供了一種裝置,包括:雙鑲嵌結構,包含在一個或多個介電層中,包含導孔及溝槽;氧化釕膜,直接位於導孔的多個側壁,且直接位於溝槽的多個側壁;釕襯層,位於導孔的多個側壁上的氧化釕膜上,且位於溝槽的多個側壁上的氧化釕膜上;以及銅層,位於導孔中以及溝槽中的釕襯層上。
在一實施例中, 釕襯層包含在導孔的底表面及銅層之間的底表面上。在一實施例中,導孔的底表面上的釕襯層的厚度大於約0埃並且小於約10 埃。在一實施例中,導孔的底表面上的釕襯層的厚度小於在導孔的多個側壁上的釕襯層的厚度的約50%。在一實施例中,導孔的底表面上的釕襯層的厚度在約16埃以及約48埃的範圍之間。在一實施例中,導孔的底表面上的釕襯層的厚度約等於或大於在導孔的多個側壁上的釕襯層的厚度。在一實施例中,氧化釕膜直接位於溝槽的底表面上;其中釕襯層位於在溝槽的底表面上的氧化釕膜上方;其中氧化釕膜的厚度在約2埃至約5埃的範圍內;以及其中釕襯層的厚度在約10埃至約35埃的範圍內。
如上文更詳細地描述,本文所述的一些實施例提供了一種半導體裝置的製造方法,包括:在裝置的一個或多個介電層中形成雙鑲嵌結構,其中雙鑲嵌結構包含導孔及在導孔上的溝槽;在導孔的底表面上進行預處理操作,以使導孔的底表面成為非金屬的;在導孔的多個側壁及溝槽的多個側壁上形成釕襯層;在形成釕襯層後,在導孔的底表面上進行電漿處理操作,以使導孔的底表面成為金屬的;以及在電漿處理操作後,以銅層來填充導孔及溝槽。
在一實施例中,進行預處理操作的步驟包含:將此導孔的底表面浸入苯并三唑(benzotriazole;BTA)中,以使非金屬的鈍化層形成於導孔的底表面上。在一實施例中,作為非金屬的導孔的底表面阻止釕襯層的釕前驅物被吸收至導孔的底表面中;以及其中作為金屬的導孔的底表面提高銅層與導孔的底表面之間的黏著性。在一實施例中,進行電漿處理操作的步驟包含:以氨基(ammnonia-based)電漿、氧基電漿、或氫基電漿來進行電漿處理操作;以及其中電漿處理操作使電漿穿透釕襯層並從所述一個或多個介電層移除表面碳。在一實施例中,從所述一個或多個介電層移除表面碳,造成所述一個或多個介電層的富氧表面;以及其中富氧表面提高所述一個或多個介電層及釕襯層之間的氧化釕層的形成。
在一實施例中,半導體裝置的製造方法,更包括:在導孔的多個側壁及溝槽的多個側壁上沈積氧化釕膜,其中在導孔的多個側壁及溝槽的多個側壁上形成釕襯層的步驟包含:在導孔的多個側壁上的氧化釕膜之上以及溝槽的多個側壁上的氧化釕膜之上形成釕襯層。在一實施例中,氧化釕膜的厚度在約5埃至約10埃的範圍;以及其中釕襯層的厚度在約5埃至約25埃的範圍。在一實施例中,導孔的底表面上的釕襯層的厚度等於或小於約8埃。
如上文更詳細地描述,本文所述的一些實施例提供了一種半導體裝置的製造方法,包括:在裝置的一個或多個介電層中形成雙鑲嵌結構,其中雙鑲嵌結構包含導孔及在導孔上的溝槽;在導孔的底表面上進行預處理操作,以使導孔的底表面成為非金屬的;在預處理操作後,在導孔的多個側壁及溝槽的多個側壁上形成氧化釕膜;在形成氧化釕膜後,在導孔的底表面上進行電漿處理操作,以使導孔的底表面成為金屬的;在電漿處理操作後,在氧化釕膜及導孔的底表面上形成釕襯層;以及在溝槽中的釕襯層上形成銅層。
在一實施例中,作為金屬的導孔的底表面提高釕襯層及導孔的底表面之間的黏著性。在一實施例中,作為非金屬的導孔的底表面阻止氧化釕膜的釕前驅物被導孔的底表面吸收。在一實施例中,半導體裝置的製造方法,更包括:部分地在導孔中形成銅層。在一實施例中,釕襯層包含:在導孔的底表面上形成釕襯層,其厚度約等於或大於在氧化釕膜上的釕襯層的厚度。
以上概述數個實施例之特徵,以使本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。本發明所屬技術領域中具有通常知識者應理解,可輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且可在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:環境 102:沉積機台 104:曝光機台 106:顯影機台 108:蝕刻機台 110:平坦化機台 112:電鍍機台 114:預處理機台 116:電漿機台 118:晶圓/晶粒運輸機台 200:裝置 210:基板 220:FEOL區域 222:介電層 224:源極區或汲極區 226:金屬閘極 230:MEOL區域 232:介電層 234:接觸導孔 240:BEOL區域 242:介電層 244:介電層 246:單鑲嵌結構 248:雙鑲嵌結構 300:雙鑲嵌結構 302:溝槽 304:導孔 306:下金屬化層 308:蝕刻停止層 310:介電層 312:側壁 314:底表面 316:側壁 318:底表面 320:氧化釕膜 322:釕襯層 324:銅層 400:實施例 402:鈍化層 500:雙鑲嵌結構 502:溝槽 504:導孔 506:下金屬化層 508:蝕刻停止層 510:介電層 512:側壁 514:底表面 516:側壁 518:底表面 520:氧化釕膜 522:釕襯層 524:銅層 600:實施例 602:鈍化層 700:雙鑲嵌結構 702:溝槽 704:導孔 706:下金屬化層 708:蝕刻停止層 710:介電層 712:側壁 714:底表面 716:側壁 718:底表面 720:氧化釕膜 722:釕襯層 724:銅層 800:實施例 802:鈍化層 900:裝置 910:匯流排 920:處理器 930:記憶體 940:儲存元件 950:輸入元件 960:輸出元件 970:通訊元件
由以下的詳細敘述配合所附圖式,可最好地理解本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本發明實施例之特徵。 以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1圖是可以實施本文所述的系統及/或方法的示例環境之示意圖。 第2圖是本文所述的示例電子裝置的一部分之示意圖。 第3圖是本文所述的示例雙鑲嵌結構之示意圖。 第4A-4G圖是本文所述的示例性實施例之示意圖。 第5圖是本文所述的示例雙鑲嵌結構之示意圖。 第6A-6H圖是本文所述的示例性實施例之示意圖。 第7圖是本文所述的示例雙鑲嵌結構之示意圖。 第8A-8G圖是本文所述的示例性實施例之示意圖。 第9圖是一個或多個第1圖的裝置的示例元件之示意圖。 第10圖及第11圖是與形成雙鑲嵌結構有關的示例製程之流程圖。
300:雙鑲嵌結構
302:溝槽
304:導孔
306:下金屬化層
308:蝕刻停止層
310:介電層
312:側壁
314:底表面
316:側壁
318:底表面
320:氧化釕膜
322:釕襯層
324:銅層

Claims (1)

  1. 一種半導體裝置,包括: 一雙鑲嵌結構,包含在一個或多個介電層中,包含一導孔及一溝槽; 一氧化釕膜,直接位於該導孔的多個側壁,且直接位於該溝槽的多個側壁; 一釕襯層,位於該導孔的該些側壁上的該氧化釕膜上,且位於該溝槽的該些側壁上的該氧化釕膜上;以及 一銅層,位於該導孔中以及該溝槽中的該釕襯層上。
TW110133146A 2021-01-29 2021-09-07 半導體裝置 TW202230479A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/248,595 2021-01-29
US17/248,595 US11749604B2 (en) 2021-01-29 2021-01-29 Ruthenium oxide film and ruthenium liner for low-resistance copper interconnects in a device

Publications (1)

Publication Number Publication Date
TW202230479A true TW202230479A (zh) 2022-08-01

Family

ID=81803636

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110133146A TW202230479A (zh) 2021-01-29 2021-09-07 半導體裝置

Country Status (3)

Country Link
US (2) US11749604B2 (zh)
CN (1) CN114597163A (zh)
TW (1) TW202230479A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11594459B2 (en) * 2021-02-11 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for a semiconductor device and method for manufacturing the same
US20230068398A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Ruthenium-based liner for a copper interconnect

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4370206B2 (ja) * 2004-06-21 2009-11-25 パナソニック株式会社 半導体装置及びその製造方法
US9806018B1 (en) * 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures
KR102606765B1 (ko) * 2018-02-07 2023-11-27 삼성전자주식회사 비아 플러그를 갖는 반도체 소자 및 그 형성 방법
US11362035B2 (en) * 2020-03-10 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion barrier layer for conductive via to decrease contact resistance
US20220246534A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance copper interconnects

Also Published As

Publication number Publication date
US20230361040A1 (en) 2023-11-09
CN114597163A (zh) 2022-06-07
US11749604B2 (en) 2023-09-05
US20220246535A1 (en) 2022-08-04

Similar Documents

Publication Publication Date Title
TWI575604B (zh) 半導體裝置及其製造方法
TWI610343B (zh) 具有楔形鑲嵌孔洞之半導體結構及其製造方法
US7544601B2 (en) Semiconductor device and a method for manufacturing the same
US20220246534A1 (en) Low-resistance copper interconnects
US20100314765A1 (en) Interconnection structure of semiconductor integrated circuit and method for making the same
US20230361040A1 (en) Ruthenium oxide film and ruthenium liner for low-resistance copper interconnects in a device
US7589021B2 (en) Copper metal interconnection with a local barrier metal layer
TW201841324A (zh) 內連線結構及其形成方法
CN218333793U (zh) 半导体结构及半导体装置
JP2012074608A (ja) 配線形成方法
JP2005129937A (ja) 低k集積回路相互接続構造
TWI835167B (zh) 積體電路裝置的形成方法及半導體裝置
US20230299002A1 (en) Semiconductor devices and methods of formation
TWI802378B (zh) 半導體裝置及其形成方法
US20220367260A1 (en) Metal nitride diffusion barrier and methods of formation
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법
US20230282513A1 (en) Semiconductor structure formation
US20220352018A1 (en) Carbon-based liner to reduce contact resistance
US20230008239A1 (en) Barrier layer for an interconnect structure
KR100713900B1 (ko) 반도체 소자의 금속배선 제조방법
KR20080088093A (ko) 반도체 소자의 금속배선 형성방법
TW202322200A (zh) 半導體結構
TW202320273A (zh) 半導體結構
TW202307928A (zh) 半導體裝置的形成方法
KR100784105B1 (ko) 반도체 소자의 제조 방법