TW202208692A - 電鍍鈷、鎳、及其合金 - Google Patents

電鍍鈷、鎳、及其合金 Download PDF

Info

Publication number
TW202208692A
TW202208692A TW110116169A TW110116169A TW202208692A TW 202208692 A TW202208692 A TW 202208692A TW 110116169 A TW110116169 A TW 110116169A TW 110116169 A TW110116169 A TW 110116169A TW 202208692 A TW202208692 A TW 202208692A
Authority
TW
Taiwan
Prior art keywords
substrate
electroplating
electronic device
features
cobalt
Prior art date
Application number
TW110116169A
Other languages
English (en)
Inventor
娜塔莉亞 V 道比那
泰菲 A 史柏林
愛德華 C 奧保山斯基
強納森 大衛 李德
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202208692A publication Critical patent/TW202208692A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/08Rinsing
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/12Electroplating: Baths therefor from solutions of nickel or cobalt
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/56Electroplating: Baths therefor from solutions of alloys
    • C25D3/562Electroplating: Baths therefor from solutions of alloys containing more than 50% by weight of iron or nickel or cobalt
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating And Plating Baths Therefor (AREA)

Abstract

所揭露者為在部分或完全製造電子裝置之內連線特徵部中之用於電鍍鈷、鎳、及其合金的設備、系統、及方法。在電鍍期間,鈷、鎳、或其合金藉著由下而上電填機制填充特徵部。可能使用鈷、鎳、或其合金電填的特徵部之示例包含微TSV、裝置的接點、及電晶體的某些閘極。電鍍設備可能包含電鍍單元與後電填模組、退火腔、電漿預處理模組、及基板預潤濕模組之每一者之一以上示例。

Description

電鍍鈷、鎳、及其合金
所揭露者關聯於在部分或完全製造電子裝置之內連線特徵部中之用於電鍍鈷、鎳、及其合金的設備、系統、及方法。
鎢有時用於針對如矽穿孔(TSV)及裝置接點的各種不同積體電路結構而形成內連線。鎢內連線係時常由化學氣相沉積或原子層沉積加以沉積。
包含在此的先前技術章節及背景敘述僅係為了整體上呈現本揭露之背景的目的加以提供。本揭露之許多者顯示發明者之作品,並且不會僅因為如此的作品係在先前技術章節敘述或在本文其他處表示為背景而意味著承認其為先前技術。
本揭露的某些態樣屬於在電子裝置之中形成內連線的方法。如此的方法。如此方法的特點可係以下作業:(a) 將包含部份或完全製造之積體電路的一基板與具有約2至約5 pH值和鎳及/或鈷離子的水性電鍍溶液接觸,以及(b) 對通向該基板的一電流及/或電壓進行控制,從而藉著一由下而上填充機制,將來自該電鍍溶液的鎳及/或鈷電鍍進入特徵部之中。在某些實施例之中,該水性電鍍溶液包含(i) 濃度為約20至約80 g/L的鎳離子、及/或濃度為約10至約40 g/L的鈷離子,以及(ii) 一抑制劑。在某些實施例之中,該基板包含有著直徑約為0.005-6微米及特徵深度約為0.05-10微米的特徵部。
在某些實施例之中,該基板特徵部係微矽穿孔(TSV)特徵部。在若干應用之中,將鎳及/或鈷電鍍進入該一以上特徵部之中的該作業,在該基板之第一側上之第一電子裝置與該基板之第二側上之第二電子裝置之間產生一以上內連線。在若干例子之中,該特徵部有著約1000 nm至約2000 nm的深度以及約50 nm至約150 nm的開口直徑或寬度。
在某些實施例之中,將鎳及/或鈷電鍍進入該一以上特徵部之中的步驟,直接對在該基板上之第一電子裝置產生一以上電性接點。在若干例子之中,該一以上電性接點接觸一以上3D NAND裝置。在若干實作之中,該特徵部具有約50 nm至約500 nm的深度,以及約5 nm至約20 nm的開口直徑或寬度。
在若干實施例之中,該水性電鍍溶液不包含促進劑或平整劑。在替代實施例之中,該水性電鍍溶液包含一促進劑及/或一平整劑。在若干實施例之中,該水性電鍍溶液包含一促進劑。在某些實施例之中,該水性電鍍溶液更包含硼酸。
在若干實施例之中,該水性電鍍溶液額外包含不同於鈷或鎳的金屬離子。在如此實施例之中,控制往該基板的該電流及/或電壓的作業將來自該電鍍溶液的鎳合金或鈷合金電鍍至該特徵部之中。在若干如此實施例之中,該不同於鈷或鎳的金屬可能係Cu、Ag、Au、Mn、Fe、Cr、Ru、Mo、Ir、Re、Pd、W、Mo、Pt、或其任何組合。在若干如此實施例之中,該不同於鈷或鎳的金屬係W或Mo。在若干例子之中,該水性電鍍溶液更包含濃度為約0.1至約30 g/L的Mo離子及/或W離子。在某些實施例之中,該水性電鍍溶液包含一錯合劑,該錯合劑使鎳離子、鈷離子、或該不同於鈷或鎳的金屬離子錯合。
在若干實施例之中,控制往該基板的一電流及/或電壓的作業,包含在電鍍來自該電鍍溶液的鎳及/或鈷的步驟的同時增加該電流。在若干例子之中,增加該電流的步驟包含勻變該電流。
在若干實作之中,在電鍍鎳及/或鈷的步驟之前,該方法包含使用一電漿預處理該基板之作業,以還原在該一以上特徵部中之一傳導層上之金屬氧化物。在若干例子之中,在電鍍鎳及/或鈷的步驟之前,該方法包含在減少的壓力下,使用潤濕該特徵部的一潤濕溶液預潤濕該基板。在若干例子之中,在電鍍鎳及/或鈷之後,該方法包含退火該基板。
本揭露之某些態樣屬於用於處理基板的設備,該設備的特色可係以下特徵:(a) 一以上電鍍單元;(b) 一以上後電填模組;(c) 一電漿預處理模組;(d) 一預潤濕模組;(e) 一以上基板傳送搬運器;及(f) 一控制器,配置以令該一以上基板傳送搬運器,藉由將第一基板傳送至在(b)、(c)、及(d)中之模組之每一者而處理第一基板,並且在第二基板係在該設備內時的完整時段的期間在不將第二基板傳送至模組(b)、(c)、及(d)之至少一者的情況下處理第二基板。
在若干實施例之中,該設備包含一框架或機殼,其包圍該一以上電鍍單元、該一以上後電填模組、該預潤濕模組、及該電漿預處理模組。在若干實施例之中,該框架或機殼額外包圍基板傳送機器人。在若干例子之中,該預潤濕模組及該電漿預處理模組係在共同真空環境之中。
在若干實施例之中,該設備亦包含一退火腔,配置以在該一以上電鍍單元中之電鍍步驟之後加熱該基板。在若干實施例之中,該設備亦包含一負載鎖。在若干例子之中,該預潤濕模組及該預處理模組係由該負載鎖加以連接。
在某些實施例之中,該控制器係進一步配置以令該設備:(i) 在將第一基板傳送至該一以上電鍍單元之第一者之前,藉由將其傳送至該電漿預處理模組及將其傳送至該預潤濕模組而處理第一基板;以及(ii) 在將第二基板傳送至該一以上電鍍單元之該第一者之前,在不將其傳送至該電漿預處理模組的情況下,藉由將其傳送至該預潤濕模組而處理第二基板。在若干例子之中,該控制器更配置以令該設備:(iii) 在不預先將第三基板傳送至該預潤濕模組或至該電漿預處理模組的情況下,藉由將其傳送至該一以上電鍍單元之該第一者而處理第三基板。
在若干實作之中,該設備額外包含一電功率供給件,配置以控制施加於在該一以上電鍍單元中之基板的電流及/或電壓。在某些實施例之中,該控制器係配置以在電鍍該一以上電鍍單元之第一者的期間勻變電流。
參考相關圖示,所揭露實施例之這些及其他特徵將更細節敘述如下。
介紹及背景
對若干應用而言,矽穿孔(TSV)、微TSV、及裝置接觸通道(例如,NAND接觸通道)已透過化學氣相沉積或原子層沉積而填充以鎢金屬。然而,目前使用蒸氣沉積鎢的若干應用可能使用不同於鎢的金屬,並且/或者可能使用電化學沉積。
可能用於取代鎢(W)之示例金屬包含鈷(Co)、鎳(Ni)、Co-W合金、Ni-W合金、Co-Mo合金、及Ni-Mo合金。鈷或鎳可能亦與彼此以及與如Cu、Ag、Au、Mn、Fe、Cr、Ru、P、B、C、N、Ir、Re、Pd、Pt或其任何組合的其他元素加以合金化。這些金屬或合金之任何一者可藉由電沉積加以沉積。電沉積TSV或裝置接點(例如,NAND裝置接點)可能在無空隙的情況下沉積,意味著產生的內連線或接點有著較低電阻及良好裝置性能。
本揭露顯示用於以Co、Ni、及/或其合金填充特徵部的電沉積溶液、製程、設備、及系統。在某些實施例之中,至少若干的填充特徵部有著相對高的長寬比,如至少約5:1或至少約10:1。在若干實施例之中,特徵部開口具有約50 µm至500 µm的寬度或直徑。本文揭露的電沉積溶液、製程、及設備可能使用於3D技術,包含未來發展或實作的科技,如在過去2D微縮中其他形式電沉積具有的微縮。
用於如全域TSV及接合墊應用的某些應用的目前TSV結構係以銅(Cu)加以填充,這是因為Cu的低電阻以及因為這些應用可應付因Cu而出現的挑戰。然而,為了顯影全域及中間TSV應用,正在探索W金屬以取代Cu。因為Cu整合進FEOL(前段製程)裝置電路的已知潛在問題,如最大電流密度、汙染、及電遷移生命週期,W金屬被預測是這些未來應用所需要的。在此揭露之中,不同於W及Cu的金屬係使用於TSV應用。同樣的,某些FEOL及裝置接觸特徵部可使用不同於W的金屬。舉例而言,雖然3D NAND接點傳統上使用氣相沉積方法填充以W,但某些所揭露實施例對這些接點使用其他金屬。
使用鈷、鎳、及/或與這些金屬的某些合金作為鎢的替代可能提供如以下任何一者的一個以上好處:
超過Cu且接近或匹配W的電遷移電阻
與可能留下空隙的使用氣相沉積製程填充的相似特徵部相比較低的電阻率
藉由合金化及後製程退火的膜性質調整
電鍍膜提供高產出率(每小時晶圓)
電鍍膜提供有著可重複使用溶液的低成本濕式沉積。 術語
「半導體晶圓」、「晶圓」、「基板」、及「晶圓基板」之術語可能可互換地使用。在本領域中通常知識者理解「部份製造積體電路」之術語可指涉在半導體晶圓上之積體電路製造之許多階段之任何一者期間在該半導體晶圓上之一以上裝置之任何一者。使用於半導體裝置工業中之晶圓或基板通常有著200 mm、或300 mm、或450 mm之直徑。此揭露顯示在「晶圓」上實作的實施例。應理解到,如此對「晶圓」的提及係延伸至其他類型之工作件。工作件可能有各種不同的形狀、尺寸、及材料。除了半導體晶圓,可能用於所揭露實施例中的工作件之示例包含印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡子、光學元件、微機械裝置、及相似者。
如在本文中使用,「半導體裝置製造作業」或「製造作業」係在半導體裝置之製造期間實施的作業。通常而言,整體製造程序包含複數半導體裝置製造作業,每一者實施於其自身半導體製造工具之中,如電漿反應器、電鍍單元、退火腔、化學機械平面化工具、濕式蝕刻工具、及相似者。半導體裝置製造作業之範疇包含減材製程(如蝕刻製程及平面化製程)以及增材製程(如沉積製程(例如,物理氣相沉積、化學氣相沉積、原子層沉積、電化學沉積、無電鍍沉積))。
術語「處理腔」、「製造設備」、及「製造工具」指涉其中發生製造程序的設備。製造設備時常具有在處理期間工作件駐存於其中的處理腔。通常而言,當在使用時,製造設備實施一個以上半導體裝置製造作業。用於半導體裝置的製造設備之示例包含增材製程反應器,如電鍍單元、物理氣相沉積反應器、化學氣相沉積反應器、及原子層沉積反應器。減材製程反應器之示例包含乾式蝕刻反應器(例如,化學及/或物理蝕刻反應器)、濕式蝕刻反應器、及灰化器。其他類型之製造設備包含退火腔及清潔裝置。
術語「特徵部」在本文中可用於指涉在基板上之未填充、部分填充、或完全填充的凹入部。相似地,術語「矽穿孔」指涉形成在矽或其他材料中之未填充、部分填充或完全填充的凹入穿孔。特徵部可能具有不同深度、不同負載、當由上而下朝基板方向檢視時的不同形狀、以及其組合。在若干實施例之中,當從上方檢視時,基板之若干特徵部可能具有圓形、長圓形、或矩形的形狀。在若干實施例之中,至少在基板上之若干特徵部的長寬比等於或大於約2:1、等於或大於約5:1、或等於或大於約10:1。
特徵尺度之示例表列於表1。在若干例子之中,用於3D結構的特徵部涵蓋約50 nm至6 微米的開口以及約500 nm至10微米的特徵深度之範圍。對若干TSV應用而言,尤其微TSV應用,特徵尺寸之例示範圍包含約10-100 nm之開口尺寸以及約1-2微米之深度。在若干實作之中,特徵尺度將目前相對低長寬比特徵部(例如,鑲嵌特徵部)及相對高長寬比特徵部(例如TSV)之間的空間予以填充。 [表1] 例示特徵尺度
  尺度
特徵直徑 約 0.05 - 6 um
特徵節距 約 0.5 – 4 um
特徵深度 約0.5 – 10 um
特徵長寬比 約 5:1 - 100:1
應用
所揭露的裝置、電鍍溶液、電鍍方法、及設備可能加以應用以形成用於各種不同應用的內連線,其若干者可能以「3D」應用為特點。3D應用通常使用垂直堆疊的複數晶圓或晶粒。在一示例之中,邏輯裝置係製造於晶圓之一側之上並且由微TSV連接至在晶圓之相反側上之記憶體或功率線。在另一示例之中,製造有不同的晶圓,一者用於邏輯件,而另一者用於記憶體,並且接著該等晶圓被研磨、堆疊、且通過TSV電性連接。相關的應用有時稱為「2.5D應用」。這些應用使用堆疊於類似於中介層之結構上之晶粒,以將複數裝置類型放置於單一組合裝置之中。
若干的應用係TSV應用,如微TSV應用。TSV係用於完全穿過半導體工作件(如矽晶圓或晶粒)的電性連接之穿孔。典型的TSV製程涉及形成TSV孔及在基板上沉積保形擴散屏障及傳導種子層,接著以金屬填充該TSV孔。TSV孔通常具有高長寬比,這使得進入如此結構的銅之無空隙沉積係有挑戰性的任務。TSV可能具有約4:1及更大的長寬比(例如約10:1及更大、及甚至約20:1及更大(例如,達約30:1)),在開口處有著約0.1µm或更大的寬度(如約5µm或更大),且深度約5µm或更大(如約50µm或更大,以及約100µm或更大)。TSV之示例包含5×50µm及10×100µm特徵部。
微TSV係形成跨越晶圓或積體電路之厚度且將結構之一側電性連接至結構之另一側的內連線的TSV。在若干實施例之中,微TSV內連線將晶圓或積體電路之不同側上之裝置電性連接。作為示例,連接的裝置可能係開關(例如,電晶體)或記憶單元。在若干應用之中,晶圓或積體電路之二側具有相同類型的裝置(例如,電晶體或記憶單元)。在若干應用之中,晶圓或積體電路之一側具有一種類型的裝置,而另一側具有不同類型的裝置(例如在裝置之一側上為電晶體,且在裝置之不同側上為記憶單元)。在晶圓或積體電路之二側之上的裝置之間的電性連接可能係由跨越晶圓或積體電路之厚度的內連線加以做成。
在若干例子之中,微TSV係用以提供線路,用於將晶片級功率從晶圓或積體電路之一側提供至另一側。在若干例子之中,微TSV係使用於整合方案之中,其使用特別小的開關,如3 nm裝置或如FET的「閘極環繞」電晶體。
微TSV之幾何尺度時常小於傳統TSV之幾何尺度。在若干實施例之中,微TSV內連線具有約1000 nm至約2000 nm之深度。在若干例子之中,微TSV內連線具有約50 nm至約150 nm的開口直徑或寬度。作為示例,長寬比可能係在約5及約50之間。
若干應用形成裝置接點且有時被稱為中間線路(MOL, middle of line)或「金屬0」應用。這些直接對如電晶體或記憶單元的裝置提供電性連接。作為示例,在中間線路應用中之特徵部之深度可能係約50 nm至約500 nm、或約100 nm至約200 nm。在若干例子之中,在中間線路應用中之特徵部之開口寬度或直徑係約5 nm至約20 nm、或約7 nm至約10 nm。作為示例,長寬比可能係在約2及約100之間。
在某些實施例之中,3D NAND裝置可能將鎢以另外的金屬取代,如鈷、鎳、及/或其中一者之合金。在若干例子之中,非W金屬填充字元線。在若干例子之中,非W金屬填充3D NAND接點。這些接點可能有著相當於大TSV的尺度。該字元線可能以大平板為形式,且沉積於各種不同層。
接觸金屬可能係藉由Si3 N4 之移除接著對蝕刻穿過一ONON堆疊的狹縫電填金屬而加以形成。用於以氣相沉積的鎢或其他金屬製造3D NAND結構的製造流程之示例敘述於PCT專利申請案第PCT/US2020/013693號之中,申請於2020年1月15日;以及US專利申請公開案第20180144977號,公開於2018年5月24日,以上每一者藉由引用將其全部內容納入本文。
在若干實施例之中,電填的Ni、Co、或其中一者之合金係用於製造電晶體閘極。
在某些實施例之中,Co、Ni、或合金待電沉積於其上的基板具有種子或襯層。在若干例子之中,該種子或襯層包含Co、Cu、 Ni、NiB、NiBP、CoB、CoBP、CoZn、CuZn、 NiZn、CoMn、CuMn、NiMn、或其任何組合。在某些實施例之中,Co、Ni、或合金待電沉積於其上的基板具有擴散屏障。可能用作擴散屏障的材料之示例包含AlOx、WCN、Mo、MoOx、Zn、ZnOx、Mn、MnOx。在某些實施例之中,該種子或襯層平均係相對薄,例如約0.5至5 nm厚。在某些實施例之中,該種子或襯層平均係相對厚,例如約50至500 nm厚。 電鍍溶液配方
各種不同的電鍍溶液配方可能被用於鈷及/或鎳電填。表2提供用於如本文所述之各種不同應用的鈷電鍍溶液、鎳電鍍溶液、及合金電鍍溶液之無機成分之例示配方的範圍。在各種不同實施例之中,Co或Ni電鍍溶液包含金屬離子與硼酸。在若干實施例之中,電鍍溶液包含鈷及/或鎳離子、相對陰離子、硼酸、及額外酸(例如、HCl)。在某些實施例之中,電鍍溶液具有範圍為約2至約5的pH值。在某些實施例之中,電鍍溶液具有範圍為約2至約4的pH值。注意到以下表格,金屬鹽濃度係僅基於金屬離子之質量加以提供,不包含提供金屬離子的鹽類之陰離子。所以,舉例而言, 30g/L的鈷鹽濃度具有每公升溶液30公克之鈷離子。 [表2] 例示電鍍溶液成分 鈷電鍍溶液
物種 濃度
鈷鹽 約 0.5 – 40 g/L (例如,約10至約 40 g/L)
氯化物、溴化物陰離子 約 0-200ppm
硼酸 約 0-40 g/L
pH 約 2-5
溶液溫度 約 15-90C
鎳電鍍溶液
物種 濃度
鎳鹽 約 0.5 – 80 g/L (例如,約20至約80 g/L)
氯化物、溴化物陰離子 約0-200ppm
硼酸 約0-40 g/L
pH 約2-5
溶液溫度 約15-90C
鈷-鎳電鍍溶液
物種 濃度
鈷鹽 約0.5 – 40 g/L (例如,約10至約40 g/L)
鎳鹽 約0.5 – 80 g/L (例如,約20至約80 g/L)
氯化物、溴化物陰離子 約0-200ppm
硼酸 約0-40 g/L
pH 約2-5
溶液溫度 約15-90C
合金電鍍溶液
物種 濃度
鈷鹽 約0.5 – 40 g/L (例如,約10至約40 g/L)
鎳鹽 約0.5 – 80 g/L (例如,約20至約80 g/L)
合金金屬鹽 約0.01 – 30g/L
氯化物、溴化物陰離子 約0-200ppm
硼酸 約0-40 g/L
pH 約2-5
溶液溫度 約15-90C
在各種不同實施例之中,待電鍍金屬(例如,鈷或鎳)之濃度與這些金屬使用於其他積體電路電填應用中相比,有著相對較高的濃度。在某些實施例之中,在電鍍溶液中之鈷離子之濃度係約10至約40 g/L或約20至約40g/L。在某些實施例之中,在電鍍溶液中之鎳離子之濃度係約20至約80 g/L或約30至約80 g/L。在相對較大或深的特徵部之中(例如在本文敘述的若干應用中出現的那些),特徵部之較深部分對主體溶液而言係相對較難近接的(即便在電鍍單元具有強對流時)。結果,特徵部之這些部分可能在電鍍期間耗盡金屬離子,除非電鍍溶液具有相對高濃度的金屬離子。如果特徵部之較深區域耗盡金屬離子而較不深區域仍具有可用金屬離子,則空隙可能形成於電填特徵部之較深區域之中。
更甚者,具有相對大體積的特徵部可能需要相對較快沉積率以維持製程產出。如此較高電鍍率及相關的高電流密度可由本文敘述的相對高金屬離子濃度溶液所供給。
可能與待電還原的金屬陽離子一起使用的鹽類陰離子之示例包含硫酸鹽、鹵化物、硼酸鹽、磷酸鹽、及硝酸鹽。在某些實施例之中,該陰離子係包含氯化物及/或溴化物。
為了電鍍合金,電鍍溶液包含至少二種金屬離子。用於沉積金屬合金的電鍍溶液可能使用在相似電化學製程窗口之內還原的金屬鹽。可藉由對Co及/或Ni之溶液施加電位而產生金屬合金之示例含有其他元素,如Cu、Ag、Au、Mn、Fe、Cr、Ru、P、B、C、N、MO、Ir、Re、Pd、Pt。在若干例子之中,在電鍍溶液中之金屬鹽係選擇用於電鍍Co及W之合金、Ni及W之合金、Co及Mo之合金、或Ni及Mo之合金。在若干實作之中,合金係沉積自一電鍍溶液,該電鍍溶液具有錯合配位基或其他添加物,其選擇性抑制或活化相對於在合金中之另一者的一金屬之電沉積。舉例而言,如果熱力學及/或動力學在特定作業電位下對第一金屬之沉積比對第二金屬來得較有利,則電鍍溶液可能包含一錯合配位基,其對第一金屬沉積之遲滯較對第二金屬沉積之遲滯來得多。以此方式,以及以其他具有相似效果的方式,電化學窗口被選擇為使得合金金屬以理想比例沉積。
在圖案化特徵部之中使用由下而上填充的實施例之中,一種以上的有機添加物可能被添加至電鍍溶液之中。如此的添加物改變在特徵部底部及在場(field)上之金屬沉積率。表3提供為了在高長寬比特徵部之中產生由下而上填充的在電鍍溶液中之有機添加物之例示範圍。當然,濃度可能取決於所使用的化學添加物而在這些範圍內改變。總而言之,這些範圍適用於以下敘述的例示化合物之任何一者。 [表3] 用於電鍍溶液及/或預潤濕溶液的例示有機添加物
物種 濃度
促進劑 0 – 約200 ppm
抑制劑 0 – 約200 ppm
平整劑 0 – 約200 ppm
錯合劑 0 - 約30g/L
在各種不同實施例之中,由下而上填充係藉由在特徵部之內建立抑制劑及/或氫離子濃度梯度(從場區域(較高濃度)至特徵部之底部或較低凹入區域(較低濃度))加以促進。相較於在特徵部之場區域或上區域(那些較靠近場區域的特徵部之區域)之中的電鍍,在及/或靠近特徵部之底部的抑制劑及/或氫離子之較低濃度於這些區域之中推動較快的電鍍。
因為鈷及某些其他金屬無法從酸性電鍍溶液以100%電流效率電鍍,所以氫離子之局部濃度(以及對應的局部pH值)可對相對金屬電鍍率具有強影響。有著相對較高氫離子濃度的區域允許析氫反應而與金屬沉積反應顯著地競爭,這導致相對較慢的金屬沉積率。相較之下,有著相對較低氫離子濃度的區域產生相對較少的元素氫,且從而具有較高的金屬沉積電流效率及較快的金屬沉積率。因為各種不同的物理及化學因素,氫離子梯度可能存在於一特徵部之內。舉例而言,幾何上,與場區域相比,每單位體積有著相對較多的基板表面積。結果,與特徵部外部相比,在特徵部之內每單位體積有著較多的反應發生。再者,主體電鍍溶液之對流可能輕易地將氫離子供給至場區域,但無法如此輕易地將氫離子供給至特徵部,尤其是特徵部之深凹入部分。因此,與在特徵部之場區域或上部分之中相比,特徵部之內的氫離子濃度傾向於維持較低,尤其特徵部之深區域。
圖1繪示溶液成分可能如何交互作用及驅動凹入特徵部103中之由下而上填充。特徵部場105及上側壁107被相對鈍化,並且電鍍被有機添加物109之堆積所抑制。因為競爭氫還原反應,對場的氫離子吸附及/或質量傳遞可能亦降低在場上之金屬沉積率。整體而言,這導致在特徵部之頂部較慢的鈷沉積111,並且允許在特徵尺寸之範圍之中獲得無空隙的由下而上填充。在特徵部底部的電鍍率與在場上之電鍍率相比的差異可藉由有機添加物、有機添加物之分解、或氫之消耗及/或耗盡而增加。為了建立無空隙填充,通常在特徵部之中的有機添加覆蓋物及/或氫離子之濃度梯度可加以建立。這可藉由設定製程參數加以完成,如初始溶液濃度(例如,pH值)、質量傳遞(被電鍍基板的RPM)及電鍍電流。廣範圍的作業條件可支持該氫離子梯度。這些可藉由模型化下方質量傳遞及其他相關物理條件、或二種方式的組合而經驗地予以決定。該梯度係驅動氫離子之消耗的所施加電鍍電流之函數。如所示,該梯度因為特徵部之幾合結構而形成,與場區域相比,這對特徵部之基底處之氫離子消耗提供了較大的驅動力。在某些實施例之中,電鍍浴之開始成分具有約0.00001至6.4M的氫離子濃度。
在某些實施例之中,除了鈷及/或鎳鹽,電鍍溶液還含有抑制劑。在若干實作之中,電鍍溶液僅含有抑制劑作為添加物,而沒有促進劑或平整劑。在若干實作之中,電鍍溶液含有抑制劑以及促進劑,並且選擇性地含有平整劑。在若干實作之中,電鍍溶液含有抑制劑與平整劑。
總而言之,抑制分子或「抑制劑」係使金屬離子較不容易還原至基板上的分子。可藉以發生如此情形的一種機制係透過在基板表面上之分子之化學吸附,其在空間上阻擋金屬離子的靠近或者佔據基板上的反應位置。在電鍍製程期間,所選擇的抑制劑與未電鍍基板表面(例如種子層)及部分電鍍金屬膜反應。
抑制劑(獨自或與其他電鍍溶液添加物組合)係表面動力極化化合物,其促使在基板-電解質介面上的電壓降顯著增加。在若干例子之中,鹵離子(halide ion)用作在抑制劑分子與基板表面之間的化學吸附橋。該抑制劑(1)相對於沒有抑制劑的區域(或以相對較低的濃度存在),增加存在抑制劑的區域處的基板表面之局部極化;以及(2)整體地增加基板表面之極化。增加的極化(局部及/或整體)對應於增加的電阻/阻抗以及因此在特定施加電位下較緩慢的電鍍。
抑制劑可能係相對大的分子,並且在若干實例之中,它們係聚合物(例如,聚環氧乙烷(PEO)、聚環氧丙烷(PPO)、聚乙二醇(PEG)、聚丙二醇(PPG)、其他通用聚烯烴基二醇(PAG)聚合物、這些之任一者之共聚合物(包含嵌段共聚合物)、及相似者)。使用可能改善溶解度或與基板交互作用的官能基,這些聚合物及共聚合物可能進一步功能化。功能化抑制劑之若干示例包含有著含硫及/或含氮官能基的聚環氧乙烷及聚環氧丙烷。抑制劑可具有線性鏈狀結構或分枝結構或二者。特定類別的抑制劑分子包含有機化學吸附腐蝕抑制劑。有著各種不同分子量的抑制劑分子可共存於抑制劑溶液中。
部分肇因於抑制劑的大尺寸,進入凹入特徵部之中的這些化合物之擴散與其他電鍍溶液成分相比可係相對較慢的。
在若干例子之中,抑制劑不顯著地納入沉積膜之中,儘管它們可能藉由在電鍍溶液中之電解質或化學分解而緩慢地隨時間降解。
抑制劑之例示類別包含但不局限於醚衍生物、酯衍生物、二醇衍生物、噻唑化合物、吡啶化合物及衍生物、以及高分子化合物。
抑制劑醚之示例包含壬基酚聚乙二醇醚、聚乙二醇二甲醚、辛二醇雙(聚亞烷基二醇醚)、辛醇聚亞烷基二醇醚、聚乙二醇二甲醚、及硬脂醇聚乙二醇醚。
抑制劑酯之例示包含油酸聚乙二醇酯;及硬脂酸聚乙二醇酯。
抑制劑二醇之示例包含聚乙烯丙二醇、聚乙二醇、聚氧丙二醇;及聚丙二醇。抑制劑噻唑之示例包括2-胺基-5-(乙硫基)-1,3,4-噻二唑、6-胺基-2-巰基苯並噻唑、及2-巰基苯並噻唑。
抑制劑吡啶化合物之示例包含2-胺基吡啶、3-羥基吡啶-4-磺酸、嘌呤、2,2'-二吡啶基二硫化物、3-吡啶磺酸、及3-(1-吡啶基)-1-丙磺酸鹽。
其它抑制劑之示例包含羧甲基纖維素、聚乙烯亞胺、聚乙烯醇、聚環氧乙烷;環氧乙烷-環氧丙烷共聚物、丁醇-環氧乙烷-環氧丙烷共聚物; 2-巰基-5-苯並咪唑磺酸;2-巰基苯並咪唑(MBI)、苯並三唑、鄰苯甲磺醯亞胺(糖精)、芐索氯銨、溴化索溴銨、1-芐基咪唑、及2-噻唑啉-2-硫醇。 其他聚合化合物之示例包含聚乙烯吡咯烷酮(PVP)、聚丙烯醯胺、及聚(2-乙基-2-噁唑酮)。
在某些實施例之中,以上抑制劑之任何一以上者可能以約1-10000 ppm之濃度提供於本文揭露的電鍍溶液之任一者。
圖2提供極化圖,繪示藉由增加抑制劑相對電鍍溶液之量的鎳金屬沉積之抑制。如果相同化合物之梯度因為擴散、質量傳遞、或覆蓋而存在遍佈圖案化特徵部,則其可藉由遍佈該特徵深度的抑制劑覆蓋之梯度而產生由下而上填充。當向下進入該特徵部存在較少的有機添加物時,更多的金屬沉積將因為表面係較少極化或鈍化而發生。
在若干應用之中,促進劑被包含在電鍍溶液之中。促進劑可能偏好累積於特徵部之底部,並且有助於催化金屬沉積以支持由下而上填充。
促進劑分子可令金屬離子相較於受抑制表面(例如,附接有抑制劑物種的表面)更容易還原於基板之上。據信,促進劑(無論獨自或與其他電鍍溶液添加物組合)局部地減少與抑制劑之存在相關的極化效果,並且從而局部地增加電沉積率。促進劑分子可能部分基於其在這些高電鍍率開始的區域(抑制劑主宰極化特性的相對區域)中維持較高電鍍率的能力而加以使用。
在電化學上,促進劑減少了將金屬沉積至受抑制基板上所需要的極化強度。因為抑制劑分子比促進劑更為抑制,所以抑制劑反應之一可能機制涉及與促進劑競爭結合位置,造成在抑制劑被促進劑取代的區域中的較高電流密度。
在促進劑最濃的基板表面之區域之中,極化效果的減少係最明顯的(亦即,極化係呈吸附促進劑之局部表面濃度的函數或隨促進劑對抑制劑之比而減少)。儘管促進劑可能變得強力吸附於該基板表面並且可能由於電鍍反應而通常呈橫向表面不動的,在若干實施例之中,該促進劑不顯著地納入該膜之中。在如此例子之中,當金屬被沉積時,該促進劑可維持於該表面之上。在若干例子之中,當凹入部被填充時,凹入部內之表面上的局部促進劑濃度增加。與抑制劑相比,促進劑傾向為較小的分子,且展現較快擴散進入凹入特徵部。
促進劑之類別之示例包含但不局限於如磺酸酯的酯類、如磺酸鹽類的鹽類、巰基化合物、及三唑類化合物。
促進劑酯類之示例包含N,N-二甲基-二硫代胺基甲酸(-3-磺丙基)酯、3-巰基-丙基磺酸(3-磺丙基)酯;碳酸-二硫代-鄰-乙基酯-s-酯與3-巰基-1-丙磺酸鉀鹽、N,N-二甲基-二硫代胺基甲酸-(3-磺乙基)酯、3-巰基-乙基丙基磺酸(3-磺乙基)酯、及碳酸-二硫代-鄰-乙基酯-s-酯。
促進劑鹽類之示例包含3-巰基-丙基磺酸鈉鹽、3-(苯並噻唑基-s-硫代)丙基磺酸鈉鹽;及3-巰基-乙基磺酸鈉鹽。
促進劑巰基類化合物之示例包含巰基丙基磺酸、1,3,4-噻二唑-2,5-二硫醇、2-巰基-5-苯並咪唑磺酸、3-胺基-5-巰基-1,2,4-三唑、5-胺基-2-巰基苯並咪唑、及2-巰基噻唑。
促進劑三唑類化合物之示例包含1,2,4-三唑、及1-H-苯並三唑磺酸。
其他促進劑之示例包含雙磺丙基二硫化物、吡啶丙基磺基甜菜鹼、1-3-巰基丙烷-1-磺酸鈉、吡啶乙基磺基甜菜鹼;硫脲、雙-3-磺丙基二硫化物、硫脲、聚(N-異丙基丙烯醯胺)、及噻唑。
在某些實施例之中,本文揭露的這些促進劑之任何一者可能以約1-10000ppm之濃度存在於電鍍溶液之中。
對若干層而言,存在特徵密度之範圍。在密集陣列之區域之中,因為圖案特徵部之增加數量,較少的抑制劑吸附至該表面之上。這意味著,當填充完成,在此區域中之金屬沉積有著較少抑制的沉積且將以比隔離區域更快之速率電鍍。這可導致在電鍍金屬形貌中的變化,其在化學機械平坦化步驟期間造成問題。為了最小化形貌變化,可對溶液添加平整劑化合物以均勻化橫跨密集及隔離圖案的沉積率。
平整分子可藉由限制促進分子之去極化效果而作用。特別在基板之暴露部分之中(如受處理晶圓之場區域)以及在特徵部之側壁處,平整劑可實施此功能。平整劑可能藉由解吸或取代促進劑、防止其與抑制劑有效競爭接合位置、將其埋入鍍膜之中、或化學地將其降解而作用。平整劑之局部濃度係藉由質量傳遞而若干程度上加以決定。據信,在許多例子之中,在基板表面該平整劑以一擴散限制率或接近該擴散限制率的速率加以反應或被消耗,並且因此,平整劑之連續供給可能維持隨時間的均勻電鍍條件。原則上不藉由吸附至基板上平面上而作用的化合物不被認為是平整劑。
平整劑化合物通常基於如它們的電化學功能及影響加以分類,且不需要特定化學結構或配方。然而,平整劑時常包含一以上氮、胺、醯亞胺或咪唑,以及可能亦含有硫官能基。某些平整劑包含一以上的五元及六元環以及/或者共軛有機化合物衍生物。氮基團可能形成部分之環結構。
例示之平整劑化學類別包含烷基、芳基及雜環胺、環氧化物、芳香氮雜環、苯並噻唑衍生物、環狀醯亞胺、苯甲酸衍生物、及聚合化合物。
在含胺平整劑之中,胺可係伯、仲或叔烷基胺。更進一步,胺可係芳基胺或雜環胺。例示胺包含,但不局限於二烷基胺、三烷基胺、芳烷基胺、三唑、咪唑、三唑、四唑、苯並咪唑、苯並三唑、哌啶、嗎啉、哌嗪、吡啶、噁唑、苯並噁唑、嘧啶、喹啉和異喹啉。在某些實施例之中,平整劑係咪唑及/或吡啶。平整劑之其他示例包含耶奴斯綠B(Janus Green B)及普魯士藍(Prussian Blue)。
在某些實施例之中,平整劑係芳香氮雜環。例示芳香氮雜環平整劑包含2,2-聯吡啶、2-羥基-吡啶、8-羥基喹啉、甲吡啶、吡咯、噻唑、異噁唑、6-H-1,2,5-噻二嗪、氮環辛四烯、氮雜環癸五烯(azecine)、吲哚、異吲哚、嘌呤、咔唑、吡嗪、 噠嗪、吖啶、吲哚嗪及吡唑。
在某些實施例之中,平整劑係苯並噻唑或其衍生物。苯並噻唑衍生平整劑之示例包含鄰苯甲醯磺醯亞胺(糖精)、苯並噻唑、2-胺基苯並噻唑、2-羥基苯並噻唑、2-巰基苯並噻唑、2-甲硫基苯並噻唑(2-methylthiobenzothiazole)、2,2'-二硫代雙(苯並噻唑)、2-(2-羥基苯基)苯並噻唑、甲基苯噻唑脲(methabenthiazuron)、2(4-胺基苯基)苯並噻唑。
在某些實施例之中,平整劑係環狀醯亞胺。環狀醯亞胺平整劑之示例包含鄰苯二甲醯亞胺、N-甲基鄰苯二甲醯亞胺、N-乙基鄰苯二甲醯亞胺、N-溴鄰苯二甲醯亞胺、N-氯鄰苯二甲醯亞胺、3-羥基異吲哚啉酮、馬來醯亞胺、2,3-二溴馬來醯亞胺、N-甲基琥珀醯亞胺、N-苯基馬來醯亞胺、N-馬來醯-b-丙胺酸、及均苯四甲酸二亞胺。
在某些實施例之中,平整劑係苯甲酸或其衍生物。苯甲酸衍生平整劑之示例包含苯甲醯胺、取代的苯甲醯胺、苯甲酸鹽、苯甲酸烷基酯、羥基苯甲酸酯、苯甲醇、苯甲醛、二苯甲酮、及苯胍胺。
平整劑化合物可能亦包含乙氧基。舉例而言,平整劑可包含相似於在聚乙二醇或聚環氧乙烷之中發現的通用主幹,有著例如功能性插入於鍊上的胺之片段(例如,耶奴斯綠B)。
在某些實施例之中,平整劑係環氧化物。例示環氧化物包含但不局限於表鹵代醇,如表氯醇和表溴醇;以及聚環氧化物化合物。有著藉由含醚鍊結接合在一起的二以上的環氧化物成分之聚環氧化物化合物係使用於若干電鍍溶液之中。
若干平整劑化合物係聚合物,而其他則否。例示的聚合平整劑化合物包含,但不局限於聚乙烯亞胺、聚醯胺、以及胺與各種不同氧環氧化物或硫化物的反應產物。聚合平整劑之另一示例係聚乙烯吡咯烷酮(PVP)。非聚合平整劑之一示例係6-巰基己醇。 電鍍製程參數
在某些實施例之中,電鍍製程係以範圍在約18 C至90 C中之溫度加以實施。在某些實施例之中,電鍍製程係以範圍在約25 C至約50 C中之溫度加以實施。相對高的電鍍溫度可支撐相對快的電填率,當填充具有相對高體積的特徵部時,該相對快的電填率可能係有用的,如在本文中敘述的如TSV應用的某些應用之若干者的例子。
在某些實施例之中,電鍍單元的電流及/或電壓係隨特徵部填充之歷程之若干或全部者而勻變。電流勻變可能允許促進由下而上填充的氫離子濃度梯度之維持。當以金屬填充特徵部,對局部氫離子耗盡的驅動力(在填充金屬之頂部)減少。在特徵部之頂部處及靠近特徵部之頂部,氫離子更容易藉由對流而自主體溶液掃入,並且使偏好氫離子耗盡(每單位容量較大的反應表面積)的幾何考量減少。因此,在特徵部內的電流密度之增加可助於維持部分填充特徵部之未填充區域內之氫離子濃度梯度。
在某些實施例之中,在基板上之電流密度係以約0.002 mA/cm2 .s至約0.02 mA/cm2 .s之速率加以勻變。在某些實施例之中,主體電鍍製程開始時的電流係約0.15至1.8mA/cm2 。在某些實施例之中,主體電鍍製程結束時的電流係約1至5 mA/cm2 。主體電鍍製程之終點可能係當全部或幾乎全部的特徵部係完全填充至水平f時、至場區域時、及/或當實質覆蓋層形成時。當然,實際數值取決於應用。如所解釋,電流密度被勻變以消耗在特徵部底部的氫離子並且建立驅動填充的梯度。較低pH值的應用需要較高的起始電流密度以消耗足夠的氫離子而建立梯度。本文提供的電流密度數值係使用基板之電鍍表面之幾何平面加以決定。換言之,電流密度數值係在假設電鍍表面為完美平坦且特徵部沒有產生額外表面積的情況下加以決定。 - 及後 - 電鍍處理
圖3顯示在電鍍平臺上用於電沉積的例示製程流程。在虛線方框中的作業係在真空預處理模組(VPM)中實施的選擇性步驟。可能用以改善填充進入特徵部底部的液體預處理模組係取決於特徵深度/特徵尺寸。
圖3顯示用於在接觸孔、矽穿孔、或其他內連線通道之中沉積金屬的製程301。如所示,製程301始於將傳導種子及/或擴散屏障層沉積於包含複數特徵部(如高長寬比特徵部)的基板之上。見作業303。如本文其他處所解釋,在某些實施例之中,基板特徵部可能界定微TSV孔或裝置接觸孔,如用於3D NAND裝置的接觸孔。在某些實施例之中,傳導種子層及/或屏障層係藉由如化學氣相沉積的氣相沉積技術或藉由如濺鍍的物理氣相沉積技術加以沉積。
在如方框303所繪示將傳導種子及/或擴散屏障層沉積於基板上之後,可如方框305所繪示將基板加以對準。對準步驟確保基板以可再現的方式通過工具模組。這有助於故障排除。舉例而言,如果在晶圓之右側上觀察到一圖案,則這可能指出造成問題的在工具上的特定構件或特徵部。對準步驟可能用於晶圓量測工具之中以將晶圓位置排列於網格上,並且將晶圓與晶圓量測結果進行比較。在某些實施例之中,實施對準步驟係為了確保基板之某些特徵部係相對於在製造工具上之對應特徵部適切擺置。舉例而言,因為晶圓有時在其周長上具有凹口或其他變化,晶圓可能需要加以方向角地對準於在電鍍工具之晶圓固持器之上的密封件,以確保電鍍溶液不溢流至晶圓上方的區域。
如本文其他處所解釋,在暴露於環境條件後,傳導種子層可能容易受到氧化。並且在各種不同實施例之中,在種子層係藉由PVD或氣相沉積技術沉積之後,其暴露於大氣條件。在此暴露期間,傳導種子之若干者(時常係金屬層)可能氧化以形成在金屬種子層之上或之中的金屬氧化物。
為了將若干金屬氧化物轉換回金屬,以及/或者為了減少或減緩金屬種子層轉化為金屬氧化物,基板係選擇性地化學還原,或暴露於化學還原條件。舉例而言,如所繪示,如於作業307說明,基板可能放置於真空反應腔之中。在顯示的實施例之中,在真空反應腔中之基板係暴露於含氫電漿,其將形成於金屬種子層上之任何氧化物還原回到元素金屬。參見方框309。應注意到,如同方框307中之作業,在方框309中之作業係選擇性的 。換言之,在某些實施例之中,金屬種子層不需要暴露於還原電漿。
如繪示於作業311,在選擇性作業307及309完成之後,晶圓選擇性地移動至潤濕預處理模組。如本文其他處所解釋,在電鍍前,潤濕預處理模組將基板預潤濕。預潤濕溶液可能含有電鍍溶液之一以上成分。藉由在預潤濕模組中將基板預潤濕,製程將未填充特徵部以液體溶液潤濕並且移除在電鍍前可能存在於特徵部內的氣隙或其他氣體空隙。如所解釋,特徵部內的空氣或其他氣體空隙會有效地阻絕特徵部之部分以金屬填充。
在基板選擇性經受預潤濕作業311之後,基板被傳送至金屬沉積電鍍浴。參見方框313。此作業通常快速發生(例如,以最多約60秒),俾以避免暴露於大氣氧。
在基板沉浸於電鍍溶液中之後,其被暴露於還原電位(陰極),在該還原電位下,在電鍍溶液中之金屬離子於基板之表面上沉積為金屬層。如本文其他處所解釋,電鍍溶液及相關電鍍沉積條件以由下而上填充機制(自底部開始填充特徵部)將金屬沉積於基板之特徵部之中。由下而上填充減少在特徵部內之電鍍金屬中之空隙及縫隙之產生。
在作業315中之電填製程完成之後,基板自電鍍溶液移除並且加以淋洗及乾燥及選擇性經受邊緣斜面移除製程。參見作業或方框317。最後,如方框319所繪示,基板係加以選擇性地退火以改質電鍍金屬。 設備及系統
如本文所揭示,可能使用各種不同的硬體系統以電鍍鈷、鎳、及/或其合金。硬體可包含一以上電鍍單元以及一以上相關模組,其任何一者可配置以實施預-或後-電鍍作業。在若干實施例之中,單元及模組係佈置於單一機殼或框架之中。在若干實施例之中,單元及模組係佈置以允許複數不同預處理作業,例如其可包含:(1)傳導種子或襯層之保護或回復,以及基板預潤濕;(2) 僅基板預潤濕而沒有種子或襯層之保護或回復;或(3)沒有預潤濕、或種子/襯層保護。選項1可適用於有著相對高長寬比、及/或深特徵部與薄種子或襯層、及/或在電鍍前長時間暴露於環境條件的基板。薄種子層或襯層在電鍍期間容易受到氧化以及汙染空隙形成,此問題可藉由種子/襯層回復作業予以治癒。深及/或高長寬比特徵部在電鍍期間容易出現保持氣袋及汙染空隙形成,此問題可藉由預潤濕予以治癒。選項2可適用於具有強健種子或襯層,但有著深或高長寬比特徵部的基板。選項3可適用於具有強健種子或襯層,且有著相對淺及/或低長寬比特徵部的基板。
暴露於大氣氧及水蒸氣的薄種子層可快速地氧化。如果晶圓在電鍍佇列中等待數小時,則可能因為氧化溶解而發生側壁空隙化。在適當的情況下,例如當有著長晶圓製造佇列時間及/或種子層係相對薄的情況,可使用將種子層上之氧化物化學還原為金屬的預處理模組將金屬氧化物轉換回金屬。如此的預處理可係乾式或濕式製程。乾式處理之一示例係在電漿真空預處理模組中實施的電漿製程。在某些實施例之中,真空預處理係使用含氫電漿加以實施。用於在種子層上實施化學還原作業的方法及設備之示例敘述於以下專利文件之中,並且藉由引用將其全部納入於此:美國專利第9070750號,授證於2015年6月30日;美國專利第9865501,授證於2018年1月9日;美國專利申請公開案第20150299886號,公開於2015年10月22日;以及美國專利申請公開案第20150376792號,公開於2015年12月31日。
在若干實施例之中,乾式預處理使用電漿以改變基板之表面。電漿製程可將基板之表面上的氧化物還原。若干的如此製程使用還原電漿。在某些實施例之中,電漿係產生自氫及載體(如氦)之氣體混合物。氣體混合物之壓力可能係約0.1至10 Torr,例如約1至3 Torr。電漿係使用例如具有例如約0.25至5 kW、例如約1至3 kW的功率之射頻功率輸入在氣體混合物之中點燃。在某些實施例之中,電漿產生腔可藉由多孔屏障(例如,噴淋頭)與基板分離,該多孔屏障可加以接地及冷卻,以減少離子通量且允許氫自由基通量。在處理期間,基板可靜置於噴淋頭下方之加熱支架上。遠端電漿系統之示例敘述於美國專利第9865501號,授證於2018年1月9日,藉由引用將其全部內容納入於此。
在某些電漿預處理實施例之中,基板之溫度(選擇性地藉由支架溫度控制)係維持於約攝氏30度至攝氏600度,例如約攝氏75至250度。在某些實施例之中,電漿預處理係實施持續約30秒至60分鐘的時段。在被允許接觸普通大氣之前,基板可加以冷卻。
如果種子層足夠厚,則氧化溶解可能不是問題,並且可能不需要電漿或其他類型的還原作業。因為本文敘述的電鍍溶液、製程、及設備對處理一範圍之不同基板類型係有用的,所以它們可能被使用以處理有著相對薄或受損種子層的若干基板,以及亦用以處理具有相對厚及/或強健種子層之特徵部的若干基板。
額外於種子層還原作業或作為種子層還原作業之替代,基板可經受預潤濕作業。如此的預處理作業可加以使用於,例如比約1微米更深的特徵部。在某些實施例之中,預潤濕係在真空下實施。此作業可將捕集於特徵部中之氣泡排出,若不將其移除,將產生大空隙化特徵部。在某些實施例之中,基板係以淨化水、有著一種以上有機電鍍添加物的淨化水、乙醇、或乙醇/淨化水溶液加以預潤濕。用於預潤濕的有機添加物可能係抑制劑或如本文敘述那些之任何一者的潤濕劑。相對高濃度之有機添加物可被添加至預潤濕模組溶液,俾以協助潤濕以及場上電鍍之抑制。用於實施基板預潤濕的方法及設備之示例敘述於以下專利文件之中,藉由引用將其全部內容納入於此:美國專利申請公開案第20100320081號;由N. Doubina等發明之美國專利申請公開案第2016/0273117號;由Blackman發明之美國專利第9455139號;及由E.G. Webb等發明之美國專利第7232513號。
圖4A及4B顯示例示硬體平臺,其上可執行至少若干的所揭露製程。其他實施例可包含額外電鍍單元、機器人搬運器、及/或模組、及/或不同形式的單元、模組、機器人搬運器、及相似者。在某些實施例之中,平臺係配置以按照圖3之流程圖內涵蓋的製程之其中一者處理基板。
在電鍍工具上游,晶圓可藉由例如在一或多介電層中蝕刻圖案及/或沉積擴散屏障及/或種子層而加以準備。
在圖4A中繪示的電鍍工具或平臺451包含複數電鍍單元453(在此示例中三個)及複數後電鍍模組455(在此示例中三個)。如機器人的搬運器457配置以將晶圓移動進入及離開電鍍單元453及後電鍍模組455。總的來說,電鍍單元453及後電鍍模組455可形成平臺451之「後端」之部分。平臺451之前端可與平臺外之系統或佇列介接。舉例而言,待電鍍的基板可藉由前端負載FOUP 459饋送至平臺451。工具可加以配置,使得可藉由可縮回及移動於複數維度驅動的基板的前端搬運器461(例如,機器人),將來自FOUP 459的基板攜至主基板處理區域。在繪示實施例之中,有著二前端可存取站,一電漿預處理模組463及一預潤濕預處理模組465。對準器467及搬運器468係關聯於預潤濕預處理模組465。電鍍平臺451亦包含配置以在電鍍之後加熱及退火基板的一以上退火腔469。
如繪示,在若干實施例之中,電漿預處理模組大於退火模組及/或退火模組大於預潤濕模組。在某些實施例之中,電漿模組包含複數基板處理站,其可允許平行預處理。在若干例子之中,預潤濕模組僅具有用於基板處理的單一站。此差異可能至少部分地說明這些模組之相對尺寸差異。將退火、預潤濕、及電漿處理模組以對應於它們相對尺寸的方式佈置於平臺之機殼或框架之內,而允許緊密平臺設計。
在圖4A之中,電沉積設備(平臺)451示意地顯示為由上而下觀看。在若干實施例之中,二以上層被「堆疊」於彼此之頂端,每一者選擇性地具有相同或不同類型的處理站。
在某些實施例之中,取決於進入晶圓需求,潤濕預處理模組可加以佈置,使得基板可經過電漿預處理並且進入預潤濕模組,或晶圓可直接自FOUP(或其他基板固持構件)進入預處理模組。
各種不同後電鍍作業可實施於適當配置的模組之中。舉例而言,這些包含旋洗、旋轉乾燥、金屬及/或矽濕式蝕刻、及邊緣斜面移除之任何一者或以上。如所顯示,退火模組可使用作為後電鍍模組。退火步驟可使用以成長電沉積金屬之顆粒,並且從而減少金屬之電阻。
在某些實施例之中,取決於於平臺上待電鍍的結構類型,電鍍平臺之前區段可以允許進成於基板之預處理的靈活度的方式加以配置。舉例而言,如所提及,基板之不同類型可經受預潤濕及/或電漿處理。
電鍍平臺可能或可能不包含適合用於在真空下自一預處理模組將基板傳送至另一者或自預處理模組傳送至電鍍單元的負載鎖。如所提及,預潤濕模組可配置以在低於大氣壓力的壓力下作業。在某些實施例之中,電鍍系統係配置以緊接在預潤濕之後傳送基板至用於金屬沉積的電鍍單元。在若干例子之中,系統係以將水之薄膜維持於晶圓表面之上的方式而用於基板,俾以最小化在結構中之空氣之捕集。在圖4A顯示的工具配置之中,若干基板可以不進行預潤濕步驟的方式直接傳送至電鍍單元。當預潤濕步驟並非必須時(這可能係某些晶圓批的情形),如此的作業可能係適當的。
圖4B繪示基板可能採取而通過工具中之預處理模組的三種路徑。所繪示路徑為不預處理、僅預潤濕、及種子還原(例如電漿處理)以及預潤濕。在若干實作之中,可能有另一路徑:種子還原(例如電漿處理)。
在圖4B之示例之中,路徑之二者不使用搬運器,因為在圖4A實施例之中靠近FOUP的第一搬運器461係配置以將基板裝載至對準器467之上。基板自對準器467藉由該模組之傳遞手臂傳送至真空或潤濕預處理單元。
在各種不同實施例之中,電漿預處理模組及預潤濕模組設置為緊密相鄰並且在共同的真空環境之中,因為此二模組可在低於大氣壓力下運作。在若干實作之中,電漿預處理模組在低於預潤濕模組的壓力下運作。
有著與預潤濕模組相鄰之電漿預處理模組的系統可減少或消除在預處理之後以及在預潤濕之前敏感種子或襯層對大氣氧的暴露。在某些實施例之中,預潤濕模組係配置為傳送負載鎖,用於自電漿單元至含電鍍單元的工具之後端的基板之移動。換言之,電漿預處理模組在高真空下運作;電鍍單元在大氣壓力下運作;而預潤濕模組在中間壓力下運作。在如此的例子之中,工具可加以配置,使得基板在不破壞真空的情況下直接自電漿預處理模組傳送至預潤濕模組。此配置可減少晶圓傳送通過工具所需要的時間。在若干如此實施例之中,獨立負載鎖係設置於預處理及預潤濕模組之間。無論預潤濕模組用作負載鎖或獨立負載鎖係設置於電漿模組與預潤濕模組之間,與其中預處理及預潤濕模組分離較遠的系統相比,此系統可具有相對較小的佔地面積。
圖4C顯示可用於電鍍Co、Ni、及其合金的單一電鍍單元401之示例。在某些實施例之中,電鍍單元401可用作圖4A之平臺451中之單元453之其中一者。添加至電解液的添加物(例如,促進劑、抑制劑、及/或平整劑)可能以不理想的方式與陽極反應。因此,電鍍單元之陽極及陰極區域有時藉由膜加以分離,使得不同成分之電鍍溶液可使用於各區域之中。在陰極區域中之電鍍溶液稱為陰極液;而在陽極區域中之電鍍溶液稱為陽極液。為了將陽極液及陰極液導入電鍍設備之中,可使用一些工程設計。
參考圖4C,顯示根據一實施例的電鍍單元401之示意剖面圖。電鍍浴403顯示為在水平405處。此容器之陰極液部分係設置用於將基板接收在陰極液中。晶圓407被浸入電鍍溶液之中,並且由例如安裝於可轉動心軸411上的「抓斗」基板固持器409予以固持,如此允許抓斗基板固持器409與晶圓407一起轉動。有著適合與此發明一起使用之態樣的抓斗型電鍍設備之通用敘述詳細敘述於授證予Patton等的美國專利第6156167號之中,以及授證予Reid等的美國專利第6800187號之中,藉由引用將其全部內容納入於此。
陽極413係設置於電鍍浴403內於晶圓下方,並且藉由如離子選擇膜的膜415而與晶圓區域分離。這些膜可能以離子聚合物材料所製成,如含磺基的全氟化共聚合物(例如,Nafion™)、磺化聚醯亞胺、及本領域中通常知識者所知悉適合用於陽離子交換的其他材料。適合的Nafion™膜之示例包含可取得自Dupont de Nemours Co.的N324及N424膜。在陽極膜下方的區域時常稱為「陽極腔」。離子選擇陽極膜415允許在電鍍單元之陽極及陰極區域之間的離子溝通,同時預防陽極處產生的粒子進入晶圓的附近且造成汙染。陽極膜可在電鍍製程期間分散電流,並且進而改善電鍍均勻度。適合的陽極膜之詳細敘述提供於授證予Reid等的美國專利第6146798及6569299號之中,藉由引用將此二者之全部內容納入於此。
在電鍍期間,來自電鍍溶液的離子被還原於基板之上。金屬離子必須擴散通過擴散邊界層並且進入TSV孔或其他特徵部之中。協助擴散的典型方式係藉著由泵417所提供的電鍍溶液之對流。再者,震動攪拌或音波震動件以及晶圓轉動可加以使用。舉例而言,震動傳感器408可附接於抓斗基板固持器409。
電鍍溶液係藉由泵417持續提供至電鍍浴403。在某些實施例之中,電鍍溶液向上流動經過陽極膜415及擴散板419至晶圓407之中心,並且接著徑向向外且橫跨晶圓407而流動。電鍍溶液亦可由電鍍浴403之側提供至浴之陽極區域之中。電鍍溶液接著溢出電鍍浴403至溢出貯存器421。電鍍溶液接著被過濾(未顯示)並且回到泵417,而完成電鍍溶液之再循環。在電鍍單元之某些配置之中,不同的電鍍溶液係循環經過其中含有陽極的電鍍單元之部分,同時使用微滲透膜或離子選擇膜預防與主電鍍溶液的混和。
參考電極431係置於獨立腔433中之電鍍浴403之外部,其中獨立腔433係藉由來自主要電鍍浴403的溢流予以補充。替代地,在若干實施例之中,參考電極係擺置靠近於基板表面,並且參考電極腔係藉由毛細管或另外的方法連接至晶圓基板之側部或直接至晶圓基板下方。參考電極431可能係各種普遍使用類型之其中一者,如汞/硫酸汞、氯化銀、飽和甘汞、或銅金屬。除了參考電極,在若干實施例之中亦可使用與晶圓407直接接觸的接觸感應導線,用於電位量測(未顯示)。在若干實施例之中,接觸感應導線連接至晶圓邊緣,並且配置以感測在晶圓之邊緣處的金屬種子層之電位,但不承載往晶圓的任何電流。
DC功率供給件435可用於控制往晶圓407的電流。功率供給件435具有經由一以上滑環、刷件及接點(未顯示)而電性連接至晶圓407的負輸出導線439。功率供給件435之正輸出導線441係電性連接至位在電鍍浴403中之陽極413。功率供給件435、參考電極431、及接觸感測導線(未顯示)可連接至系統控制器447,其允許(除了其他功能之外)供給至電鍍單元之元件的電流及電位之調整。舉例而言,控制器可允許在電位控制及/或電流控制區域中之電鍍。控制器可包含程式指令,其指定需要施加於電鍍單元之各種不同元件的電流及電壓準位、以及需要改變這些準位的時間。當施加正向電流時,功率供給件435施加偏壓於晶圓407以相對於陽極413呈負電位。這使得電流從陽極413流動至晶圓407,並且電化學還原反應發生於晶圓表面(陰極)之上,這造成在晶圓之表面上之電傳導層(例如,銅)之沉積。惰性或活性陽極414可安裝於電鍍浴403內之晶圓407之下,並且藉由膜415與晶圓區域分離。
設備可能亦包含用於將電鍍溶液之溫度維持於特定準位的加熱器445。電鍍溶液可用於將熱傳送至電鍍浴之其他元件。舉例而言,當晶圓407被裝載進入電鍍浴之中時,可將加熱器445及泵417啟動以將電鍍溶液循環通過電鍍單元401,直到設備整體的溫度變得基本上均勻。在一實施例之中,加熱器係連接至系統控制器447。系統控制器447可連接至熱電偶以接收在電鍍設備內之電鍍溶液溫度之反饋,並且決定額外加熱的需求。
控制器通常將包含一以上記憶裝置以及一以上處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制板等。在某些實施例之中,控制器控制電鍍設備之所有活動。含有用於根據目前實施例而控制製程作業之指令的非暫態機器可讀媒體可耦合至系統控制器。
在某些實施例之中,將有著關聯於系統控制器447的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件之圖像軟體顯示、及使用者輸入裝置,如指向裝置、鍵盤、觸控螢幕、麥克風等。用於控制電鍍製程的電腦程式碼可以任何習用的電腦可讀取程式語言編寫,例如:組合語言、C、C++、Pascal、Fortran或其他。編譯的目的碼或腳本係藉由處理器執行以實施程式中指定的任務。根據本文實施例的可使用的電鍍設備之其中一示例係Lam Reaserch Sabre工具。電沉積可實施於形成較大電沉積設備的構件之中。 系統控制器
在若干實施方式之中,一控制器係一系統的部份,如圖4A及/或圖4B之中顯示。舉例而言,系統可包含半導體處理設備,包含一或多個處理工具、一或多個腔室、一或多個用於處理的平臺、及/或特定處理構件(一晶圓固持器、一電解質再循環系統等等)。作為示例,參見圖4A之討論。這些系統可能與電子器件及/或邏輯件整合,以在半導體晶圓或基板的處理之前、期間、及之後控制它們的作業。該等電子器件及/或邏輯件可能被稱為「控制器」,可能控制一或多個系統的各種不同構件或子部份。取決於處理需求及/或系統類型,該控制器可能被程式設計以控制在此揭露的製程之任何一者,包含溫度設定(例如,加熱及/或冷卻)、壓力設定、電流及/或電位設定、流率設定、流體輸送設定、轉動速率設定、基板浸潤設定、位置及作業設定、晶圓傳送進入及離開一工具及其他傳送工具及/或連接至特定系統或與特定系統介接的負載鎖。
廣義而言,該控制器可能被定義為具有各種不同的積體電路、邏輯件、記憶體、及/或軟體的電子器件,其接收指令、發佈指令、控制作業、啟動電鍍溶液成分控制、啟動電鍍、及相似者。該等積體電路可能包含儲存程式指令的韌體形式的晶片、數位信號處理器(DSP)、被定義為特定應用積體電路(ASIC)的晶片、及/或一或多個微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可能是以各種不同的獨立設定(或程式檔案)的形式傳輸至該控制器的指令,定義在半導體晶圓之上或對半導體晶圓或對系統執行特定製程的作業參數。在若干實施例之中,該等作業參數可能係由製程工程師所定義的配方的部分,以在晶圓的一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間完成一或多個處理步驟。
在若干實施方式之中,該控制器可能係耦合至一電腦或該電腦的一部份,該電腦與該系統整合、耦合至該系統、以其他方式網路連線至該系統、或其中的組合。舉例而言,該控制器可能在「雲端」或者係晶圓廠主機電腦系統的全部或一部份,其可以允許晶圓處理的遠端存取。該電腦可能使得能夠遠端存取該系統以監控製造作業的目前進度、檢視過去製造作業的歷史紀錄、檢視來自複數製造作業的趨勢或績效指標、改變目前處理的參數、設定處理步驟以遵循目前的製程、或開始新的製程。在若干示例之中,一遠端電腦(例如,一伺服器)可藉由網路對一系統提供製程配方,該網路可能包含一區域網路或網際網路。該遠端電腦可能包含一使用者介面,允許參數及/或設定的輸入或程式設計,接著將參數及/或設定從遠端電腦傳輸至該系統。在若干示例之中,該控制器接收資料形式的指令,該指令對在一或多作業期間待實施的處理步驟每一者指定參數。該等參數可能特定於待實施的製程類型以及該控制器被配置以介接或控制的工具類型。因此如上所述,該控制器可能為分散式的,例如透過包含被網路連線在一起並朝著共同目的(例如,本文中所述的製程及控制)而運作的一或多個分離式控制器。用於如此目的的分散式控制器的一示例是一電鍍系統之上的一或多個積體電路與位於遠程(例如在平臺層級或作為一遠端電腦的部份)的一或多個積體電路進行通信,這些積體電路相結合以控制在該腔室之上的一製程。
不限於此,例示系統可能包含金屬電鍍單元或模組、旋洗腔或模組、斜邊蝕刻腔或模組、配置以在電鍍前化學還原種子或襯層的電漿預處理模組、用於在電鍍前潤濕特徵部的基板潤濕膜組、蝕刻腔或模組、沉積腔或模組、清潔腔或模組、物理氣相沉積(PVD)腔或模組、化學氣相沉積(CVD)腔或模組、原子層沉積(ALD)腔或模組、原子層蝕刻(ALE)腔或模組、光阻塗佈及/或圖案化模組、離子佈植腔或模組、軌道腔或模組、及可能關聯或使用在半導體晶圓的製造及/或生產的任何其他半導體處理系統。
取決於將藉由工具實施的一或多個處理步驟,該控制器可能與以下一者以上通訊:其他的工具電路或模組、其他工具構件、叢集工具、其他工具介面、鄰接工具、相鄰工具、位於整個工廠的工具、一主電腦、另一控制器、或用在材料傳送以將晶圓容器攜至及攜自在半導體製造工廠之中的工具位置及/或負載埠的工具。 示例
圖5-8繪示在設計TSV特徵部的鈷電鍍製程時獲得的資料。圖5提供在TSV特徵部(CD 100 nm、深度1000 nm)中之填充軌跡。在相同溶液配方下使用一系列定流填充步驟,以決定當開始填充特徵部時及當完成特徵部之填充時使用的電流密度。此資料顯示形成的接縫空隙。儘管如此,藉由對不同溶液配方實施這些類型的實驗,製程設計師可調整溶液成分及目前的製程窗口以最小化接縫及空隙。
繪示實施例顯示使用定流填充步驟的鈷填充進程:(A) 0.2 mA/cm2 , 1600s、(B) 0.4 mA/cm2 , 800s、 (C) 0.7 mA/cm2 , 450s、(D) 1 mA/cm2 , 300s。此測試系列顯示填充始於0.4 mA/cm2 並且終於1 mA/cm2 。接縫空隙係由特徵部C-E之中心處的線條表示。全部的圖示為實際特徵部填充資料之軌跡。
圖6繪示製程研發的另一方法。其涉及藉由添加劑濃度、pH值、質傳及波形勻變測試之疊代過程的製程窗口額外微調步驟。為了達成在深結構中之無空隙填充,需要足夠的場鈍化之平衡以預防上側壁沉積但在特徵部之中不過度鈍化而完全停止沉積。波形係勻變為較高電流以在填充前沿於特徵部向上移動且遇到使金屬沉積率減少的較高抑制劑濃度及較高氫離子濃度之時推動填充前沿。
在圖6之中,(A) 繪示有著清楚接縫空隙的未最適化波形或電鍍條件,(B) 繪示有著特徵部之部分的良好由下而上填充以及接著一接縫空隙的情形,(C) 顯示不產生空隙的電填製程窗口之結果。無空隙填充係藉由pH值、添加劑濃度、質傳(RPM)、及波形勻變之製程參數測試而獲得。這些圖示皆為實際特徵部填充資料之軌跡。
圖7提供進入高長寬比TSV特徵部的電填鈷之額外軌跡。其顯示理想的由下而上填充製程如何進行。此系列之圖示顯示勻變波形之延伸,以開始一平坦填充前沿、將其向特徵部上方推進、以及完成特徵部填充。在圖7之中,(A) 繪示一勻變,始於約0.5>0.7 mA/cm2 , 60s,(B) 繪示持續時間增加而在相同勻變率(0.5 – 1 mA/cm2 , 120s)下的勻變,(C) 繪示無空隙填充之完成,0.5 – 1.8 mA/cm2 , 350s,(D) 顯示電鍍至完全填充特徵部上方的額外覆蓋層。覆蓋層可能係藉由勻變電流或定電流波形予以增加。這些圖示皆係實際特徵部填充資料之軌跡。
覆蓋層係藉由持續勻變電流或改變為較高定電流密度加以沉積(D)。在覆蓋層沉積期間,因為在吸附抑制劑中之差異,顯著的形貌變化會隨著密集、隔離圖案、及未圖案化場之區域而發生。由增加平整化合物至電鍍溶液而觀察到的形貌改善之示例顯示於圖8之中。在圖8之中,沒有平整劑的覆蓋特徵部之形貌顯示於(A),而有平整劑者顯示於(B)。資料係由光學輪廓儀取得的高度輪廓。
圖9繪示電鍍製程設計,相似於以上繪示使用鈷的例子,但在此例子中使用鎳。鎳被電沉積進入高長寬比TSV之中。溶液條件及電流條件被調整以獲得進入相同結構中之無空隙Ni。在此例子之中,溶液具有以下成分:Ni離子25g/L;硼10g/L;pH 4.0。在此例子之中,電流勻變具有以下輪廓:在350秒的期間,0.5 → 1.75 mA/cm2。在電鍍期間,基板以50 RPM轉動。
圖10提供深TSV結構之真空預潤濕之影響之示例。在圖10之中,模板(A)顯示僅種晶的圖像,模板(B)顯示在沒有預潤濕處理的情況下僅在大TSV(6x60微米)之頂部發生的電鍍,以及模板(C)顯示可藉由在沉積之前使用預潤濕處理而延伸貫穿TSV的鈷電鍍。
在模板B之中,金屬沉積係觀察為僅在場以及結構之頂部側壁之上,因為特徵部之底部困住空氣,其不允許電鍍溶液進出特徵部及開始電鍍。在模板C之中,執行相同的電鍍製程,但在電鍍之前,樣本係放置於真空之下以排空空氣,並且接著該晶圓係以水之薄層加以塗佈。當樣本移動至電鍍單元時,該樣本維持黏著水的薄層且空氣不被困在特徵部之中。模板C顯示沿側壁一路電鍍至穿孔底部的Co電鍍。在各種不同實施例之中,真空預潤濕特徵部係與某些深特徵部一起使用。用於預潤濕晶圓的溶液可能亦含有抑制劑或潤濕劑以改善空氣間距及填充。用於預潤濕的溶液可能亦含有用於特定應用的若干促進劑或平整劑。
圖11繪示用於在較大TSV基板中之無空隙填充的製程調整。如果在場及上側壁上之Co之電鍍率不被添加抑制劑或由於與氫還原競爭(圖1)的低電流效率充分地減慢,則特徵部將過於快速地電鍍於特徵部之中。如模板A中顯示,這導致底部空洞。在此系列(模板B)之圖像之中,藉由降低溶液之pH值而移除底部空隙,以將更多H+ 離子供給至系統之中。額外H+ 離子係藉由系統中之電鍍電流優先還原,並且降低Co2+ 還原的電流效率。一旦深TSV中之H+ 耗盡,則僅留下的離子係Co2+ ,並且其開始沉積於特徵部之底部。在特徵部中之更上方處,自主體溶液進入穿孔的H+ 之質傳維持高H+濃度及低Co2+ 還原率。在模板C之中,在如模板B中使用的相同溶液之中,波形被稍微調整,以推進填充朝特徵部上方。圖11繪示相似於為了較小TSV(圖5-9)加以敘述的調整製程可應用於大TSV特徵部。
在圖11之中,模板(A)繪示在特徵部之頂部以過快的Co沉積填充而導致夾斷空隙的TSV特徵部。此結果指出對底部處沉積率較快及在頂部處沉積率較慢的需求。為了達成此需求,抑制劑濃度、pH值、質傳、及電鍍電流可加以調整。在模板(B)之中,TSV特徵部之底部已藉由改善製程加以填充。為了完成電填,電鍍可加以調整,以避免在特徵部頂部的夾斷空隙。模板(C)繪示完全填充的6x60微米特徵部。 結論
儘管上述實施例已為了清晰理解為目的而在若干細節中敘述,但將顯而易見的是某些改變及修改可在附隨請求項之範圍內實施。本文揭露實施例可在沒有這些特定細節之若干或全部者的情況下實施。在其他實例之中,周知的製程作業未詳細敘述,以免不必要地模糊揭露實施例。再者,儘管揭露實施例將結合特定實施例加以敘述,應理解到特定實施例不旨在限制揭露實施例。應注意到,存在實作本實施例之製程、系統、及設備的許多替代方式。因此,本實施例應理解為示意且非限制性的,並且實施例不應限制於本文給定的細節。
103:凹入特徵部 105:場 107:上側壁 109:有機添加物 111:鈷沉積 401:電鍍單元 403:電鍍浴 405:水平 407:晶圓 408:震動傳感器 409:抓斗基板固持器 411:轉動心軸 413:陽極 414:陽極 415:膜 417:泵 419:擴散板 421:溢出貯存器 431:參考電極 433:獨立腔 435:功率供給件 439:負輸出導線 441:正輸出導線 445:加熱器 447:系統控制器 451:平臺 453:電鍍單元 455:後電鍍模組 457:搬運器 459:FOUP 461:搬運器 463:電漿預處理模組 465:預潤濕預處理模組 467:對準器 468:搬運器 469:退火腔
圖1係用於在基板中之特徵部之由下而上電填的機制之草圖示意圖。
圖2係極化圖,繪示藉由增加相對電鍍溶液的抑制劑之數量的金屬沉積之抑制。
圖3係繪示在將鈷、鎳、及/或合金電鍍進入基板之特徵部中之前、期間、及之後可能實施的各種不同作業的流程圖。
圖4A及4B顯示在其上至少可運行所揭露製程之若干者的例示硬體平臺。
圖4C係顯示電鍍單元之通常示例的方塊圖。
圖5-8繪示在設計用於TSV特徵部(CD 100 nm、深度1000 nm)的鈷電鍍製程時獲得的特徵部填充輪廓。
圖9顯示在設計用於TSV特徵部的鎳電鍍製程時獲得的示意特徵部填充輪廓。
圖10提供說明深TSV結構之真空預潤濕之影響的示例。
圖11繪示為了在較大TSV結構(6x60微米特徵部)中之無空隙填充而調整的製程。
103:凹入特徵部
105:場
107:上側壁
109:有機添加物
111:鈷沉積

Claims (36)

  1. 一種在電子裝置中形成內連線的方法,該方法包含: (a)   將包含部份或完全製造之一積體電路的一基板與pH值為約2至約5的水性電鍍溶液接觸,且該水性電鍍溶液包含: (i)          濃度為約20至約80 g/L的鎳離子,及/或濃度為約10至約40 g/L的鈷離子;以及 (ii)        一抑制劑,其中該基板包含有著直徑約為0.005-6微米及特徵深度約為0.05-10微米的特徵部;及 (iii)      控制往該基板的電流及/或電壓,從而藉由由下而上填充機制,將來自該電鍍溶液的鎳及/或鈷電鍍進入該等特徵部之中。
  2. 如請求項1之在電子裝置中形成內連線的方法,其中該等特徵部有著約1000 nm至約2000 nm的深度以及約50 nm至約150 nm的開口直徑或寬度。
  3. 如請求項1之在電子裝置中形成內連線的方法,其中該等特徵部係微矽穿孔(TSV)特徵部。
  4. 如請求項2之在電子裝置中形成內連線的方法,其中將鎳及/或鈷電鍍進入該一以上特徵部之中的步驟,在該基板之第一側上之第一電子裝置與該基板之第二側上之第二電子裝置之間產生一以上內連線。
  5. 如請求項1之在電子裝置中形成內連線的方法,其中該等特徵部具有約50 nm至約500 nm的深度,以及約5 nm至約20 nm的開口直徑或寬度。
  6. 如請求項1之在電子裝置中形成內連線的方法,其中將鎳及/或鈷電鍍進入該一以上特徵部之中的步驟,直接對在該基板上之第一電子裝置產生一以上電性接點。
  7. 如請求項6之在電子裝置中形成內連線的方法,其中該一以上電性接點接觸一以上3D NAND裝置。
  8. 如請求項1之在電子裝置中形成內連線的方法,其中該水性電鍍溶液不包含促進劑或平整劑。
  9. 如請求項1之在電子裝置中形成內連線的方法,其中該水性電鍍溶液更包含一促進劑及/或一平整劑。
  10. 如請求項1之在電子裝置中形成內連線的方法,其中該水性電鍍溶液更包含硼酸。
  11. 如請求項1之在電子裝置中形成內連線的方法,其中該水性電鍍溶液更包含不同於鈷或鎳的金屬離子,並且其中控制往該基板的該電流及/或電壓的步驟將來自該電鍍溶液的鎳合金或鈷合金電鍍至該等特徵部之中。
  12. 如請求項11之在電子裝置中形成內連線的方法,其中該不同於鈷或鎳的金屬係選擇自以Cu、Ag、Au、Mn、Fe、Cr、Ru、Mo、Ir、Re、Pd、W、Mo、及Pt組成的群組。
  13. 如請求項11之在電子裝置中形成內連線的方法,其中該不同於鈷或鎳的金屬係W或Mo。
  14. 如請求項1之在電子裝置中形成內連線的方法,其中該水性電鍍溶液更包含濃度為約0.1至約30 g/L的Mo離子及/或W離子。
  15. 如請求項11之在電子裝置中形成內連線的方法,其中該水性電鍍溶液更包含一錯合劑,該錯合劑使鎳離子、鈷離子、或該不同於鈷或鎳的金屬離子錯合。
  16. 如請求項1之在電子裝置中形成內連線的方法,其中控制往該基板的一電流及/或電壓的步驟,包含在電鍍來自該電鍍溶液的鎳及/或鈷的步驟時的一時段期間增加該電流。
  17. 如請求項16之在電子裝置中形成內連線的方法,其中增加該電流的步驟包含勻變該電流。
  18. 如請求項1之在電子裝置中形成內連線的方法,更包含:在電鍍鎳及/或鈷的步驟之前,使用一電漿預處理該基板,以還原在該一以上特徵部中之一傳導層上之金屬氧化物。
  19. 如請求項1之在電子裝置中形成內連線的方法,更包含:在電鍍鎳及/或鈷的步驟之前,在減少的壓力下,使用潤濕該等特徵部的一潤濕溶液預潤濕該基板。
  20. 如請求項1之在電子裝置中形成內連線的方法,更包含:在電鍍鎳及/或鈷之後,退火該基板。
  21. 如請求項1之在電子裝置中形成內連線的方法,其中該抑制劑係選自以醚、酯、二醇、噻唑、吡啶、高分子化合物、及其任何組合所組成的群組。
  22. 如請求項1之在電子裝置中形成內連線的方法,其中該水性電鍍溶液更包含一平整劑,該平整劑選自以烷基胺、芳胺、芳香氮雜環、苯並噻唑、環狀醯亞胺、苯甲酸、環氧化物、高分子化合物、及其任何組合所組成的群組。
  23. 如請求項1之在電子裝置中形成內連線的方法,其中該水性電鍍溶液更包含一促進劑,該促進劑選自以磺酸酯、磺酸鹽、巰基化合物、三唑化合物、及其任何組合所組成的群組。
  24. 一種用於處理基板的設備,該設備包含: (b)  一以上電鍍單元; (c)   一以上後電填模組; (d)  一電漿預處理模組; (e)   一預潤濕模組; (f)    一以上基板傳送搬運器;及 (g)  一控制器,配置以令該一以上基板傳送搬運器,藉由傳送第一基板至模組(b)、(c)、及(d)之每一者而處理該等第一基板,以及在第二基板係在該設備內時的整個時段的期間不將該等第二基板傳送至模組(b)、(c)、及(d)之至少一者的情況下處理該等第二基板。
  25. 如請求項24之用於處理基板的設備,更包含一框架或機殼,其包圍該一以上電鍍單元、該一以上後電填模組、該預潤濕模組、該電漿預處理模組、及該基板傳送搬運器。
  26. 如請求項24之用於處理基板的設備,更包含一退火腔,配置以在該一以上電鍍單元中之電鍍步驟之後加熱該基板。
  27. 如請求項24之用於處理基板的設備,其中該預潤濕模組及該電漿預處理模組係在共同真空環境之中。
  28. 如請求項24之用於處理基板的設備,更包含一負載鎖,並且其中該預潤濕模組及該預處理模組係由該負載鎖加以連接。
  29. 如請求項24之用於處理基板的設備,其中該控制器係進一步配置以令該設備: (i)          在將第一基板傳送至該一以上電鍍單元之第一者之前,藉由將該第一基板傳送至該電漿預處理模組及該預潤濕模組而處理該第一基板;以及 (ii)        在將第二基板傳送至該一以上電鍍單元之該第一者之前,在不將該第二基板傳送至該電漿預處理模組的情況下,藉由將該第二基板傳送至該預潤濕模組而處理該第二基板。
  30. 如請求項29之用於處理基板的設備,其中該控制器更配置以令該設備: (iii)      在不預先將第三基板傳送至該預潤濕模組或至該電漿預處理模組的情況下,藉由將該第三基板傳送至該一以上電鍍單元之該第一者而處理該第三基板。
  31. 如請求項24之用於處理基板的設備,更包含一電功率供給件,配置以控制施加於在該一以上電鍍單元中之基板的電流及/或電壓。
  32. 如請求項31之用於處理基板的設備,其中該控制器係配置以在電鍍該一以上電鍍單元之第一者的期間勻變電流。
  33. 一種在電子裝置之中形成內連線的方法,該方法包含: (h)  將包含部份或完全製造的一積體電路的基板與有著酸性pH值的水性電鍍溶液接觸,且該水性電鍍溶液包含: (i)          濃度為至少約20 g/L的鎳離子及/或濃度為至少約10 g/L的鈷離子,以及 (ii)        一抑制劑, a.         其中該基板包含凹入特徵部;以及 b.        對朝向該基板的一電流及/或電壓進行控制,從而藉著一由下而上填充機制,將來自該電鍍溶液的鎳及/或鈷電鍍進入該等特徵部之中。
  34. 如請求項33之在電子裝置之中形成內連線的方法,其中該等特徵部係微TSV特徵部。
  35. 如請求項33之在電子裝置之中形成內連線的方法,其中將鎳及/或鈷電鍍進入該一以上特徵部之中的步驟,直接對該基板上之第一電子裝置產生一以上電性接點。
  36. 如請求項35之在電子裝置之中形成內連線的方法,其中該一以上電性接點接觸一以上3D NAND裝置。
TW110116169A 2020-05-08 2021-05-05 電鍍鈷、鎳、及其合金 TW202208692A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062704407P 2020-05-08 2020-05-08
US62/704,407 2020-05-08
US202062706765P 2020-09-09 2020-09-09
US62/706,765 2020-09-09

Publications (1)

Publication Number Publication Date
TW202208692A true TW202208692A (zh) 2022-03-01

Family

ID=78468319

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110116169A TW202208692A (zh) 2020-05-08 2021-05-05 電鍍鈷、鎳、及其合金

Country Status (6)

Country Link
US (1) US20230178430A1 (zh)
JP (1) JP2023524809A (zh)
KR (1) KR20230008822A (zh)
CN (1) CN115867695A (zh)
TW (1) TW202208692A (zh)
WO (1) WO2021225827A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113430594B (zh) * 2021-05-31 2022-04-01 季华实验室 一种含氮小分子的应用及电镀液

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
EP2671969A1 (en) * 2012-06-04 2013-12-11 ATOTECH Deutschland GmbH Plating bath for electroless deposition of nickel layers
US9496145B2 (en) * 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
US9777386B2 (en) * 2015-03-19 2017-10-03 Lam Research Corporation Chemistry additives and process for cobalt film electrodeposition
CN113215626A (zh) * 2015-06-30 2021-08-06 麦德美乐思公司 微电子电路中的互连部的钴填充

Also Published As

Publication number Publication date
CN115867695A (zh) 2023-03-28
JP2023524809A (ja) 2023-06-13
KR20230008822A (ko) 2023-01-16
US20230178430A1 (en) 2023-06-08
WO2021225827A1 (en) 2021-11-11

Similar Documents

Publication Publication Date Title
KR102546220B1 (ko) 코발트 막 전착을 위한 화학 첨가제들 및 프로세스
KR102439386B1 (ko) 희생적 산화제들을 사용하여 코발트 전기충진을 최적화하는 프로세스
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
KR102249530B1 (ko) 충진 및 디펙트 제어를 위한 저 구리 전기도금 용액들
TWI697589B (zh) 鑲嵌特徵中之由下而上填充
US12012667B2 (en) Copper electrofill on non-copper liner layers
US20160102416A1 (en) Low copper/high halide electroplating solutions for fill and defect control
TW202208692A (zh) 電鍍鈷、鎳、及其合金
TWI802603B (zh) 單一金屬之多浴電鍍
US20230026818A1 (en) Tsv process window and fill performance enhancement by long pulsing and ramping
US20220102209A1 (en) Electrodeposition of cobalt tungsten films
US20230227992A1 (en) Electrofill from alkaline electroplating solutions