TW202205395A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202205395A
TW202205395A TW110109139A TW110109139A TW202205395A TW 202205395 A TW202205395 A TW 202205395A TW 110109139 A TW110109139 A TW 110109139A TW 110109139 A TW110109139 A TW 110109139A TW 202205395 A TW202205395 A TW 202205395A
Authority
TW
Taiwan
Prior art keywords
aluminum
work function
function layer
layer
atomic percent
Prior art date
Application number
TW110109139A
Other languages
English (en)
Other versions
TWI785537B (zh
Inventor
李欣怡
洪正隆
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202205395A publication Critical patent/TW202205395A/zh
Application granted granted Critical
Publication of TWI785537B publication Critical patent/TWI785537B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Bipolar Transistors (AREA)

Abstract

一種半導體裝置的形成方法包括:在半導體區上形成閘極介電層;以及使用第一含鋁前驅物沈積第一含鋁功函數層。第一含鋁功函數層在閘極介電層之上。第二含鋁功函數層使用與第一含鋁前驅物不同的第二含鋁前驅物進行沈積。第二含鋁功函數層沈積在第一含鋁功函數層之上。導電區在第二含鋁功函數層之上形成。

Description

使用相同功函數材料形成的複合功函數層
金屬氧化物半導體(Metal-Oxide-Semiconductor; MOS)裝置通常包括金屬閘極,形成此金屬閘極是為了解決習知的多晶矽閘極中的多晶矽閘極空乏效應。當所施加的電場從靠近閘極介電質的閘極區掃走載流子,從而形成空乏層時,發生多晶矽閘極空乏效應(depletion effect)。在n摻雜的多晶矽層中,空乏層包括電離的非移動供體位置,其中在p摻雜的多晶矽層中,空乏層包括電離的非移動受體位置。空乏效應導致有效閘極介電質厚度的增加,使得更難以在半導體表面處產生反轉層。
金屬閘極可包括複數個層,使得可滿足NMOS裝置以及PMOS裝置的不同要求。金屬閘極的形成通常涉及:移除虛擬閘極堆疊以形成溝槽,沈積延伸到溝槽中的複數個金屬層,形成金屬區以填充溝槽的其餘部分,然後執行化學機械研磨(Chemical Mechanical Polish; CMP)製程以移除金屬層的多餘部分。金屬層以及金屬區的其餘部分形成金屬閘極。
金屬閘極包括功函數層。習知地,n型MOS裝置的功函數層由TiAlC形成,其可使用TiCl4 以及三乙基鋁(triethylaluminum ; TEA)形成。由於沈積速率的限制,難以生產具有受控厚度(例如,厚度為10埃或更小)的超薄功函數層。
以下揭露提供了用於實現本揭露之實施方式的不同特徵的許多不同的實施例或實例。下面描述組件以及配置的特定實例以簡化本揭露之實施方式。當然,這些僅是實例,且不旨在進行限制。例如,在下面的描述中,在第二特徵的上面或在第二特徵上的第一特徵的形成可包括其中第一特徵以及第二特徵直接接觸形成的實施例,且進一步可包括其中另外的特徵在第一特徵與第二特徵之間形成使得第一特徵以及第二特徵可不直接接觸的實施例。此外,本揭露之實施方式可在各種實例中重複附圖標記及/或字母。此重複是出於簡單以及清楚的目的,且此重複本身不指示所討論的各種實施例及/或組態之間的關係。
此外,為了便於描述,本文可使用空間相對術語,諸如「在……下面」、「在……下方」、「下部」、「在……上面」、「上部」以及類似術語來描述圖中所例示的一個元件或特徵與另一或多個元件或一或多個特徵的關係。除了在圖中描繪的取向以外,空間相對術語還旨在涵蓋裝置在使用或作業中的不同取向。設備可以其他方式(旋轉90度或以其他取向)定向,且本文中使用的空間相對描述符可同樣相應地解釋。
根據各種實施例,提供了形成用於電晶體的功函數層的方法。根據本揭露的一些實施例,功函數層的形成包括兩個或更多個沈積製程,其中不同的前驅物用於形成相同的功函數材料(具有不同的組成)。原子層沈積(Atomic Layer Deposition; ALD)可用於形成功函數層。功函數層的一個或多個下層可使用可導致較大的每循環厚度及/或較高的鋁百分比的前驅物形成,且一個或多個上層可使用可導致較小的每循環厚度及/或較低的鋁百分比的前驅物形成。因此,期望在一個或多個下層中存在更多的鋁,且對功函數層的厚度進行良好的控制。根據一些實施例,例示形成電晶體的中間階段。討論了一些實施例的一些變型。在整個各種視圖以及說明性實施例中,相似的附圖標號用於指示相似的元件。根據一些實施例,鰭式場效電晶體(FinFET)的形成將用作實例以解釋本揭露之實施方式的概念。其他類型的電晶體以及裝置,諸如平面電晶體以及閘極全環繞(Gate-All-Around; GAA)電晶體亦可採用本揭露之實施方式的概念。此外,TiAlC用作功函數層的實例,同時亦可採用本揭露之實施方式的概念來形成包含其他材料的功函數層。
第1圖至第6圖、第7A圖、第7B圖、第8圖至第16圖、第17A圖以及第17B圖例示根據本揭露的一些實施例的形成鰭式場效電晶體(FinFET)的中間階段的剖視圖以及透視圖。這些圖中所示的製程亦示意性地反映在如第21圖所示的製程流程400中。
在第1圖中,提供了基板20。基板20可以是摻雜或不摻雜(例如,p型或n型摻雜劑)的半導體基板,諸如塊狀(bulk)半導體基板、絕緣體上半導體(Semiconductor-On-Insulator; SOI)基板或類似基板。半導體基板20可以是晶圓10的一部分,諸如矽晶圓。通常,SOI基板是在絕緣體層上形成的半導體材料層。絕緣體層可以是例如,埋入式氧化物(Buried Oxide; BOX)層、氧化矽層或類似層。絕緣體層設置在通常為矽基板或玻璃基板的基板上。亦可使用其他基板,諸如多層或梯度基板。在一些實施例中,半導體基板20的半導體材料可包括矽;鍺;包括碳摻雜的矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP的合金半導體;或其組合。
進一步參考第1圖,井區22形成在基板20中。在第21圖所示的製程流程400中,相應製程被例示為製程402。根據本揭露的一些實施例,井區22是通過將n型雜質(可以是磷、砷、銻或類似雜質)注入到基板20中而形成的n型井區。根據本揭露的其他實施例,井區22是通過將p型雜質(可以是硼、銦或類似雜質)注入到基板20中而形成的p型井區。所得的井區22可延伸到基板20的頂表面。n型或p型雜質濃度可等於或小於1018 cm-3 ,諸如在約1017 cm-3 與約1018 cm-3 之間的範圍內。
參考第2圖,隔離區24形成為從基板20的頂表面延伸到基板20中。在下文中,隔離區24替代地稱為淺溝槽隔離(Shallow Trench Isolation; STI)區。在第21圖所示的製程流程400中,相應製程被例示為製程404。基板20在相鄰的溝槽隔離區24之間的部分稱為半導體條帶26。為了形成溝槽隔離區24,在半導體基板20上形成墊氧化物層28以及硬遮罩層30,然後對其進行圖案化。墊氧化物層28可以是由氧化矽形成的薄膜。根據本揭露的一些實施例,在熱氧化製程中形成墊氧化物層28,其中半導體基板20的頂表面層被氧化。墊氧化物層28用作半導體基板20與硬遮罩層30之間的黏合層。墊氧化物層28亦可用作用於蝕刻硬遮罩層30的蝕刻終止層。根據本揭露的一些實施例,硬遮罩層30由氮化矽例如使用低壓化學氣相沈積(Low-Pressure Chemical Vapor Deposition; LPCVD)形成。在硬遮罩層30上形成光阻(未示出),然後對光阻進行圖案化。然後使用圖案化的光阻作為蝕刻遮罩對硬遮罩層30進行圖案化,以形成如第2圖所示的硬遮罩30。
接下來,將圖案化的硬遮罩層30用作蝕刻遮罩以蝕刻墊氧化物層28以及基板20,隨後用一或多個介電材料填充基板20中的所得溝槽。執行諸如化學機械研磨(Chemical Mechanical Polish; CMP)製程或機械磨削製程的平坦化製程以移除介電材料的多餘部分,且一或多個介電材料的其餘部分為溝槽隔離區24。溝槽隔離區24可包括襯墊介電質(未示出),此襯墊介電質可以是通過對基板20的表面層進行熱氧化而形成的熱氧化物。襯墊介電質亦可以是使用例如原子層沈積(Atomic Layer Deposition; ALD)、高密度電漿化學氣相沈積(High-Density Plasma Chemical Vapor Deposition; HDPCVD)或化學氣相沈積(Chemical Vapor Deposition; CVD)形成的沈積的氧化矽層、氮化矽層或類似層。溝槽隔離區24亦可包括在襯墊氧化物之上的介電材料,其中介電材料可使用可流動化學氣相沈積(Flowable Chemical Vapor Deposition; FCVD)、旋塗(spin-on coating)或類似製程形成。根據一些實施例,在襯墊介電質之上的介電質材料可包括氧化矽。
硬遮罩30的頂表面以及溝槽隔離區24的頂表面可基本上彼此齊平。半導體條帶26在相鄰的溝槽隔離區24之間。根據本揭露的一些實施例,半導體條帶26是原始基板20的一部分,且因此半導體條帶26的材料與基板20的材料相同。根據本揭露的替代實施例,半導體條帶26是藉由蝕刻溝槽隔離區24之間的基板20的部分以形成凹部且執行磊晶以在凹部中再生另一半導體材料而形成的替換條帶。因此,半導體條帶26由與基板20不同的半導體材料形成。根據一些實施例,半導體條帶26由矽鍺、矽碳或III-V族化合物半導體材料形成。
參考第3圖,溝槽隔離區24是凹入的,使得半導體條帶26的頂部部分凸出得比溝槽隔離區24的其餘部分的頂部表面24A高,以形成凸出的鰭36。在第21圖所示的製程流程400中,相應製程被例示為製程406。蝕刻可使用乾燥蝕刻製程來執行,其中例如NF3 以及NH3 被用作蝕刻氣體。在蝕刻製程期間,可產生電漿。亦可包括氬氣。根據本揭露的替代實施例,溝槽隔離區24的凹入使用濕蝕刻製程來執行。蝕刻化學品可包括例如HF。
在以上例示的實施例中,鰭可藉由任何合適的方法來圖案化。例如,可使用一種或多種光刻製程(包括雙圖案化或多圖案化製程)來圖案化鰭。通常,雙圖案化或多圖案化製程將光刻以及自對準製程相結合,從而允許產生具有例如節距小於使用單個直接光刻製程以其他方式可獲得的節距的圖案。例如,在一個實施例中,犧牲層形成在基板之上且使用光刻製程來圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,且然後其餘的間隔物或心軸可被用來圖案化鰭。
參考第4圖,虛擬閘極堆疊38形成為在(凸出的)鰭36的頂表面以及側壁上延伸。在第21圖所示的製程流程400中,相應製程被例示為製程408。虛擬閘極堆疊38可包括虛擬閘極介電質40 (第7B圖)以及在虛擬閘極介電質40之上的虛擬閘極電極42。虛擬閘極電極42可例如使用多晶矽或非晶矽形成,且亦可使用其他材料。每個虛擬閘極堆疊38可進一步包括在虛擬閘極電極42之上的一個(或複數個)硬遮罩層44。硬遮罩層44可由氮化矽、氧化矽、碳氮化矽或其多層形成。虛擬閘極堆疊38可橫跨單一個或複數個凸出鰭36及/或溝槽隔離區24。虛擬閘極堆疊38亦具有與凸出鰭36的縱長方向垂直的縱長方向。
接下來,在虛擬閘極層38的側壁上形成閘極間隔物46。在第21圖所示的製程流程400中,相應製程亦被示出為製程408。根據本揭露的一些實施例,閘極間隔物46由諸如氮化矽、碳氮化矽或類似者的一或多個介電材料形成,且可具有單層結構或包括複數個介電層的多層結構。
然後執行蝕刻製程以蝕刻未被虛擬閘極堆疊38以及閘極間隔物46覆蓋的凸出鰭36的部分,從而得到第5圖所示的結構。在第21圖所示的製程流程400中,相應製程被例示為製程410。凹入可以是異向性的,因此直接位在虛擬閘極堆疊38以及閘極間隔物46下面的鰭36的部分受到保護,且未被蝕刻。根據一些實施例,凹入的半導體條帶26的頂部表面可低於溝槽隔離區24的頂部表面24A。相應地形成凹部50。凹部50包含位於虛擬閘極堆疊38的相對側上的部分以及在凸出鰭36的其餘部分之間的部分。
接下來,藉由在凹部50中選擇性地生長(通過磊晶)半導體材料來形成磊晶區(源極/汲極區) 52,從而得到第6圖中的結構。在第21圖所示的製程流程400中,相應製程被例示為製程412。根據所得的FinFET是p型FinFET還是n型FinFET,隨著磊晶的進行,可原位摻雜p型或n型雜質。例如,當所得的FinFET是p型FinFET時,可生長矽鍺硼(SiGeB)、矽硼(SiB)或類似者。相反,當所得的FinFET是n型FinFET時,可生長矽磷(SiP)、矽碳磷(SiCP)或類似者。在凹部50中填充磊晶區52之後,磊晶區52的進一步磊晶生長致使磊晶區52水平擴展,且可形成刻面(facets)。磊晶區52的進一步生長亦可致使相鄰的磊晶區52彼此合併。會產生空隙(air gap) 53。
在磊晶製程之後,可用p型或n型雜質進一步植入磊晶區52以形成源極區以及汲極區,其亦用附圖標記52表示。根據本揭露的替代實施例,當在磊晶期間磊晶區52原位摻雜有p型或n型雜質時,跳過植入製程。
第7A圖例示在形成接觸蝕刻終止層(Contact Etch Stop Layer; CESL)58以及層間介電質(Inter-Layer Dielectric; ILD)60之後的結構的透視圖。在第21圖所示的製程流程400中,相應製程被例示為製程414。接觸蝕刻終止層58可由氧化矽、氮化矽、碳氮化矽或類似者形成,且可使用CVD、ALD或類似製程形成。層間介電質60可包括使用例如FCVD、旋塗、CVD或另一種沈積方法形成的介電材料。層間介電質60可由含氧的介電材料形成,此含氧的介電材料可以是使用四乙基正矽酸鹽(Tetra Ethyl Ortho Silicate; TEOS)作為前驅物形成的基於氧化矽的材料、磷矽玻璃(Phospho-Silicate Glass; PSG)、硼矽玻璃(Boro-Silicate Glass; BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass; BPSG)或類似材料。可執行諸如CMP製程或機械磨削製程的平坦化製程以使層間介電質60、虛擬閘極堆疊38以及閘極間隔物46的頂表面彼此齊平。
第7B圖例示形成n型FinFET以及p型FinFET的中間結構的剖視圖。n型FinFET以及p型FinFET的剖視圖中的任一者可對應於從包含第7A圖中的線7B-7B的豎直平面獲得的剖視圖。n型FinFET在裝置區100N中形成,且p型FinFET在裝置區200P中形成。為了將N型FinFET中的特徵與p型FinFET中的特徵區分開,N型FinFET中的特徵可使用第7A圖中對應特徵的附圖標記加上數字100表示,而p型FinFET可使用第7A圖中的對應特徵的附圖標記加上數字200來表示。例如,第7B圖中的源極/汲極區152、252對應於第7A圖中的源極/汲極區52,且第7B圖中的閘極間隔物146以及246對應於第7A圖中的閘極間隔物46。n型FinFET以及p型FinFET中的對應特徵可以常規製程形成。
在形成第7A圖以及第7B圖所示的結構之後,包括硬遮罩層44、虛擬閘極電極42以及虛擬閘極介電質40的虛擬閘極堆疊被金屬閘極以及替換閘極介電質代替,如第8圖至第16圖中所示的製程所示。在第8圖至第16圖中,例示溝槽隔離區24的頂部表面124A、224A,且半導體鰭136、236分別高於頂部表面124A、224A凸出。
為了形成替換閘極,移除如第7A圖以及第7B圖所示的硬遮罩層44、虛擬閘極電極42以及虛擬閘極介電質40,從而形成如第8圖所示的溝槽162、262。在第21圖所示的製程流程400中,相應製程被例示為製程416。凸出鰭136、236的頂表面以及側壁分別暴露於溝槽162、262。
接下來,參考第9圖,形成閘極介電質168以及268,其分別延伸到溝槽162、262中。在第21圖所示的製程流程400中,相應製程被例示為製程418。根據本揭露的一些實施例,閘極介電質包括界面層(Interfacial Layer; IL) 164、264,界面層164、264分別形成在凸出鰭136、236的暴露表面上。界面層164、264可包括諸如氧化矽層的氧化物層,此氧化物層通過凸出鰭136、236的熱氧化、化學氧化製程或沈積製程而形成。閘極介電質進一步可包括在對應的界面層164、264之上的高k介電層166、266。高k介電層166、266可由高k介電質材料(諸如氧化鉿、氧化鑭、氧化鋁、氧化鋯或類似材料)形成。高k介電材料的介電常數(k值)高於3.9,且可高於約7.0或更高。高k介電層166、266形成為保形層,且分別在凸出鰭136、236的側壁以及閘極間隔物146、246的頂表面以及側壁上延伸。根據本揭露的一些實施例,高k介電層166、266使用ALD或CVD形成。
進一步參考第10圖,沈積p型功函數層169、269。在第21圖所示的製程流程400中,相應製程被例示為製程420。P型功函數層169、269通過沈積形成,且同時沈積。可使用諸如ALD或CVD的保形沈積方法來執行沈積,使得p型功函數層269的水平部分的水平厚度以及豎直部分的豎直厚度彼此相等或基本相等,例如,差異小於約10%。根據本揭露的一些實施例,p型功函數層169、269分別延伸到溝槽162、262中,且包括層間介電質60之上的一些部分。
P型功函數層169、269可由諸如TiN、TaN、TiAlN、WCN、MOCN或其組合的p型功函數材料形成。根據本揭露的一些實施例,p型功函數層169、269中的每一者是由諸如TiN的均質材料或上述其他材料形成的單層。
參考第11圖,在p型功函數層169、269上形成蝕刻遮罩70。蝕刻遮罩70可包括底部抗反射塗層(Bottom Anti-Reflective Coating; BARC) 70A以及在底部抗反射塗層70A之上的光阻70B。在第21圖所示的製程流程400中,相應製程被例示為製程422。根據本揭露的一些實施例,底部抗反射塗層70A由交聯的光阻形成。接下來,施加光阻70B且對其圖案化,使得移除裝置區100N中的光阻70B的一部分,且保留裝置區200P中的光阻70B的一部分。
根據一些實施例,通過使用微影術遮罩(未示出)曝光對光阻70B進行圖案化,隨後進行顯影製程以移除裝置區100N中的光阻70B的一部分。然後在蝕刻製程中移除裝置區100N中的底部抗反射塗層70A的部分,使得暴露p型功函數層169。
然後執行蝕刻製程71以蝕刻p型功函數層169。因此,顯露了高k介電層166。在第21圖所示的製程流程400中,相應製程被例示為製程424。所得結構在第12圖中示出。光阻及/或底部抗反射塗層70A用作蝕刻遮罩,以在蝕刻製程期間保護p型功函數層269。根據本揭露的一些實施例,通過濕蝕刻執行蝕刻製程。例如,當p型功函數層169由TiN形成時,蝕刻化學品可包括包括氨(NH3 )、過氧化氫(H2 O2 )以及水的化學溶液。根據替代實施例,可使用乾刻製程。在蝕刻製程之後,移除蝕刻遮罩70,且暴露p型功函數層269,如第13圖所示。在第21圖所示的製程流程400中,相應製程被例示為製程426。
第14圖例示在常規沈積製程中的n型功函數層172A、272A的沈積。在整個說明書中,將n型功函數層172A、272A的沈積製程稱為功函數層的第一沈積製程。在第21圖所示的製程流程400中,相應製程被例示為製程428。使用第一前驅物執行n型功函數層172A、272A的沈積。根據一些實施例,n型功函數層172A、272A的沈積使用ALD製程或CVD製程執行。第一前驅物可包括含金屬前驅物以及含鋁前驅物。含金屬前驅物可包括TiCl4 、TaCl5 或類似前驅物。含鋁前驅物可包括三乙基鋁(TEA)以及三叔丁基鋁(TTBA)中的一者,但不兩者都包括。根據含金屬前驅物,所得的n型功函數層172A、272A是TiAlC層或TaAlC層。當採用ALD時,ALD循環包括脈衝含金屬前驅物,清洗含金屬前驅物,脈衝含鋁前驅物以及清洗含鋁前驅物。n型功函數層172A、272A的沈積可僅包括單個ALD循環,或複數個ALD循環。
根據一些實施例,ALD製程在約300℃與約500℃之間的溫度下執行,前驅物的壓力可在約0.5托與約40托的範圍內。ALD製程的沈積速率,即每個ALD循環的沈積厚度(以下稱為每循環厚度)可在約2埃與約10埃之間的範圍內。沈積速率受各種因素影響,此等因素包括但不限於晶圓溫度、前驅物的類型及類似因素。當晶圓溫度升高時,沈積速率亦可能增加。例如,當將TiCl4 以及TEA用作前驅物時,水溫為300℃時,每個ALD循環的沈積速率約為1.89埃,水溫為360℃時,每個ALD循環的沈積速率約為3.85埃,且水溫為450℃時,每個ALD循環的沈積速率約為10.29埃。當將TiCl4 以及TTBA用作前驅物時,水溫為300℃時,每個ALD循環的沈積速率約為0.9埃,水溫為360℃時,每個ALD循環的沈積速率約為1.5埃,且水溫為450℃時,每個ALD循環的沈積速率約為2.0埃。
第15圖例示n型功函數層172B、272B的沈積。在整個說明書中,將n型功函數層172B、272B的沈積製程稱為功函數層的第二沈積製程。在第21圖所示的製程流程400中,相應製程被例示為製程430。N型功函數層172B、272B可由與n型功函數層172A、272A相同的元素(諸如,Ti、Al以及C)形成或包括與n型功函數層172A、272A相同的元素(諸如,Ti、Al以及C)。然而,n型功函數層172B、272B中的元素的原子百分比與n型功函數層172A、272A中的對應元素的原子百分比不同。在整個說明書中,當兩種材料具有不同的元素或具有相同的元素但具有不同的原子百分比時,這兩種材料稱為具有不同的組成。例如,n型功函數層172B、272B中的鋁的原子百分比可低於n型功函數層172A、272A中的鋁的原子百分比。在整個說明書中,將n型功函數層172A、172B統稱為n型功函數層172,且將n型功函數層272A、272B統稱為n型功函數層272。
n型功函數層的第二沈積製程使用與在第一沈積製程中使用的第一前驅物不同的第二前驅物來執行。根據一些實施例,第二沈積製程使用ALD製程或CVD製程執行。根據一些實施例,第二前驅物可包括含金屬前驅物以及含鋁前驅物。含金屬前驅物可包括TiCl4 、TaCl5 或類似前驅物。此外,第二前驅物中的含金屬前驅物可與第一前驅物中的含金屬前驅物相同或不同,例如,當在第一前驅物中使用TiCl4 時,TiCl4 或TaCl4 可被使用作為第二前驅物。根據一些實施例,含鋁前驅物可包括TTBA以及三甲基鋁(TMA)中的一者,但不兩者都包括。根據前驅物,所得的n型功函數層172B、272B是TiAlC層或TaAlC層。當採用ALD時,ALD循環亦包括脈衝含金屬前驅物,清洗含金屬前驅物,脈衝含鋁前驅物以及清洗含鋁前驅物。n型功函數層172B、272B的沈積可僅包括單個ALD循環,或複數個循環。
根據一些實施例,n型功函數層172B、272B的沈積與n型功函數層172A、272A的沈積在原位執行,且在其之間沒有發生真空破壞。ALD製程可在約300℃與約500℃之間的溫度下執行。前驅物的壓力可在約0.5托與約40托的範圍內。ALD製程的沈積速率可在約2埃與約10埃之間的範圍內。根據一些實施例,當將TiCl4 以及TMA用作前驅物時,水溫為300℃時,每個ALD循環的沈積速率約為1埃,水溫為360℃時,每個ALD循環的沈積速率約為1.32埃,且水溫為450℃時,每個ALD循環的沈積速率約為2.06埃。根據一些實施例,第二沈積製程中的晶圓溫度與第一沈積製程中的晶圓溫度相同,使得沈積可快速地從第一沈積製程轉變為第二沈積製程。根據替代實施例,第二沈積製程中的晶圓溫度高於或低於第一沈積製程中的晶圓溫度,使得可通過調整晶圓溫度來更準確地調整第一沈積製程以及第二沈積製程中的沈積速率。
根據一些實施例,選擇用於沈積n型功函數層172A以及沈積n型功函數層172B的第一前驅物,使得n型功函數層172B的沈積速率(每循環厚度)小於n型功函數層172A的沈積速率(假設使用相同的晶圓溫度)。應當瞭解,n型功函數層272A、272B對對應的p型FinFET的功函數(以及因此臨限值電壓)的影響比n型功函數層172A、172B的影響小,且因此n型功函數層272A、272B的特性在下面的討論中可能不被提及,但是它們的效果與對應的n型功函數層172A、172B相同。亦可選擇第一前驅物以及第二前驅物,使得n型功函數層172A (沈積時)中的鋁的原子百分比高於n型功函數層172B (沈積時)中的鋁的原子百分比。例如,如所提及,使用TEA (以及TiCl4 或TaCl5 )沈積的TiAlC的沈積速率大於使用TTBA (以及TiCl4 或TaCl5 )沈積的TiAlC的沈積速率,且進一步大於使用TMA (以及TiCl4 或TaCl5 )沈積的TiAlC的沈積速率。此外,使用TEA (以及TiCl4 或TaC54 )沈積的TiAlC中的鋁原子百分比大於使用TTBA (以及TiCl4 或TaCl4 )沈積的TiAlC的鋁原子百分比,且進一步大於使用TMA (以及TiCl4 或TaCl5 )沈積的TiAlC的鋁原子百分比。因此,當TEA用於沈積n型功函數層172A時,用於沈積n型功函數層172B的前驅物可包括TTBA或TMA中的一者,但不包括兩者。當TTBA用於沈積n型功函數層172A時,用於沈積n型功函數層172B的前驅物可包括TMA。
根據一些實施例,n型功函數層172A、272A的沈積包括m個ALD循環,其中整數m可等於1或更大,例如2、3、4或更大。n型功函數層172B、272B的沈積包括n個ALD循環,其中整數n可等於1或更大,諸如2、3、4或更大。假設n型功函數層172A、272A的沈積速率為DR72A (埃/循環),且n型功函數層172B、272B的沈積速率為DR72B (埃/循環),則n型功函數層172 (或272)的總厚度為(m x DR72A + n x DR72B)。因為沈積速率DR72A與沈積速率DR72B不同,所以可選擇值m以及n以達成n型功函數層172、272的期望厚度。例如,假設將晶圓溫度選擇為360℃,且所期望厚度為5埃,則可使用TiCl4 以及TEA執行一個ALD循環以形成3.85埃的TiAlC,隨後使用TiCl4 以及TMA執行一個ALD循環以形成1.32埃的TiAlC。如果所期望厚度為6埃,則可使用TiCl4 以及TEA執行一個ALD循環以形成3.85埃的TiAlC,隨後使用TiCl4 以及TMA執行兩個ALD循環以形成2.64埃的TiAlC。第一沈積製程以及第二沈積製程的晶圓溫度亦可彼此不同,以達成更好的厚度調諧。根據本揭露的一些實施例,n型功函數層172的厚度在約15埃與約50埃的範圍內,其中層172A的厚度可在層172的總厚度的約20%與約80%的範圍內。
根據一些實施方案,使用TEA形成的TiAlC具有第一鋁原子百分比(其可在約30%或約80%之間的範圍內,其高於第二鋁原子百分比(其可在使用TTBA形成的TiAlC中在約10%或約75%之間的範圍內。使用TTBA形成的第二鋁原子百分比進一步高於第三鋁原子百分比,此第三鋁原子百分比可在使用TMA形成的TiAlC中在約2%或約10%之間的範圍內)。應當瞭解,為了改進n型電晶體的效能,期望鋁在n型功函數層172與下面的高k介電層166之間的界面處具有高原子百分比。因此,使用TEA (或TTBA)形成n型功函數層172A是有利的,使得達成高的鋁原子百分比,且在界面處可有更多的鋁。另一方面,為了能夠更準確地控制n型功函數層的總厚度,可使用具有較低沈積速率的前驅物(諸如TTBA或TMA)形成n型功函數層172B,使得可更好地控制n型功函數層的總厚度。N型功函數層172B亦可有利地用作緩衝區以將高鋁含量層與上覆層隔離。
第18圖以及第19圖例示具有不同功函數層的複數個樣品,其中一些形成製程包括退火製程。第18圖例示樣品302、304、306以及308。樣品302包括矽基板、在矽基板之上的閘極介電質、使用TiCl4 以及TEA形成的TiAlC層以及在TiAlC層之上的TiN層。TiAlC層的厚度約為50埃,且TiN層的厚度約為20埃。樣品304與樣品302類似,除了樣品302未退火,而樣品304在快速熱退火製程中被退火。樣品306包括矽基板、在矽基板之上的閘極介電質、使用TiCl4 以及TEA形成的第一TiAlC層、使用TiCl4 以及TMA形成的第二TiAlC層以及在TiAlC層之上的TiN層。TiAlC層的總厚度約為50埃,且TiN層的厚度約為20埃。樣品308與樣品306類似,除了樣品306未退火,而樣品308在快速熱退火製程中被退火。
使用二次離子質譜法(SIMS)分析樣品。結果顯示於第19圖中。線312、314、316以及318分別是樣品302、304、306以及308的結果。X軸表示用於濺射(以及因此剝離)樣品的濺射時間,此時間對應於從樣品頂部到底部的距離。標記了TiN層、TiAlC層、閘極介電層以及基板的範圍。可觀察到,線316、318的鋁更多地集中在TiAlC層與下面的閘極介電質之間的界面處,這意味著功函數較低,且裝置效能更好。TiAlC的峰值鋁原子百分比亦可在TiAlC與閘極介電質之間的界面處。作為比較,線312、314使鋁更集中在TiAlC層與上面的TiN層之間的界面處,這意味著鋁對相應閘極的功函數幾乎沒有影響。因此,樣品306、308 (第18圖)比樣品302、304具有更好的結果。此外,線312靠近線314,且線316靠近線318。這指示退火製程不會顯著改變鋁的分佈。
返回參考第15圖,根據一些實施例,n型功函數層172包括兩個層172A、172B。根據其他實施例,n型功函數層172可包括更多層。例如,第15圖分別例示在層172B、272B之上的n型功函數層172C、272C。N型功函數層172C、272C可形成為具有比下面的n型功函數層172B、272B更低的沈積速率及/或更低的鋁原子百分比。例如,可使用TiCl4 以及TEA (在沒有TTBA以及TMA的情況下)作為前驅物來形成n型功函數層172A、272A,可使用TiCl4 以及TTBA (在沒有TEA以及TMA的情況下)作為前驅物來形成n型功函數層172B、272B,且可使用TiCl4 以及TMA (在沒有TEA以及TTBA的情況下)作為前驅物來形成n型功函數層172C、272C。n型功函數層172A、172B以及172C中的每一者的形成可包括一個或複數個ALD循環,以達成n型功函數層172的期望的總厚度。
第16圖例示替換閘極的其餘形成製程。在第21圖所示的製程流程400中,相應製程被例示為製程432。在其餘形成製程中,如果尚未完全填充溝槽162、262 (第15圖),則可沈積另外的層來完全填充溝槽162、262。另外的層表示為層174、274。根據一些實施例,另外的層174、274包括可形成為TiN的膠層174A/274A、以及在膠層174A/274A之上的填充金屬174B/274B,其中填充金屬174B/274B可包括鎢、鈷或類似金屬。然後執行諸如CMP製程或機械磨削製程的平坦化製程,從而形成金屬閘極電極176、276。包括對應的閘極電極176、276以及對應的閘極介電質168、268的替換閘極堆疊178、278亦分別形成。
參考第17A圖,閘極電極176、276是凹入的,且填充有介電質材料(諸如SiN)以形成硬遮罩182、282。蝕刻終止層84形成在硬遮罩182、282以及層間介電質60之上。蝕刻終止層84由介電質材料形成,此介電質材料可包括氮化矽、氧氮化矽或類似材料。層間介電質86在蝕刻終止層84之上形成,且在層間介電質86中形成閘極接觸插塞188、288。因此形成FinFET 180、280。
第17B圖例示FinFET 80的透視圖,其可表示第17A圖中的FinFET 180、280中的任一者。第17B圖中的閘極接觸188/288表示第17A圖中的閘極接觸插塞188或288。第17B圖中的硬遮罩82表示第17A圖中的硬遮罩182、282。源極/汲極矽化物區90以及源極/汲極接觸插塞92亦在第17A圖中例示。
第20圖例示第17A圖中的區173的放大圖。n型功函數層172的厚度表示為T1。線75A是距n型功函數層172的底部的豎直距離為¼T1的水平線,且線75B是距n型功函數層172的頂部的豎直距離為¼T1的水平線。n型功函數層172A、172B的界面可在線75A與75B之間的某處,但是此界面亦可高於線75B或低於線75A。在水平線75A處的層172的部分的鋁原子百分數表示為AAP75A,且在水平線75B處的層172的部分的鋁原子百分數表示為AAP75B。根據一些實施例,鋁原子百分比AAP75B小於鋁原子百分比AAP75A。此外,比率AAP75B/AAP75A可在約0.1與約0.9之間的範圍內。根據一些實施例,n型功函數層172的下半部的整體具有比n型功函數層172的上半部大的鋁原子百分比,亦如第19圖所示。
應當瞭解,儘管使用TiAlC作為實例來揭示使用複數個前驅物形成功函數層的概念,但是功函數層不限於TiAlC。例如,可形成TaAlC。此外,功函數層不限於n型FinFET的功函數層,且本申請案的概念可應用於p型n型FinFET的功函數層。
本揭露的實施例具有一些有利特徵。藉由使用不同的前驅物形成功函數層的下部部分以及上部部分,下部部分可具有比上部部分更高的鋁原子百分比。這導致在功函數層與下面的高k介電層之間的界面處有更多的鋁。因此,改進了FinFET的效能。此外,上部部分具有較低的沈積速率(每個ALD循環的厚度),且因此可與下部部分結合使用以達成用於超薄功函數層的準確的期望的總厚度。
根據本揭露的一些實施例,一種方法包括:在一半導體區上形成一閘極介電層;使用一第一含鋁前驅物沈積一第一含鋁功函數層,其中此第一含鋁功函數層在此閘極介電層之上;使用與此第一含鋁前驅物不同的一第二含鋁前驅物沈積一第二含鋁功函數層,其中此第二含鋁功函數層沈積在此第一含鋁功函數層之上;以及在此第二含鋁功函數層之上形成一導電區。在一個實施例中,此第一含鋁功函數層以及此第二含鋁功函數層皆包含TiAlC。在一個實施例中,此第一含鋁功函數層被沈積為具有比此第二含鋁功函數層更高的一鋁原子百分比。在一個實施例中,此第一含鋁前驅物包含TEA,且此第二含鋁前驅物包含TTBA或TMA。在一個實施例中,此第二含鋁前驅物包含TTBA,且此方法進一步包括:在此第二含鋁功函數層之上沈積一第三含鋁功函數層,且此第三含鋁功函數層使用包含TMA的一第三含鋁前驅物進行沈積。在一個實施例中,此第一含鋁前驅物包含TTBA,且此第二含鋁前驅物包含TMA。在一個實施例中,此第一含鋁功函數層以及此第二含鋁功函數層皆使用原子層沈積進行沈積。在一個實施例中,此第一含鋁功函數層的一第一每循環厚度大於此第二含鋁功函數層的一第二每循環厚度。在一個實施例中,此第一含鋁功函數層以及此第二含鋁功函數層在一相同的溫度下沈積,且在其間不發生真空破壞的情況下原位沈積。
根據本揭露的一些實施例,一種裝置包括:一半導體區;一閘極介電質,此閘極介電質在此半導體區之上;一功函數層,此功函數層包含接觸此閘極介電質的一底部表面,其中此功函數層包含TiAlC,且其中此功函數層包含:一頂部部分,其中此頂部部分具有一第一鋁原子百分比;以及一底部部分,其中此底部部分具有一第二鋁原子百分比,且其中此第一鋁原子百分比小於此第二鋁原子百分比;以及一膠層,此膠層在此功函數層之上。在一個實施例中,此第一鋁原子百分比對此第二鋁原子百分比的一比率小於約90%。在一個實施例中,此第一鋁原子百分比對此第二鋁原子百分比的一比率在約10%與約90%之間的一範圍內。在一個實施例中,此功函數層包含一上半部以及一下半部,且此上半部的一整體具有比此下半部低的鋁原子百分比。在一個實施例中,此膠層包含氮化鈦。在一個實施例中,此半導體區包含一半導體鰭,且此閘極介電質形成在此半導體鰭的側壁以及一另外的頂表面上。
根據本揭露的一些實施例,一種裝置包括:一半導體鰭;一高k閘極介電質,此高k閘極介電質在此半導體鰭上;以及一閘極電極,此閘極電極包含:一功函數層,此功函數層包含在此高k閘極介電質之上的鋁,此功函數層包含:一下半部,其中此功函數層的一峰值鋁原子百分比在此功函數層的此下半部中;以及一上半部,此上半部在此下半部之上,其中此上半部的原子百分比低於此下半部的鋁原子百分比;以及一膠層,此膠層在此功函數層之上且接觸此功函數層。在一個實施例中,從此上半部的一底部到此上半部的一頂部,鋁原子百分比連續降低。在一個實施例中,此功函數層進一步包含鈦。在一個實施例中,此閘極電極被包含在一n型鰭式場效電晶體中。在一個實施例中,此峰值鋁原子百分比在此下半部與此高k閘極介電質之間的一界面處。
前述概述了若干實施例的特徵,使得熟習此項技術者可更好地理解本揭露之實施方式的態樣。熟習此項技術者應當瞭解,他們可容易地將本揭露之實施方式用作用於設計或修改其他製程以及結構的基礎,以執行與本文介紹的實施例相同的目的及/或達成相同的優點。熟習此項技術者進一步應當認識到,此類等效構造不脫離本揭露之實施方式的精神以及範疇,且在不脫離本揭露之實施方式的精神以及範疇的情況下,熟習此項技術者可進行各種改變、替代以及變更。
20:基板 22:井區 24:隔離區 24A:頂部表面 26:半導體條帶 28:墊氧化物層 30:硬遮罩層 36:鰭 38:虛擬閘極堆疊 40:虛擬閘極介電質 42:虛擬閘極電極 44:硬遮罩層 46:閘極間隔物 50:凹部 52:磊晶區(源極區/汲極區) 58:接觸蝕刻終止層 60:層間介電質 70:蝕刻遮罩 70A:底部抗反射塗層 70B:光阻 71:蝕刻製程 75A:線 75B:線 82:硬遮罩 84:蝕刻終止層 86:層間介電質 90:源極/汲極矽化物區 92:源極/汲極接觸插塞 100N:裝置區 124A:頂部表面 136:半導體鰭 146:閘極間隔物 152:源極/汲極區 162:溝槽 164:界面層 166:高k介電層 168:閘極介電質 169:p型功函數層 172:n型功函數層 172A:n型功函數層 172B:n型功函數層 172C:n型功函數層 173:區 174:層 174A:膠層 174B:填充金屬 176:金屬閘極電極 178:替換閘極堆疊 180:鰭式場效電晶體 182:硬遮罩 188:閘極接觸插塞 200P:裝置區 224A:頂部表面 236:半導體鰭 246:閘極間隔物 252:源極/汲極區 262:溝槽 264:界面層 266:高k介電層 268:閘極介電質 269:p型功函數層 272:n型功函數層 272A:n型功函數層 272B:n型功函數層 272C:n型功函數層 274:層 274A:膠層 274B:填充金屬 276:金屬閘極電極 278:替換閘極堆疊 280:鰭式場效電晶體 282:硬遮罩 288:閘極接觸插塞 302:樣品 304:樣品 306:樣品 308:樣品 312:線 314:線 316:線 318:線 400:製程流程 402:製程 404:製程 406:製程 408:製程 410:製程 412:製程 414:製程 416:製程 418:製程 420:製程 422:製程 424:製程 426:製程 428:製程 430:製程 432:製程
當與隨附圖式一起閱讀時,根據以下詳細描述可最好地理解本揭露之實施方式的各態樣。注意,根據行業中的標準實踐,各種特徵未按比例繪製。實際上,為了討論清楚起見,各種特徵的尺寸可任意地擴大或縮小。 第1圖至第6圖、第7A圖、第7B圖、第8圖至第16圖、第17A圖以及第17B圖例示根據一些實施例的形成鰭式場效電晶體(Fin Field-Effect; FinFET)的中間階段的透視圖以及剖視圖。 第18圖例示根據一些實施例的具有使用不同方法形成的TiAlC層的樣品。 第19圖例示根據一些實施例的TiAlC樣品中鋁的信號強度。 第20圖例示根據一些實施例的n型功函數層以及在不同位置處的部分。 第21圖例示用於根據一些實施例形成FinFET的製程流程。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:製程流程
402:製程
404:製程
406:製程
408:製程
410:製程
412:製程
414:製程
416:製程
418:製程
420:製程
422:製程
424:製程
426:製程
428:製程
430:製程
432:製程

Claims (20)

  1. 一種半導體裝置的形成方法,包含以下步驟: 在一半導體區上形成一閘極介電層; 使用一第一含鋁前驅物沈積一第一含鋁功函數層,其中該第一含鋁功函數層在該閘極介電層之上; 使用與該第一含鋁前驅物不同的一第二含鋁前驅物沈積一第二含鋁功函數層,其中該第二含鋁功函數層沈積在該第一含鋁功函數層之上;以及 在該第二含鋁功函數層之上形成一導電區。
  2. 如請求項1所述之方法,其中該第一含鋁功函數層以及該第二含鋁功函數層皆包含TiAlC。
  3. 如請求項2所述之方法,其中該第一含鋁功函數層被沈積為具有比該第二含鋁功函數層更高的一鋁原子百分比。
  4. 如請求項1所述之方法,其中該第一含鋁前驅物包含三乙基鋁(TEA),且該第二含鋁前驅物包含三叔丁基鋁(TTBA)或三甲基鋁(TMA)。
  5. 如請求項4所述之方法,其中該第二含鋁前驅物包含三叔丁基鋁,且該方法進一步包含以下步驟:在該第二含鋁功函數層之上沈積一第三含鋁功函數層,且該第三含鋁功函數層使用包含三甲基鋁的一第三含鋁前驅物進行沈積。
  6. 如請求項1所述之方法,其中該第一含鋁前驅物包含三叔丁基鋁(TTBA),且該第二含鋁前驅物包含三甲基鋁(TMA)。
  7. 如請求項1所述之方法,其中該第一含鋁功函數層以及該第二含鋁功函數層皆使用原子層沈積進行沈積。
  8. 如請求項7所述之方法,其中該第一含鋁功函數層的一第一每循環厚度大於該第二含鋁功函數層的一第二每循環厚度。
  9. 如請求項1所述之方法,其中該第一含鋁功函數層以及該第二含鋁功函數層在一相同的溫度下沈積,且在其間不發生真空破壞的情況下原位沈積。
  10. 一種半導體裝置,包含: 一半導體區; 一閘極介電質,該閘極介電質在該半導體區之上; 一功函數層,該功函數層在該閘極介電質之上,其中該功函數層包含TiAlC,且其中該功函數層包含: 一頂部部分,其中該頂部部分具有一第一鋁原子百分比;以及 一底部部分,其中該底部部分具有一第二鋁原子百分比,且其中該第一鋁原子百分比小於該第二鋁原子百分比;以及 一膠層,該膠層在該功函數層之上。
  11. 如請求項10所述之半導體裝置,其中該頂部部分在該功函數層的一頂表面下面的一第一距離等於該功函數層的一厚度的四分之一,且該底部部分在該功函數層的一底部表面上面的一第二距離等於該功函數層的該厚度的四分之一,且其中該第一鋁原子百分比對該第二鋁原子百分比的一比率小於約90%。
  12. 如請求項10所述之半導體裝置,其中該第一鋁原子百分比對該第二鋁原子百分比的一比率在約10%與約90%之間的一範圍內。
  13. 如請求項10所述之裝置,其中該功函數層包含一上半部以及一下半部,且該上半部的一整體具有比該下半部低的鋁原子百分比。
  14. 如請求項10所述之裝置,其中該膠層包含氮化鈦。
  15. 如請求項10所述之裝置,其中該半導體區包含一半導體鰭,且該閘極介電質形成在該半導體鰭的側壁以及一另外的頂表面上。
  16. 一種半導體裝置,包含: 一半導體鰭; 一高k閘極介電質,該高k閘極介電質在該半導體鰭上;以及 一閘極電極,該閘極電極包含: 一功函數層,該功函數層包含在該高k閘極介電質之上的鋁,該功函數層包含: 一下半部,其中該功函數層的一峰值鋁原子百分比在該功函數層的該下半部中;以及 一上半部,該上半部在該下半部之上,其中該上半部的原子百分比低於該下半部的鋁原子百分比;以及 一膠層,該膠層在該功函數層之上且接觸該功函數層。
  17. 如請求項16所述之裝置,其中從該上半部的一底部到該上半部的一頂部,鋁原子百分比連續降低。
  18. 如請求項16所述之裝置,其中該功函數層進一步包含鈦。
  19. 如請求項16所述之裝置,其中該閘極電極被包含在一n型鰭式場效電晶體中。
  20. 如請求項16所述之半導體裝置,其中該峰值鋁原子百分比在該下半部與該高k閘極介電質之間的一界面處。
TW110109139A 2020-07-16 2021-03-15 半導體裝置及其形成方法 TWI785537B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063052612P 2020-07-16 2020-07-16
US63/052,612 2020-07-16
US16/952,503 US11508826B2 (en) 2020-07-16 2020-11-19 Composite work function layer formation using same work function material
US16/952,503 2020-11-19

Publications (2)

Publication Number Publication Date
TW202205395A true TW202205395A (zh) 2022-02-01
TWI785537B TWI785537B (zh) 2022-12-01

Family

ID=77300719

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110109139A TWI785537B (zh) 2020-07-16 2021-03-15 半導體裝置及其形成方法

Country Status (7)

Country Link
US (2) US11508826B2 (zh)
EP (1) EP3940748A1 (zh)
JP (1) JP2022019657A (zh)
KR (1) KR102490716B1 (zh)
CN (1) CN113488434A (zh)
DE (1) DE102020131319A1 (zh)
TW (1) TWI785537B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114836729A (zh) * 2022-05-17 2022-08-02 合肥安德科铭半导体科技有限公司 一种功函数可调的wcn薄膜沉积方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10049940B1 (en) 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
CN108074815B (zh) * 2016-11-17 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN110582845B (zh) 2017-07-13 2024-03-19 应用材料公司 用于金属栅极的低厚度相关功函数nMOS整合
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11264289B2 (en) * 2019-07-11 2022-03-01 Tokyo Electron Limited Method for threshold voltage tuning through selective deposition of high-K metal gate (HKMG) film stacks

Also Published As

Publication number Publication date
US20220020861A1 (en) 2022-01-20
CN113488434A (zh) 2021-10-08
EP3940748A1 (en) 2022-01-19
KR102490716B1 (ko) 2023-01-19
KR20220009846A (ko) 2022-01-25
JP2022019657A (ja) 2022-01-27
DE102020131319A1 (de) 2022-01-20
US20220359703A1 (en) 2022-11-10
TWI785537B (zh) 2022-12-01
US11508826B2 (en) 2022-11-22

Similar Documents

Publication Publication Date Title
TWI736884B (zh) 半導體裝置的形成方法
US11264483B2 (en) Semiconductor device and method of manufacturing the same
KR102271584B1 (ko) 임계 전압 스프레드를 높이는 선택적 에칭
TW201709342A (zh) 具有源極/汲極結構的鰭式場效電晶體及其 製造方法
US20220216318A1 (en) Finfet having a work function material gradient
KR102289285B1 (ko) 임계 전압을 조절하기 위한 하이-k 금속 게이트 도핑 방법
TWI749798B (zh) 半導體裝置及其形成方法
US11996472B2 (en) Multi-layer dielectric refill for profile control in semiconductor devices
CN112510090A (zh) 集成电路器件及其形成方法
US20240063061A1 (en) In-situ formation of metal gate modulators
TWI785537B (zh) 半導體裝置及其形成方法
KR20220001423A (ko) 트랜지스터들의 문턱 전압들을 조정하는 방법
US12009264B2 (en) Adjusting work function through adjusting deposition temperature
US11837649B2 (en) Method for selective removal of gate dielectric from dummy fin
US20230126442A1 (en) Non-Conformal Gate Oxide Formation on FinFET
US20210257263A1 (en) NMOS and PMOS Transistor Gates with Hafnium Oxide Layers and Lanthanum Oxide Layers