TW202201779A - 半導體結構和其形成方法 - Google Patents

半導體結構和其形成方法 Download PDF

Info

Publication number
TW202201779A
TW202201779A TW110103130A TW110103130A TW202201779A TW 202201779 A TW202201779 A TW 202201779A TW 110103130 A TW110103130 A TW 110103130A TW 110103130 A TW110103130 A TW 110103130A TW 202201779 A TW202201779 A TW 202201779A
Authority
TW
Taiwan
Prior art keywords
work function
function layer
layer
device region
dielectric
Prior art date
Application number
TW110103130A
Other languages
English (en)
Other versions
TWI786528B (zh
Inventor
邱冠璋
李家慶
陳建豪
鍾鴻欽
李顯銘
志安 徐
童宣瑜
吳仲強
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201779A publication Critical patent/TW202201779A/zh
Application granted granted Critical
Publication of TWI786528B publication Critical patent/TWI786528B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種結構包括半導體基板,半導體基板包括第一半導體區及第二半導體區、第一半導體區中的第一電晶體及第二半導體區中的第二電晶體。第一電晶體包括位於第一半導體區域上方的第一閘極介電質、位於第一閘極介電質上方並與第一閘極介電質接觸的第一功函數層,及位於第一功函數層上方的第一導電區域。第二電晶體包括位於第二半導體區域上方的第二閘極介電質、位於第二閘極介電質上方並與第二閘極介電質接觸的第二功函數層,及位於第二功函數層上方的第二導電區域,其中第一功函數層及第二功函數層具有不同的功函數。

Description

調節電晶體的閾值電壓的方法
電晶體是積體電路中的基本元件。電晶體的形成可包括形成替換閘極,此些替換閘極包括高介電常數閘極介電質及在高介電常數閘極介電質上方的金屬閘極電極。替換閘極的形成通常包括在高介電常數閘極介電質上沉積高介電常數閘極介電質及金屬層,隨後執行化學機械拋光(Chemical Mechanical Polish,CMP)以移除高介電常數閘極介電質及金屬層的多餘部分。金屬層的剩餘部分形成金屬閘極。
在金屬氧化物半導體元件的習用形成方法中,可藉由堆疊複數個功函數層來調整電晶體的閾值電壓。例如,對於p型電晶體,可堆疊複數個氮化鈦層以降低p型電晶體的閾值電壓並產生多個閾值位準。
為了實現提及主題的不同特徵,以下公開內容提供了許多不同的實施例或示例。以下描述組件、配置等的具體示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,在以下的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各種示例中重複參考數字和/或字母。此重複是為了簡單和清楚的目的,並且本身並不表示所討論的各種實施例和/或配置之間的關係。
此外,本文可以使用空間相對術語,諸如「在…下面」、「在…下方」、「下部」、「在…上面」、「上部」等,以便於描述一個元件或特徵與如圖所示的另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。
根據各種實施例,提供了具有不同閾值電壓的電晶體及其形成方法。根據一些實施例繪示了形成電晶體的中間階段。本文論述了一些實施例的一些變化。在各個視圖及說明性實施例中,類似的元件符號用於表示相同的元件。根據一些實施例,鰭式場效應電晶體(Fin Field-Effect Transistor,FinFET)的形成做為論述本公開的概念的實例。其他類型的電晶體,如平面電晶體及環繞式閘極(Gate-All-Around,GAA)電晶體,亦可採用本公開的概念。根據本公開的一些實施例,在功函數層的形成中,可沉積具有不同功函數的多個功函數層。可使用相應的下部功函數層做為蝕刻停止層來蝕刻上部功函數層,從而限制鰭式場效應電晶體中功函數層的總厚度,同時可實現不同位準(level)的閾值電壓。
第1圖至第6圖、第7A圖、第7B圖、第8圖、第9A圖、第9B圖、第22圖及第23圖根據本公開的一些實施例繪示了形成鰭式場效應電晶體的中間階段的橫截面視圖及透視圖。此些圖中所示的製程亦示意性地反應在第24圖中所示的製程流程200中。
在第1圖中,提供了基板20。基板20可為半導體基板,如塊體半導體基板、絕緣體上半導體(Semiconductor-On-Insulator,SOI)基板等,其可摻雜(例如,具有p型或n型摻雜劑)或未摻雜。半導體基板20可為晶圓10的一部分,如矽晶圓。通常,絕緣體上半導體基板是形成在絕緣層上的半導體材料層。絕緣層可為例如埋置氧化物(Buried Oxide,BOX)層、氧化矽層等。絕緣層設置在基板上,此基板通常是矽或玻璃基板。亦可使用其他基板,如多層或梯度基板。在一些實施例中,半導體基板20的半導體材料可包括矽、鍺、化合物半導體(包括摻碳矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或上述各者的組合。
進一步參考第1圖,形成阱區22在基板20中。在第24圖所示的製程流程200中,相應的製程繪示為步驟202。根據本公開的一些實施例,阱區22是藉由將p型雜質佈植到基板20中而形成的p型阱區,此p型雜質可為硼、銦等。根據本公開的其他實施例,阱區22是藉由向基板20中佈植n型雜質而形成的n型阱區,此n型雜質可為磷、砷、銻等。所產生的阱區22可延伸到基板20的頂表面。n型或p型雜質濃度可等於或小於1018 cm-3 ,例如在約1017 cm-3 與約1018 cm-3 之間的範圍內。
參考第2圖,形成從基板20的頂表面延伸到基板20中的隔離區24。隔離區24在下文中亦稱為淺溝槽隔離(Shallow Trench Isolation,STI)區。在第24圖所示的製程流程200中,相應的製程繪示為步驟204。相鄰STI區24之間的基板20的部分稱為半導體條26。為了形成STI區24,形成襯墊氧化物層28及硬遮罩層30在半導體基板20上,隨後圖案化。襯墊氧化物層28可為由氧化矽形成的薄膜。根據本公開的一些實施例,襯墊氧化物層28在熱氧化製程中形成,其中半導體基板20的頂表面層被氧化。襯墊氧化物層28充當半導體基板20與硬遮罩層30之間的黏合層。襯墊氧化物層28亦可充當蝕刻硬遮罩層30的蝕刻停止層。根據本公開的一些實施例,硬遮罩層30例如使用低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition,LPCVD)而由氮化矽形成。根據本公開的其他實施例,硬遮罩層30藉由矽的熱氮化或電漿增強化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition,PECVD)形成。光阻劑(未繪示)形成在硬遮罩層30上,隨後圖案化。隨後,如第2圖所示,使用圖案化的光阻劑做為蝕刻遮罩來圖案化硬遮罩層30,以形成硬遮罩層30。
接下來,圖案化的硬遮罩層30做為蝕刻遮罩來蝕刻襯墊氧化物層28及基板20,隨後用介電材料填充基板20中產生的溝槽。執行諸如化學機械拋光(Chemical Mechanical Polish,CMP)製程或機械研磨製程的平坦化製程以移除介電材料的多餘部分,並且介電材料的剩餘部分是STI區24。STI區24可包括襯墊介電質(未繪示),其可為經由基板20的表面層熱氧化所形成的熱氧化物。襯墊介電質亦可為沉積的氧化矽層、氮化矽層等,使用例如原子層沉積(Atomic Layer Deposition,ALD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition,HDPCVD)或化學氣相沉積(Chemical Vapor Deposition,CVD)形成。STI區24亦可包括襯墊氧化物上的介電材料,其中介電材料可使用可流動化學氣相沉積(Flowable Chemical Vapor Deposition,FCVD)、旋塗等形成。根據一些實施例,襯墊介電質上的介電材料可包括氧化矽。
硬遮罩層30的頂表面及STI區24的頂表面可實質上彼此齊平。半導體條26位於相鄰的STI區24之間。根據本公開的一些實施例,半導體條26是原始基板20的一部分,因此半導體條26的材料與基板20的材料相同。根據本公開的替代實施例,半導體條26是藉由蝕刻基板20在STI區24之間的部分以形成凹槽,並執行磊晶製程以在凹槽中再生長另一種半導體材料而形成的替換條。因此,半導體條26由不同於基板20的半導體材料所形成。根據一些實施例,半導體條26由矽鍺、矽碳或III-V族化合物半導體材料形成。
參考第3圖,凹陷STI區24,使得半導體條26的頂部突出高於STI區24的剩餘部分的頂表面24A,以形成突出的鰭片36。在第24圖所示的製程流程200中,相應的製程繪示為步驟206。可使用乾式蝕刻製程來執行蝕刻,其中例如NF3 及NH3 做為蝕刻氣體。在蝕刻製程中,可能會產生電漿。亦可包括氬氣。根據本公開的替代實施例,使用濕式蝕刻製程來執行STI區24的凹陷。例如,蝕刻化學品可包括氟化氫。
在上述實施例中,鰭片36可藉由任何合適的方法來圖案化。例如,可使用一或更多個微影製程來圖案化鰭片36,包括雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程結合了微影及自對準製程,允許產生例如間距小於使用單一直接微影製程可獲得的間距的圖案。例如,在一個實施例中,在基板上形成犧牲層,並使用微影製程進行圖案化。使用自對準製程沿著圖案化的犧牲層形成間隔件。隨後移除犧牲層,之後可使用剩餘的間隔件或心軸(mandrel)來圖案化鰭片。
參考第4圖,形成虛設閘極堆疊38在(突出的)鰭片36的頂表面及側壁上延伸。在第24圖所示的製程流程200中,相應的製程繪示為步驟208。虛設閘極堆疊38可包括虛設閘極介電質40(如第7B圖所示)及虛設閘極介電質40上的虛設閘極電極42。例如,可使用多晶矽來形成虛設閘極電極42,並且亦可使用其他材料。每個虛設閘極堆疊38亦可包括虛設閘極電極42上的一個(或複數個)硬遮罩層44。硬遮罩層44可由氮化矽、氧化矽、碳氮化矽或其多層形成。虛設閘極堆疊38可跨過單個或複數個突出鰭片36及/或STI區24。虛設閘極堆疊38亦具有長度方向垂直於突出鰭片36的長度方向。
接下來,在虛設閘極堆疊38的側壁上形成閘極間隔件46。在第24圖所示的製程流程200中,相應的製程亦繪示為步驟208。根據本公開的一些實施例,閘極間隔件46由諸如氮化矽、碳氮化矽等介電材料形成,並且可具有單層結構或包括複數個介電層的多層結構。
隨後進行蝕刻製程,以蝕刻突出鰭片36未被虛設閘極堆疊38及閘極間隔件46覆蓋的部分,從而產生第5圖所示的結構。在第24圖所示的製程流程200中,相應的製程繪示為步驟210。凹槽的形成可為各向異性的,因此直接位於虛設閘極堆疊38及閘極間隔件46下方的鰭片36的部分受到保護而沒有經過蝕刻。根據一些實施例,凹陷的半導體條26的頂表面可低於STI區24的頂表面24A。相應地形成凹槽50。凹槽50包括位於虛設閘極堆疊38的相對側的部分,及突出鰭片36的剩餘部分之間的部分。
接下來,藉由在凹槽50中選擇性生長(經由磊晶製程)半導體材料來形成磊晶區域(或稱為源極/汲極區域)54,從而產生第6圖中的結構。在第24圖所示的製程流程200中,相應的製程繪示為步驟212。根據產生的鰭式場效應電晶體是p型鰭式場效應電晶體還是n型鰭式場效應電晶體,p型或n型雜質可隨著磊晶製程的進行原位摻雜。例如,當產生的鰭式場效應電晶體是p型鰭式場效應電晶體時,可生長矽鍺硼(SiGeB)或矽硼(SiB)。相反地,當產生的FinFET是n型FinFET時,可生長矽磷(SiP)或矽碳磷(SiCP)。根據本公開的替代實施例,磊晶區域54包括III-V族化合物半導體,如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、其組合或上述各者的多層。在凹槽50由磊晶區域54填充之後,磊晶區域54的進一步磊晶生長導致磊晶區域54水平擴展,並且可形成小刻面(facet)。磊晶區域54的進一步生長亦可能導致相鄰的磊晶區域54彼此合併。可能會產生空隙56(或稱氣隙)。
在磊晶步驟之後,磊晶區域54可進一步佈植p型或n型雜質以形成源極及汲極區域,其亦用元件符號54表示。根據本公開的替代實施例,當磊晶區域54在磊晶製程期間原位摻雜p型或n型雜質時,跳過佈植步驟。
第7A圖繪示了形成接觸蝕刻停止層(Contact etching stop layer,CESL)58及層間介電質(Inter-Layer Dielectric,ILD)60之後的結構的透視圖。在第24圖所示的製程流程200中,相應的製程繪示為步驟214。接觸蝕刻停止層58可由氧化矽、氮化矽、碳氮化矽等形成,並且可使用化學氣相沉積、原子層沉積等形成。層間介電質60可包括使用例如可流動化學氣相沉積、旋塗、化學氣相沉積或其他沉積方法形成的介電材料。層間介電質60可由含氧介電材料形成,其可為基於氧化矽的材料,如氧化矽、磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、摻硼磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、低介電常數介電材料等。可執行諸如化學機械拋光製程或機械研磨製程的平坦化製程,以使層間介電質60、虛設閘極堆疊38及閘極間隔件46的頂表面彼此齊平。第7B圖繪示了第7A圖中的參考橫截面7B-7B。繪示STI區24的頂表面24A及底表面24B以表示STI區24所在之處及突出鰭片36的底部所在之處。
接下來,蝕刻包括硬遮罩層44、虛設閘極電極42及虛設閘極介電質40的虛設閘極堆疊38,在閘極間隔件46之間形成溝槽62,如第8圖所示。在第24圖所示的製程流程200中,相應的製程繪示為步驟216。突出鰭片36的頂表面及側壁暴露於溝槽62。接下來,如第9A圖及第9B圖所示,在溝槽62(如第8圖所示)中形成替換閘極堆疊72。在第24圖所示的製程流程200中,相應的製程繪示為步驟218。第9B圖繪示了第9A圖中的參考橫截面9B-9B。替換閘極堆疊72包括閘極介電質68及相應的閘極電極70。
根據本公開的一些實施例,閘極介電質68包括介面層(Interfacial Layer,IL)64做為其下部,如第9B圖所示。介面層64形成在突出鰭片36的暴露的頂表面及側壁表面上。介面層64可包括氧化物層,如氧化矽層,其經由突出鰭片36的表面層熱氧化或化學氧化形成,或者經由沉積製程形成。閘極介電質68亦可包括形成在介面層64上的高介電常數介電層66。高介電常數介電層66包括高介電常數介電材料,如氧化鉿、氧化鑭、氧化鋁、氧化鋯等或其複合層。高介電常數介電材料的介電常數(k值)高於3.9,並且可高於約7.0。高介電常數介電層66形成為共形層,並在突出鰭片36的頂表面及側壁及閘極間隔件46的頂表面及側壁上延伸。根據本公開的一些實施例,使用原子層沉積、化學氣相沉積、電漿化學氣相沉積、分子束沉積等形成高介電常數介電層66。
進一步參考第9B圖,閘極電極70形成在閘極介電質68上。閘極電極70可包括可形成為共形層的複數個堆疊層74及填充金屬區域76,填充金屬區域76填充未由複數個堆疊層74填充的溝槽62剩餘部分。堆疊層74可包括阻障層、阻障層上的一個或複數個功函數層,及功函數層上可能的膠層。根據一些實施例,高介電常數介電層66及堆疊層74做為共形層逐層沉積,隨後沉積填充金屬區域76以完全填充溝槽62(如第8圖所示)。共形層可包括溝槽62中的第一部分及與層間介電質60重疊的第二部分。執行平坦化製程(如化學機械拋光製程或機械研磨製程)以移除沉積材料的多餘部分。這導致第9A圖及第9B圖所示的結構。參考第10圖至第20圖論述堆疊層74的詳細結構及形成方法。
第9B繪示意性地繪示了區域78,其中包括鰭片36的一部分、閘極介電質68的一部分、堆疊層74的一部分及填充金屬區域76的一部分。第10圖至第20圖根據一些實施例繪示了區域78中的特徵形成。如第25圖所示,各個製程繪示為製程流程300。如第24圖所示的步驟218經由製程流程300實現。
第10圖至第20圖根據一些實施例繪示在元件區域100A、元件區域100B、元件區域100C及元件區域100D中形成四個鰭式場效應電晶體的閘極堆疊。元件區域100A、元件區域100B、元件區域100C及元件區域100D中的每一個可為n型鰭式場效應電晶體或p型鰭式場效應電晶體的任意組合。在如下所述的示例實施例中,n型鰭式場效應電晶體形成在元件區域100A中,而形成在元件區域100B、元件區域100C及元件區域100D中的鰭式場效應電晶體是p型鰭式場效應電晶體。
參考第10圖,在元件區域100A、元件區域100B、元件區域100C及元件區域100D中同時形成閘極介電質68,此閘極介電質68包括介面層64及高介電常數介電層66。如第25圖所示,對應製程在製程流程300中繪示為步驟302。介面層64形成在突出鰭片36上。高介電常數介電層66形成在介面層64上。
在隨後的製程中,功函數層可直接形成在高介電常數介電層66上,並且可圖案化以露出高介電常數介電層66。為了減少功函數層圖案化中高介電常數介電層66的損失,可經由熱退火製程來加強高介電常數介電層66。根據一些實施例,熱退火製程在約攝氏700度至約攝氏900度的溫度範圍內進行,退火尖峰具有短於約1秒的持續時間。用於熱退火製程的製程氣體可包括N2 、NH3 及/或類似物。在退火製程中,高介電常數介電層66暴露於製程氣體。
進一步參考第10圖,沉積第一功函數層120在高介電常數介電層66上,並延伸到元件區域100A、元件區域100B、元件區域100C及元件區域100D中。如第25圖所示,對應製程在製程流程300中繪示為步驟304。根據一些實施例,第一功函數層120具有第一p型功函數,其大於突出鰭片36的中間帶的功函數。中間帶的功函數在突出鰭片36的價帶與導帶的中間。第一功函數層120的材料可選自諸如TiN、TaN、Tix Aly N、Tix Siy N、WCx Ny 、MoCx Ny 等化合物材料或上述各者的組合。整數x及y表示原子數量。第一功函數層120的材料亦可選自元素材料,如Au、Pt、Pd、W等或者上述各者的合金。第一功函數層120的材料亦可包括摻雜有前述元素材料的化合物材料。第一功函數層120可使用原子層沉積、化學氣相沉積等沉積,並且沉積為共形層,其延伸到溝槽62(如第8圖所示)中,且亦具有在層間介電質60頂部上的部分。
參考第11圖,形成蝕刻遮罩122並圖案化。蝕刻遮罩122可包括光阻劑,並且亦可包括金屬硬遮罩、抗反射塗層等。如第25圖所示,相應的製程在製程流程300中繪示為步驟306。移除元件區域100A、元件區域100C及元件區域100D中的蝕刻遮罩122的部分,蝕刻遮罩122的剩餘部分留在元件區域100B中。隨後執行蝕刻製程124以移除第一功函數層120在元件區域100A、元件區域100C及元件區域100D中的部分,並暴露高介電常數介電層66的相應部分。如第25圖所示,相應的製程在製程流程300中繪示為步驟308。在蝕刻後保留元件區域100B中的第一功函數層120的部分。在蝕刻製程124期間,高介電常數介電層66做為蝕刻停止層。若第一功函數層120的蝕刻速率表示為ER120,並且高介電常數介電層66的蝕刻速率表示為ER66,則蝕刻選擇性ER120/ER66可大於約100,並且可在約100與約150之間的範圍內。根據一些實施例,第一功函數層120包括TiN,而高介電常數介電層66包括HfO2 ,而H2 O2 可做為蝕刻氣體。隨後移除蝕刻遮罩122,所得結構如第12圖所示。
為了減少對高介電常數介電層66的損傷,在蝕刻製程124中,可採用軟濕式蝕刻技術。根據一些實施例,使用具有高於約100的高蝕刻選擇性的蝕刻劑來執行軟濕式蝕刻。在隨後的製程中,每當圖案化功函數層時,為了減少對下層功函數層及/或高介電常數介電層66的損傷,可採用軟濕式蝕刻技術。
參考第13圖,沉積第二功函數層126。如第25圖所示,相應的製程在製程流程300中繪示為步驟310。根據一些實施例,元件區域100B、元件區域100C及元件區域100D中的鰭式場效應電晶體是p型鰭式場效應電晶體,因此第二功函數層126具有第二p型功函數。根據一些實施例,第二功函數不同於第一功函數層120的第一功函數,並且可大於或小於第一功函數。第一與第二功函數之間的差可大於約50 mV,並且可在約50 mV與約150 mV之間的範圍內,亦可採用更大或更小的差。第二功函數層126的材料可選自用於形成第一功函數層120的相同(或不同)候選材料群組,而第一功函數層120與第二功函數層126的材料彼此不同。第二功函數層126可使用原子層沉積、化學氣相沉積等沉積,並且沉積為共形層,其延伸到溝槽62(如第8圖所示)中,並且亦具有在層間介電質60頂部上的部分。
參考第14圖,形成蝕刻遮罩128並圖案化。如第25圖所示,相應的製程在製程流程300中繪示為步驟312。移除元件區域100A、元件區域100B及元件區域100C中的蝕刻遮罩128的部分,一部分蝕刻遮罩128保留在元件區域100D中。隨後執行蝕刻製程130,以移除第二功函數層126在元件區域100A、元件區域100B及元件區域100C中的部分,並暴露下層的高介電常數介電層66或第一功函數層120,如第15圖所示。如第25圖所示,相應的製程在製程流程300中繪示為步驟314。在蝕刻後保留元件區域100D中的第二功函數層126的部分。在蝕刻製程130中,在元件區域100A及元件區域100C中,高介電常數介電層66做為蝕刻停止層。在元件區域100B中,第一功函數層120做為蝕刻停止層。因此,第二功函數層126及第一功函數層120的蝕刻選擇性高。例如,若第一功函數層120及第二功函數層126的蝕刻速率分別表示為ER120及ER126,則蝕刻選擇性ER126/ER120大於約100,並且可在約100與約150之間的範圍內。根據一些實施例,第一功函數層120由TaN形成,第二功函數層126由TiN形成。因此,鹽酸溶液可做為蝕刻第二功函數層126的蝕刻化學品,並且蝕刻在第一功函數層120上停止。類似地,蝕刻選擇性ER126/ER66亦很高。例如,蝕刻選擇性ER126/ER66大於約100,並且可在約100與約150之間的範圍內。在蝕刻之後,移除蝕刻遮罩128(如第14圖所示),並且所得結構如第15圖所示。
參考第16圖,沉積第三功函數層132。如第25圖所示,相應的製程在製程流程300中繪示為步驟316。根據一些實施例,第三功函數層132具有第三p型功函數不同於第一功函數層120的第一功函數及第二功函數層126的第二功函數。根據一些實施例,第三功函數不同於第一功函數及第二功函數,並且可大於或小於第一功函數及第二功函數中的任一個。第三功函數與第一功函數及第二功函數中的任一者之間的差可大於約50 mV,並且可在約50 mV至約150 mV之間的範圍內,亦可採用更大或更小的差。第三功函數層132的材料可選自與第一功函數層120及第二功函數層126相同或不同的候選材料群組。第一功函數層120、第二功函數層126及第三功函數層132的材料彼此不同。例如,第一功函數層120、第二功函數層126及第三功函數層132可分別由TiN、WCN及TiSiN形成,此些材料具有彼此差異相對較大的功函數。第三功函數層132可使用原子層沉積、化學氣相沉積等沉積,並且沉積為共形層,其延伸到溝槽62(如第8圖所示)中,並且亦具有在層間介電質60頂部上的部分。
參考第17圖,形成蝕刻遮罩134並圖案化。如第25圖所示,相應的製程在製程流程300中繪示為步驟318。移除元件區域100A及元件區域100B中的蝕刻遮罩134的部分,而保留元件區域100C及元件區域100D中的蝕刻遮罩134的部分。隨後執行蝕刻製程136以圖案化及移除元件區域100A及元件區域100B中的第三功函數層132的部分,並暴露下層的高介電常數介電層66或第一功函數層120。如第25圖所示,相應的製程在製程流程300中繪示為步驟320。蝕刻後保留元件區域100C及元件區域100D中的第三功函數層132的部分。在蝕刻製程中,高介電常數介電層66在元件區域100A中做為蝕刻停止層。在元件區域100B中,第一功函數層120做為蝕刻停止層。因此,第三功函數層132及第一功函數層120的蝕刻選擇性高,例如,蝕刻選擇性ER132/ER120大於約20,並且可在約100與約150之間的範圍內。類似地,蝕刻選擇性ER132/ER66亦很高。例如,蝕刻選擇性ER132/ER66大於約20,並且可在約100與約150之間的範圍內。在蝕刻之後,移除蝕刻遮罩134,並且所得結構如第18圖所示。
第19圖繪示了沉積第四功函數層138延伸到元件區域100A、元件區域100B、元件區域100C及元件區域100D中。如第25圖所示,相應的製程在製程流程300中繪示為步驟322。根據一些實施例,元件區域100A中的鰭式場效應電晶體是n型鰭式場效應電晶體,因此第四功函數層138具有n型功函數,其低於突出鰭片36的中間帶的功函數。第四功函數層138的材料可選自TiC、TaC、TiAlC、Ti、Al等或上述各者的組合。第四功函數層138可使用原子層沉積、化學氣相沉積等沉積,並且沉積為共形層,其延伸到溝槽62(如第8圖所示)中,並且亦具有在層間介電質60頂部上的部分。
根據一些實施例,第一功函數層120、第二功函數層126、第三功函數層132及第四功函數層138分別具有厚度T1、厚度T2、厚度T3及厚度T4。厚度T1、厚度T2、厚度T3及厚度T4中的每一者可在約10埃與約30埃之間的範圍內。每個元件區域100A、元件區域100B、元件區域100C及元件區域100D中的所有功函數層的總厚度可小於約50埃,並且可在約30埃與約50埃之間的範圍內。根據一些實施例,額外的功函數層(未繪示)可沉積及圖案化以堆疊在元件區域100B、元件區域100C及元件區域100D中的p型第一功函數層120、第二功函數層126及第三功函數層132上方,額外的功函數層在頂部p型功函數層與n型第四功函數層138之間。根據替代實施例,不形成額外的功函數層。
第20圖繪示了膠層140的形成。如第25圖所示,相應的製程在製程流程300中繪示為步驟324。根據一些實施例,膠層140可由諸如TiN的金屬氮化物形成,並且可使用諸如TaN的其他材料。根據一些實施例,使用原子層沉積形成膠層140。
第20圖進一步繪示了沉積填充金屬以形成填充金屬區域76。如第25圖所示,相應的製程在製程流程300中繪示為步驟326。根據一些實施例,填充金屬區域76由鎢或鈷形成,其可使用化學氣相沉積來形成。例如,WF6 及SiH4 可做為沉積鎢的製程氣體。在形成填充金屬區域76之後,執行平面化製程以移除沉積層的多餘部分,如第20圖所示,此些多餘部分在層間介電質60(如第8圖所示)的頂部上。如第25圖所示,在製程流程300中,相應的平坦化製程繪示為步驟328。由此形成如第9A圖及第9B圖所示的替換閘極堆疊72。
第22圖繪示了根據一些實施例的硬遮罩80的形成。在第24圖所示的製程流程200中,相應的製程繪示為步驟220。硬遮罩80的形成可包括執行蝕刻製程以使替換閘極堆疊72凹陷,從而在閘極間隔件46之間形成凹槽,用介電材料填充凹槽,隨後執行平坦化製程(如化學機械拋光製程或機械研磨製程)以移除介電材料的多餘部分。硬遮罩80可由氮化矽、氮氧化矽、氮氧化碳矽等形成。
第23圖繪示了源極/汲極接觸插塞82的形成。在第24圖所示的製程流程200中,相應的製程繪示為步驟222。源極/汲極接觸插塞82的形成包括蝕刻層間介電質60以暴露其下方的接觸蝕刻停止層58的部分,隨後蝕刻接觸蝕刻停止層58的暴露部分以暴露磊晶區域54。在隨後的製程中,沉積金屬層(如鈦層)並延伸到接觸開口中。可形成金屬氮化物覆蓋層。隨後執行退火製程,以使金屬層與磊晶區域54的頂部反應,從而形成矽化物區。隨後將諸如鎢、鈷等填充金屬材料填充到接觸開口中,接著進行平坦化以移除多餘的材料,從而形成源極/汲極接觸插塞82。閘極接觸插塞(未繪示)亦形成為穿透每個硬遮罩80的一部分以接觸閘極電極70。由此形成鰭式場效應電晶體86,數個鰭式場效應電晶體86可並聯連接為單個鰭式場效應電晶體。
上述製程產生四個鰭式場效應電晶體186、鰭式場效應電晶體286、鰭式場效應電晶體386及鰭式場效應電晶體486,其包括如第20圖中元件區域100A、元件區域100B、元件區域100C及元件區域100D中所示的閘極堆疊。鰭式場效應電晶體186、鰭式場效應電晶體286、鰭式場效應電晶體386及鰭式場效應電晶體486中的每一者亦表示為第23圖中的鰭式場效應電晶體86。鰭式場效應電晶體186位於元件區域100A中,並且可為n型鰭式場效應電晶體,其功函數由第四功函數層138決定。鰭式場效應電晶體286位於元件區域100B中,並且可為p型鰭式場效應電晶體,其功函數由第一功函數層120決定,第四功函數層138對鰭式場效應電晶體286的功函數作用較小。鰭式場效應電晶體386位於元件區域100C中,並且可為p型鰭式場效應電晶體,其功函數由第三功函數層132決定,第四功函數層138對鰭式場效應電晶體386的功函數作用較小。鰭式場效應電晶體486位於元件區域100D中,並且可為p型鰭式場效應電晶體,其功函數由第二功函數層126決定,第三功函數層132及第四功函數層138對鰭式場效應電晶體386的功函數作用較小。因此,藉由選擇彼此不同的合適功函數材料,可從一些元件區域移除一些功函數層,並且功函數層的總厚度很小。例如,在繪示的實例中,最多有三個功函數層(如在元件區域100D中),而至少有四個功函數及四個閾值電壓位準。
此外,使用如上所述的相同製程,可在額外的元件區域中形成額外的鰭式場效應電晶體,其具有與第20圖所示的閘極堆疊不同的功函數,此些額外的元件區域在第21圖中繪示為元件區域100E及元件區域100F。第一額外鰭式場效應電晶體586可形成在元件區域100E中,其功函數層包括第一功函數層120及第一功函數層120上的第二功函數層126。第二額外鰭式場效應電晶體686可形成在元件區域100F中,其功函數層包括第一功函數層120及第一功函數層120上的第三功函數層132。因此,額外鰭式場效應電晶體可具有不同於鰭式場效應電晶體186、鰭式場效應電晶體286、鰭式場效應電晶體386及鰭式場效應電晶體486的功函數(及相應的閾值電壓)。額外鰭式場效應電晶體的形成可藉由共享與鰭式場效應電晶體186、鰭式場效應電晶體286、鰭式場效應電晶體386及鰭式場效應電晶體486相同的製程來實現,除了修改蝕刻遮罩的圖案以在元件區域100E及元件區域100F中形成閘極堆疊。
在上述實例中,針對不同的p型鰭式場效應電晶體形成p型功函數層,因此產生不同的閾值電壓。隨後針對n型鰭式場效應電晶體沉積n型功函數層,並沉積在p型鰭式場效應電晶體的功函數層上。根據替代實施例,可針對不同的n型鰭式場效應電晶體形成及圖案化複數個n型功函數層,以產生不同的閾值電壓。隨後可針對p型鰭式場效應電晶體沉積p型功函數層,其中p型功函數層位於n型鰭式場效應電晶體的功函數層之上。
本公開的實施例在結構上是可區分的。例如,穿透式電子顯微鏡(Transmission Electron Microscopy,TEM)可用於區分不同功函數層與其上層及下層的邊界。X射線光電子能譜(X-ray photoelectron spectroscopy,XPS)可用於確定各層的組成。能量色散X光光譜(Energy-dispersive X-ray spectroscopy,EDX)可用於確定功函數層中的元素。
本公開的實施例具有一些有利的特徵。藉由採用具有不同功函數的功函數層,可從一些電晶體區域移除一些功函數層,以減小功函數層的總厚度。此克服了習用製程中的問題。例如,在習用製程中為了實現更高的功函數位準(及閾值電壓位準),堆疊更多的功函數層。例如,單個TiN層、兩個TiN層及三個TiN層可用於實現三個功函數位準及相應的三個閾值電壓位準。然而,堆疊更多層以實現更低的功函數位準會導致功函數層的總厚度增加,此在更先進的技術中變得不可行。此外,在本公開的實施例中,省略了以往形成在高介電常數介電層與功函數層之間的覆蓋層及阻障層,以便減小閘極堆疊的厚度。
根據本公開的一些實施例,一種方法包括在分別位於第一元件區域及第二元件區域中的第一半導體區域及第二半導體區域上形成閘極介電質、沉積第一功函數層延伸到第一半導體區域及第二半導體區域中並在閘極介電質上、從第二元件區域移除第一功函數層且第一功函數層留在第一元件區域中以形成第一電晶體的第一閘極堆疊的第一部分、沉積第二功函數層延伸到第一元件區中和在第一功函數層之上並且延伸到第二元件區中和在閘極介電質之上、從第一元件區域移除第二功函數層且第二功函數層留在第二元件區域中以形成第二電晶體的第二閘極堆疊的第二部分,以及沉積膠層,其中膠層延伸到第一元件區域中並且在第一功函數層上,並且膠層延伸到第二元件區域中並在第二功函數層上。在一個實施例中,沉積第一功函數層及沉積第二功函數層包括沉積不同的材料。在一個實施例中,第一功函數層及第二功函數層具有功函數差,並且功函數差大於約50 mV。在一個實施例中,第一功函數層由TiN形成,第二功函數層由WCN或TiSiN形成。在一個實施例中,從第二元件區移除第一功函數層時,閘極介電質做為蝕刻停止層。在一個實施例中,從第一元件區域移除第二功函數層時,第一功函數層做為蝕刻停止層。在一個實施例中,第一功函數層及第二功函數層皆為第一導電類型,且此方法進一步包括沉積第三功函數層延伸到第一元件區域中及第一功函數層上,並且延伸到第二元件區域中及第二功函數層上,其中第三功函數層在膠層下方,並且第三功函數層具有與第一導電類型相反的第二導電類型。在一個實施例中,膠層位於第一元件區域及第二元件區域中的第三功函數層的部分之上並與其實體接觸。在一個實施例中,第一功函數層及第二功函數層都延伸到第三元件區域中,並且其中從第二元件區域移除第一功函數層之後及從第一元件區域移除第二功函數層之後,第一功函數層及第二功函數層都保留在第三元件區域中,並且形成第三電晶體的第三閘極堆疊的第三部分。在一個實施例中,第一功函數層延伸到第一元件區中以實體接觸閘極介電質的高介電常數介電層的第一部分,且第二功函數層延伸到第二元件區中以實體接觸高介電常數介電層的第二部分。
根據本公開的一些實施例,一種結構包括半導體基板、第一電晶體和第二電晶體。半導體基板包括第一半導體區域及第二半導體區域。第一電晶體包括在第一半導體區域上方的第一閘極介電質、位於第一閘極介電質上方並與之接觸的第一功函數層,及在第一功函數層上方的第一導電區域。第二電晶體包括在第二半導體區域上方的第二閘極介電質、位於第二閘極介電質上方並與之接觸的第二功函數層,及在第二功函數層上方的第二導電區域,其中第一功函數層及第二功函數層具有不同的功函數。在一個實施例中,第一功函數層與第二功函數層的功函數之差大於約50 mV。在一個實施例中,第一功函數層由TiN形成,第二功函數層由WCN或TiSiN形成。在一個實施例中,第一功函數層及第二功函數層皆為第一導電類型,並且此結構進一步包括在第一功函數層之上並與其接觸的第三功函數層,以及在第二功函數層上並與其接觸的第四功函數層,其中第三功函數層及第四功函數層由相同的材料形成,並且具有與第一導電類型相反的第二導電類型。在一個實施例中,第一電晶體進一步包括在第三功函數層之上並與其實體接觸的第一膠層,第二電晶體進一步包括在第二功函數層上方並與其實體接觸的第二膠層,第一膠層及第二膠層由相同的額外材料形成。在一個實施例中,第一膠層及第二膠層由氮化鈦形成。
根據本公開的一些實施例,一種結構包括塊體半導體基板、第一鰭式場效應電晶體和第二鰭式場效應電晶體。第一鰭式場效應電晶體包括突出高於塊體半導體基板的第一半導體鰭片、在第一半導體鰭片的第一側壁及第一頂表面上的第一高介電常數介電層、位於第一高介電常數介電層上方並與之接觸的第一功函數層、位於第一功函數層上方並與之接觸的第二功函數層,及在第二功函數層上方並與之接觸的第一膠層。第二鰭式場效應電晶體包括突出高於塊體半導體基板的第二半導體鰭片、在第二半導體鰭片的第二側壁及第二頂表面上的第二高介電常數介電層、位於第二高介電常數介電層上方並與之接觸的第三功函數層、位於第三功函數層上方並與之接觸的第四功函數層,及位於第四功函數層上方並與之接觸的第二膠層,其中第一功函數層及第三功函數層具有不同的功函數並且皆為第一導電類型,第二功函數層及第四功函數層由具有與第一導電類型相反的第二導電類型的相同材料形成。在一個實施例中,第一功函數層及第三功函數層都為p型功函數層。在一個實施例中,第一功函數層及第三功函數層包括不同的元素。在一個實施例中,結構進一步包括第三鰭式場效應電晶體,其包括第三半導體鰭片突出高於塊體半導體基板、在第三半導體鰭片的第三側壁及第三頂表面上的第三高介電常數介電層、位於第三高介電常數介電層上方並與其接觸的第五功函數層,及位於第五功函數層上方並與其接觸的第三膠層,其中第三功函數層及第五功函數層由第二導電類型的相同材料形成。
前面概述一些實施例的特徵,使得本領域技術人員可更好地理解本公開的觀點。本領域技術人員應該理解,他們可以容易地使用本公開做為設計或修改其他製程和結構的基礎,以實現相同的目的和/或實現與本文介紹之實施例相同的優點。本領域技術人員還應該理解,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,可以進行各種改變、替換和變更。
7B-7B,9B-9B:參考橫截面 10:晶圓 20:基板 22:阱區 24:隔離區/STI區 24A:頂表面 24B:底表面 26:半導體條 28:襯墊氧化物層 30:硬遮罩層 36:鰭片 38:虛設閘極堆疊 40:虛設閘極介電質 42:虛設閘極電極 44:硬遮罩層 46:閘極間隔件 50:凹槽 54:磊晶區域 56:空隙 58:接觸蝕刻停止層 60:層間介電質 62:溝槽 64:介面層 66:高介電常數介電層 68:閘極介電質 70:閘極電極 72:替換閘極堆疊 74:堆疊層 76:填充金屬區域 78:區域 80:硬遮罩 82:源極/汲極接觸插塞 86,186,286,386,486,586,686:鰭式場效應電晶體 100A,100B,100C,100D,100E,100F:元件區域 120:第一功函數層 122:蝕刻遮罩 124:蝕刻製程 126:第二功函數層 128:蝕刻遮罩 130:蝕刻製程 132:第三功函數層 134:蝕刻遮罩 136:蝕刻製程 138:第四功函數層 140:膠層 200:製程流程 202,204,206,208,210,212,214,216,218,220,222:步驟 300:製程流程 302,304,306,308,310,312,314,316,318,320,322,324,326,328:步驟 T1,T2,T3,T4:厚度
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準方法,各種特徵未按比例繪製。實際上,為了清楚地討論,可任意增加或減少各種特徵的尺寸。 第1圖至第6圖、第7A圖、第7B圖、第8圖、第9A圖、第9B圖、第22圖及第23圖根據一些實施例繪示形成鰭式場效應電晶體(Fin Field-Effect Transistor,FinFET)的中間階段的透視圖及橫截面視圖。 第10圖至第21圖根據一些實施例繪示形成複數個電晶體的閘極堆疊的中間階段的透視圖及橫截面視圖。 第24圖根據一些實施例繪示用於形成鰭式場效應電晶體的製程流程。 第25圖根據一些實施例繪示用於形成鰭式場效應電晶體的閘極堆疊的製程流程。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:製程流程
302,304,306,308,310,312,314,316,318,320,322,324,326,328:步驟

Claims (20)

  1. 一種方法,包括: 形成一閘極介電質在一第一半導體區域及一第二半導體區域上方延伸,該第一半導體區域及該第二半導體區域分別在一第一元件區域及一第二元件區域中; 沉積一第一功函數層延伸到該第一半導體區域及該第二半導體區域中且位於該閘極介電質上方; 從該第二元件區域移除該第一功函數層,其中該第一功函數層留在該第一元件區域中,以形成一第一電晶體的一第一閘極堆疊的一第一部分; 沉積一第二功函數層,其中該第二功函數層延伸到該第一元件區域中並位於該第一功函數層上方,並且該第二功函數層延伸到該第二元件區域中並位於該閘極介電質上方; 從該第一元件區域移除該第二功函數層,其中該第二功函數層留在該第二元件區域中,以形成一第二電晶體的一第二閘極堆疊的一第二部分;及 沉積一膠層,其中該膠層延伸到該第一元件區域中並且位於該第一功函數層上方,並且該膠層延伸到該第二元件區域中並且位於該第二功函數層上方。
  2. 如請求項1所述之方法,其中沉積該第一功函數層及沉積該第二功函數層包括沉積不同的材料。
  3. 如請求項2所述之方法,其中該第一功函數層及該第二功函數層具有一功函數差異,並且該功函數差異大於約50 mV。
  4. 如請求項1所述之方法,其中該第一功函數層由TiN形成,並且該第二功函數層由WCN或TiSiN形成。
  5. 如請求項1所述之方法,其中從該第二元件區域移除該第一功函數層時,該閘極介電質做為一蝕刻停止層。
  6. 如請求項1所述之方法,其中從該第一元件區域移除該第二功函數層時,該第一功函數層做為一蝕刻停止層。
  7. 如請求項1所述之方法,其中該第一功函數層及該第二功函數層都是一第一導電類型,並且該方法進一步包括: 沉積一第三功函數層延伸到該第一元件區域中並且位於該第一功函數層上方,並且該第三功函數層延伸到該第二元件區域中並且位於該第二功函數層上方,其中該第三功函數層在該膠層之下,並且該第三功函數層具有與該第一導電類型相反的一第二導電類型。
  8. 如請求項7所述之方法,其中該膠層位於在該第一元件區域與該第二元件區域兩者中的部分的該第三功函數層上方並與該第三功函數層實體接觸。
  9. 如請求項1所述之方法,其中該第一功函數層及該第二功函數層都延伸到一第三元件區域中,並且其中從該第二元件區域移除該第一功函數層之後及從該第一元件區域移除該第二功函數層之後,該第一功函數層及該第二功函數層都保留在該第三元件區域中並形成一第三電晶體的一第三閘極堆疊的一第三部分。
  10. 如請求項1所述之方法,其中該第一功函數層延伸到該第一元件區域中,以實體接觸該閘極介電質的一高介電常數介電層的一第一部分,並且該第二功函數層延伸到該第二元件區域中,以實體接觸該高介電常數介電層的一第二部分。
  11. 一種結構,包括: 一半導體基板,包括一第一半導體區域及一第二半導體區域; 一第一電晶體,包括: 一第一閘極介電質,位於該第一半導體區域上方; 一第一功函數層,位於該第一閘極介電質方並與該第一閘極介電質接觸;及 一第一導電區,位於該第一功函數層上方;及 一第二電晶體,包括: 一第二閘極介電質,位於該第二半導體區域上方; 一第二功函數層,位於該第二閘極介電質之上方並與該第二閘極介電質接觸,其中該第一功函數層及該第二功函數層具有不同的功函數;及 一第二導電區,位於該第二功函數層上方。
  12. 如請求項11所述之結構,其中該第一功函數層與該第二功函數層之間的功函數差異大於約50 mV。
  13. 如請求項11所述之結構,其中該第一功函數層由TiN形成,並且該第二功函數層由WCN或TiSiN形成。
  14. 如請求項11所述之結構,其中該第一功函數層及該第二功函數層都為一第一導電類型,並且該結構進一步包括: 一第三功函數層,位於該第一功函數層上方並與該第一功函數層接觸;及 一第四功函數層,位於該第二功函數層上方並與該第二功函數層接觸,其中該第三功函數層及該第四功函數層由一相同材料形成並具有與該第一導電類型相反的一第二導電類型。
  15. 如請求項14所述之結構,其中該第一電晶體進一步包括一第一膠層位於該第三功函數層上方並與該第三功函數層實體接觸,並且該第二電晶體進一步包括一第二膠層位於該第二功函數層上方並與該第二功函數層實體接觸,其中該第一膠層及該第二膠層由相同的額外材料形成。
  16. 如請求項15所述之結構,其中該第一膠層及該第二膠層由氮化鈦形成。
  17. 一種結構,包括: 一塊體半導體基板; 一第一鰭式場效應電晶體,包括: 一第一半導體鰭片,突出高於該塊體半導體基板; 一第一高介電常數介電層,在該第一半導體鰭片的一第一側壁及一第一頂表面上; 一第一功函數層,位於該第一高介電常數介電層上方並與該第一高介電常數介電層接觸; 一第二功函數層,位於該第一功函數層上方並與該第一功函數層接觸;及 一第一膠層,位於該第二功函數層上方並與該第二功函數層接觸;及 一第二鰭式場效應電晶體,包括: 一第二半導體鰭片,突出高於該塊體半導體基板; 一第二高介電常數介電層,在該第二半導體鰭片的一第二側壁及一第二頂表面上; 一第三功函數層,位於該第二高介電常數介電層上方並與該第二高介電常數介電層接觸,其中該第一功函數層及該第三功函數層具有不同的功函數,並且均為一第一導電類型; 一第四功函數層,位於該第三功函數層上方並與該第三功函數層接觸,其中該第二功函數層及該第四功函數層由一相同材料形成,該相同材料具有與該第一導電類型相反的一第二導電類型;及 一第二膠層,位於該第四功函數層上方並與該第四功函數層接觸。
  18. 如請求項17所述之結構,其中該第一功函數層及該第三功函數層都是p型功函數層。
  19. 如請求項17所述之結構,其中該第一功函數層及該第三功函數層包括不同的元素。
  20. 如請求項17所述之結構,進一步包括: 一第三鰭式場效應電晶體,包括: 一第三半導體鰭片,突出高於該塊體半導體基板; 一第三高介電常數介電層,在該第三半導體鰭片的一第三側壁及一第三頂表面上; 一第五功函數層,位於該第三高介電常數介電層上方並與該第三高介電常數介電層接觸,其中該第三功函數層及該第五功函數層由具有該第二導電類型的該相同材料形成;及 一第三膠層,位於該第五功函數層上方並與該第五功函數層接觸。
TW110103130A 2020-06-29 2021-01-27 半導體結構和其形成方法 TWI786528B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063045290P 2020-06-29 2020-06-29
US63/045,290 2020-06-29
US17/089,291 US11538805B2 (en) 2020-06-29 2020-11-04 Method of tuning threshold voltages of transistors
US17/089,291 2020-11-04

Publications (2)

Publication Number Publication Date
TW202201779A true TW202201779A (zh) 2022-01-01
TWI786528B TWI786528B (zh) 2022-12-11

Family

ID=77675904

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103130A TWI786528B (zh) 2020-06-29 2021-01-27 半導體結構和其形成方法

Country Status (5)

Country Link
US (2) US11538805B2 (zh)
KR (1) KR102487409B1 (zh)
CN (1) CN113410178A (zh)
DE (1) DE102020129561A1 (zh)
TW (1) TWI786528B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12020991B2 (en) * 2021-08-26 2024-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. High-k gate dielectric and method forming same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4237332B2 (ja) 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
KR101785803B1 (ko) 2015-05-29 2017-10-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 구조체의 형성 방법
US9559205B2 (en) 2015-05-29 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US20170140992A1 (en) 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9653364B1 (en) * 2016-01-08 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US10643904B2 (en) * 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102293127B1 (ko) 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10283417B1 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
KR102403723B1 (ko) 2017-12-15 2022-05-31 삼성전자주식회사 반도체 장치 및 그의 제조 방법
KR102417179B1 (ko) * 2017-12-19 2022-07-05 삼성전자주식회사 다치형 문턱 전압을 갖는 반도체 소자

Also Published As

Publication number Publication date
KR102487409B1 (ko) 2023-01-10
TWI786528B (zh) 2022-12-11
KR20220001423A (ko) 2022-01-05
CN113410178A (zh) 2021-09-17
DE102020129561A1 (de) 2021-12-30
US20230122022A1 (en) 2023-04-20
US11538805B2 (en) 2022-12-27
US20210407995A1 (en) 2021-12-30

Similar Documents

Publication Publication Date Title
US11532556B2 (en) Structure and method for transistors having backside power rails
KR102271584B1 (ko) 임계 전압 스프레드를 높이는 선택적 에칭
TWI773938B (zh) 積體電路裝置及其製造方法
KR102289285B1 (ko) 임계 전압을 조절하기 위한 하이-k 금속 게이트 도핑 방법
US11916146B2 (en) Gate resistance reduction through low-resistivity conductive layer
US11961732B2 (en) Controlling threshold voltages through blocking layers
US11145728B2 (en) Semiconductor device and method of forming same
CN112750818A (zh) 半导体器件及其形成方法
US11532509B2 (en) Selective hybrid capping layer for metal gates of transistors
US20230122022A1 (en) Method of Tuning Threshold Voltages of Transistors
US20220310814A1 (en) Conductive Capping For Work Function Layer and Method Forming Same
KR102447138B1 (ko) 금속 게이트 변조기의 인시추 형성
US11664279B2 (en) Multiple threshold voltage implementation through lanthanum incorporation
US20220359722A1 (en) Fin-End Gate Structures and Method Forming Same
US20220367261A1 (en) Selective Hybrid Capping Layer for Metal Gates of Transistors
TW202145368A (zh) 半導體裝置的形成方法
TW202308158A (zh) 半導體裝置
CN112582403A (zh) 半导体结构及其形成方法